JP2008028022A - Plasma etching method and computer readable storage medium - Google Patents

Plasma etching method and computer readable storage medium Download PDF

Info

Publication number
JP2008028022A
JP2008028022A JP2006196927A JP2006196927A JP2008028022A JP 2008028022 A JP2008028022 A JP 2008028022A JP 2006196927 A JP2006196927 A JP 2006196927A JP 2006196927 A JP2006196927 A JP 2006196927A JP 2008028022 A JP2008028022 A JP 2008028022A
Authority
JP
Japan
Prior art keywords
plasma
lower electrode
frequency power
plasma etching
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006196927A
Other languages
Japanese (ja)
Inventor
Yukio Ueda
幸生 上田
Hikoichiro Sasaki
彦一郎 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006196927A priority Critical patent/JP2008028022A/en
Priority to KR1020070066809A priority patent/KR100861260B1/en
Priority to US11/774,184 priority patent/US8129282B2/en
Priority to TW96126183A priority patent/TWI467650B/en
Priority to CNB2007101361562A priority patent/CN100541734C/en
Publication of JP2008028022A publication Critical patent/JP2008028022A/en
Priority to US13/399,509 priority patent/US8609547B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma etching method capable of coping with both of excellent etching selectivity and shaping property upon etching a hole, having fine and high aspect ratio, on an oxide film. <P>SOLUTION: The plasma etching method includes a step of carrying a substrate with an oxide film for the object of etching, a hard mask layer and a patternized photo resist which are formed sequentially thereon into a processing vessel 10 to dispose the same on a lower electrode; a step of supplying processing gas containing C<SB>x</SB>F<SB>y</SB>(x is an integer not higher than 3, y is an integer not higher than 8), C<SB>4</SB>F<SB>8</SB>, rear gas and O<SB>2</SB>into a processing vessel 10; a step of producing the plasma of the processing gas by impressing high-frequency power on an upper electrode 34 from a first high-frequency impressing means 48; a step of applying a high-frequency power for bias on a lower electrode 16 from a second high-frequency electric power application means 90; and a step of applying a DC voltage on the upper electrode 34 from a DC voltage application means 50. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、基板上に形成された酸化膜に対し、マスク層を介してプラズマエッチングするプラズマエッチング方法およびそのようなプラズマエッチング方法を実行する制御プログラムを記憶したコンピュータ読取可能な記憶媒体に関する。   The present invention relates to a plasma etching method for performing plasma etching on an oxide film formed on a substrate via a mask layer, and a computer-readable storage medium storing a control program for executing such a plasma etching method.

半導体デバイスの製造プロセスにおいては、被処理基板である半導体ウエハに対し、フォトリソグラフィー工程によりフォトレジストパターンを形成し、これをマスクとしてエッチングを行っている。   In a semiconductor device manufacturing process, a photoresist pattern is formed on a semiconductor wafer, which is a substrate to be processed, by a photolithography process, and etching is performed using this as a mask.

近時、半導体デバイスの微細化が益々進み、エッチングにおいても益々微細加工が要求されており、このような微細化に対応して、マスクとして用いられるフォトレジストの膜厚が薄くなり、使用されるフォトレジストもKrFフォトレジスト(すなわち、KrFガスを発光源としたレーザー光で露光するフォトレジスト)から、約0.13μm以下のパターン開口を形成することができるArFフォトレジスト(すなわち、ArFガスを発光源とした、より短波長のレーザー光で露光するフォトレジスト)に移行されつつある。   Recently, miniaturization of semiconductor devices has progressed, and fine processing is also required for etching. In response to such miniaturization, the thickness of the photoresist used as a mask is reduced and used. As for the photoresist, an ArF photoresist (that is, ArF gas is emitted) that can form a pattern opening of about 0.13 μm or less from a KrF photoresist (that is, a photoresist that is exposed with laser light using KrF gas as a light source). Photoresist exposed with a shorter wavelength laser beam as a source).

しかしながら、ArFフォトレジストは耐プラズマ性が低いため、KrFレジストではほとんど発生しなかったエッチング途中での表面の荒れが生じてしまうという問題がある。このため、開口部の内壁面に縦筋(ストライエーション)が入ったり、開口部が広がる(CDの広がり)等の問題が生じ、フォトレジストの膜厚が薄いことと相俟って、良好なエッチング選択比でエッチングホールを形成することができないという不都合が生じている。   However, since the ArF photoresist has low plasma resistance, there is a problem that the surface becomes rough during the etching, which is hardly generated in the KrF resist. For this reason, problems such as vertical streaks entering the inner wall surface of the opening or spreading of the opening (expansion of CD) occur, which is favorable in combination with the thin film thickness of the photoresist. There is a disadvantage that the etching holes cannot be formed with the etching selectivity.

このような問題に対し、特許文献1では、被エッチング層上に犠牲ハードマスクとしてアモルファスカーボン膜を形成し、その上にパターン化されたフォトレジスト膜を形成して、フォトレジストパターンをマスクとしてアモルファスカーボン膜をエッチングし、少なくともアモルファスカーボン膜をエッチングマスクとして被エッチング層を通常用いられるCF系ガスによりエッチングする技術が提案されている。この技術によりエッチング選択性および形状性の問題はある程度解消することができる。   In order to solve such a problem, in Patent Document 1, an amorphous carbon film is formed as a sacrificial hard mask on a layer to be etched, a patterned photoresist film is formed thereon, and an amorphous film is formed using the photoresist pattern as a mask. A technique has been proposed in which a carbon film is etched, and at least an amorphous carbon film is used as an etching mask to etch an etching target layer with a CF gas that is usually used. With this technique, the problems of etching selectivity and shape can be solved to some extent.

しかしながら、例えば、DRAMのキャパシタのエッチングにおいては間口が80nmで深さが2μmといった極めて高アスペクト比のホールを酸化膜に形成することが求められており、さらに次世代では68nm、次々世代では58nmと益々間口が狭いものが要求され、上記特許文献1の技術では、このようなサイズのホールを十分なエッチング選択性をもってボーイング等の生じない良好な形状性で形成することが困難である。
特開2006−41486号公報
However, for example, in etching of a capacitor of a DRAM, it is required to form an extremely high aspect ratio hole having a frontage of 80 nm and a depth of 2 μm in an oxide film. Further, the next generation is 68 nm, and the next generation is 58 nm. A narrower gap is required, and with the technique of Patent Document 1, it is difficult to form holes of such a size with sufficient etching selectivity and good shape without bowing.
JP 2006-41486 A

本発明はかかる事情に鑑みてなされたものであって、酸化膜に微細かつ高アスペクト比のホールをエッチングする際に、良好なエッチング選択性および形状性を両立することができるプラズマエッチング方法を提供することを目的とする。
また、このようなプラズマエッチング方法を実行させるプログラムを記憶したコンピュータ読取可能な記憶媒体を提供することを目的とする。
The present invention has been made in view of such circumstances, and provides a plasma etching method capable of achieving both good etching selectivity and shape when etching fine and high aspect ratio holes in an oxide film. The purpose is to do.
It is another object of the present invention to provide a computer-readable storage medium storing a program for executing such a plasma etching method.

上記課題を解決するため、本発明の第1の観点では、内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記上部電極または下部電極にプラズマ生成用の相対的に高い周波数の高周波電力を印加し、前記下部電極にバイアス用の相対的に低い周波数の高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を用いて、基板に形成された酸化膜をハードマスク層を介してプラズマエッチングするプラズマエッチング方法であって、前記処理容器内にエッチング対象の酸化膜、ハードマスク層、パターン化されたフォトレジストが順次形成された基板を搬入し、前記下部電極に載置する工程と、前記処理容器内にC(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスを供給する工程と、前記上部電極または前記下部電極に高周波電力を印加して前記処理ガスのプラズマを生成する工程と、前記下部電極にバイアス用の高周波電力を印加する工程と、前記上部電極に直流電圧を印加する工程とを有することを特徴とするプラズマエッチング方法を提供する。 In order to solve the above problems, according to a first aspect of the present invention, a lower electrode that functions as a substrate mounting table and an upper electrode that is formed so as to face the lower electrode are disposed in a processing vessel that can be evacuated. And applying a relatively high frequency high frequency power for plasma generation to the upper electrode or the lower electrode, applying a relatively low frequency high frequency power for bias to the lower electrode, Plasma etching for plasma-etching an oxide film formed on a substrate through a hard mask layer using a plasma etching apparatus that applies a direct-current voltage and converts the processing gas supplied into the processing vessel into plasma to perform plasma etching A method, wherein an oxide film to be etched, a hard mask layer, and a patterned photoresist are sequentially formed in the processing container Loading and includes a step of placing the lower electrode, C x F y in the processing container (x 3 an integer, y is 8 or less an integer), C 4 F 8, a rare gas, the O 2 Supplying a processing gas; applying high frequency power to the upper electrode or the lower electrode to generate plasma of the processing gas; applying bias high frequency power to the lower electrode; And a step of applying a DC voltage to the electrode.

本発明の第2の観点では、内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を用い、前記処理容器内にエッチング対象の酸化膜、ハードマスク層、パターン化されたフォトレジストが順次形成された基板を搬入し、前記下部電極に載置する工程と、前記処理容器内にC(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスを供給する工程と、前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加して前記処理ガスのプラズマを生成するとともにバイアスを印加する工程と、前記上部電極に直流電圧を印加する工程とを有することを特徴とするプラズマエッチング方法を提供する。 In a second aspect of the present invention, a lower electrode that functions as a substrate mounting table and an upper electrode that is formed so as to face the lower electrode are provided in a processing chamber that can be evacuated inside, and the lower electrode is provided. Using a plasma etching apparatus that applies high-frequency power that also serves as a plasma generator and a bias to the electrode, applies a DC voltage to the upper electrode, converts the processing gas supplied into the processing vessel into plasma, and performs plasma etching, Loading a substrate on which an oxide film to be etched, a hard mask layer, and a patterned photoresist are sequentially formed in the processing container and placing the substrate on the lower electrode; and C x F y in the processing container (x is 3 or less an integer, y is 8 or less an integer), C 4 F 8, and supplying a rare gas, the process gas containing O 2, and for generating a plasma in the lower electrode via A plasma etching method comprising: a step of generating a plasma of the processing gas by applying a high-frequency power that also serves as an application, and a step of applying a bias; and a step of applying a DC voltage to the upper electrode. .

上記第1または第2の観点において、前記ハードマスク層としてはアモルファスカーボン膜を好適に用いることができる。また、前記CとしてはCまたはCFでが好適であり、前記CとしてCを用いた場合に、その流量が前記Cの流量以上であることが好ましい。さらに、前記直流電圧の絶対値は、800〜1200Vであることが好ましい。さらにまた、前記希ガスとしては、ArまたはXeを好適に用いることができる。 In the first or second aspect, an amorphous carbon film can be suitably used as the hard mask layer. The C x F y is preferably C 3 F 8 or CF 4 , and when C 3 F 8 is used as the C x F y , the flow rate is equal to or higher than the flow rate of the C 4 F 8. Preferably there is. Furthermore, the absolute value of the DC voltage is preferably 800 to 1200V. Furthermore, Ar or Xe can be suitably used as the rare gas.

本発明のプラズマエッチング方法は、間口が70〜90nm、アスペクト比が1:15〜1:25のホールを形成する場合に特に有効である。   The plasma etching method of the present invention is particularly effective when a hole having a frontage of 70 to 90 nm and an aspect ratio of 1:15 to 1:25 is formed.

本発明の第3の観点では、内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記上部電極または下部電極にプラズマ生成用の相対的に高い周波数の高周波電力を印加し、かつ前記下部電極にバイアス用の相対的に低い周波数の高周波電力を印加するか、または、前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を制御するための、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、前記制御プログラムは、実行時に、上記第1または第2の観点の方法が行われるようにコンピュータに前記プラズマエッチング装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体を提供する。   In a third aspect of the present invention, a lower electrode functioning as a substrate mounting table and an upper electrode formed so as to face the lower electrode are provided in a processing vessel capable of evacuating the inside, and the upper electrode is provided. Alternatively, a relatively high frequency high frequency power for plasma generation is applied to the lower electrode and a relatively low frequency high frequency power for bias is applied to the lower electrode, or a plasma generation is applied to the lower electrode. And a computer for controlling a plasma etching apparatus that applies high-frequency power that also serves as a bias, applies a DC voltage to the upper electrode, and converts the processing gas supplied into the processing vessel into plasma to perform plasma etching A computer-readable storage medium storing a control program that operates above, wherein the control program is executed when the first or second control program is executed. It provides a computer readable storage medium, characterized in that to control the plasma etching apparatus to the computer as the method of the second aspect is performed.

本発明によれば、エッチング対象の酸化膜、ハードマスク層、パターン化されたフォトレジストが順次形成された基板に対し、C(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスを用いてプラズマエッチングを行うので、間口の狭い高アスペクト比のホールであっても実用的なエッチングレートでボーイング等のない良好な形状性をもってエッチングすることができる。また、このようなガス系では通常プロセスでは十分なエッチング選択比をとれず、エッチングが完了する前にマスク層が消失してしまうおそれがあるが、本発明では上部電極または下部電極のいずれかにプラズマ生成用の高周波電力を印加してプラズマを生成する際に、上部電極に直流電圧を印加するので、上部電極からハードマスク層にポリマーが供給され、ハードマスク層のプラズマ耐性を上昇させてエッチング選択比を高めることができ、上記ガス系においてもハードマスク層を消失させずに良好なエッチングを行うことができる。 According to the present invention, for a substrate on which an oxide film to be etched, a hard mask layer, and a patterned photoresist are sequentially formed, C x F y (x is an integer of 3 or less, y is an integer of 8 or less) , C 4 F 8 , a rare gas, and a process gas containing O 2 are used to perform plasma etching, so even a high aspect ratio hole with a narrow frontage has a practical etching rate and good shape without bowing. Can be etched. Further, in such a gas system, a sufficient etching selectivity cannot be obtained in a normal process, and the mask layer may disappear before the etching is completed. In the present invention, either the upper electrode or the lower electrode is used. When plasma is generated by applying high-frequency power for plasma generation, a DC voltage is applied to the upper electrode, so polymer is supplied from the upper electrode to the hard mask layer, and the plasma resistance of the hard mask layer is increased to perform etching. The selectivity can be increased, and good etching can be performed without erasing the hard mask layer even in the gas system.

以下、添付図面を参照して本発明の実施の形態について具体的に説明する。
図1は、本発明の実施に用いられるプラズマエッチング装置の一例を示す概略断面図である。
Embodiments of the present invention will be specifically described below with reference to the accompanying drawings.
FIG. 1 is a schematic cross-sectional view showing an example of a plasma etching apparatus used for carrying out the present invention.

このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置として構成されており、例えば表面が陽極酸化処理されたアルミニウムからなる略円筒状のチャンバ(処理容器)10を有している。このチャンバ10は保安接地されている。   This plasma etching apparatus is configured as a capacitively coupled parallel plate plasma etching apparatus, and has a substantially cylindrical chamber (processing vessel) 10 made of aluminum whose surface is anodized, for example. The chamber 10 is grounded for safety.

チャンバ10の底部には、セラミックス等からなる絶縁板12を介して円柱状のサセプタ支持台14が配置され、このサセプタ支持台14の上に例えばアルミニウムからなるサセプタ16が設けられている。サセプタ16は下部電極を構成し、その上に被処理基板である半導体ウエハWが載置される。   A cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 made of ceramics or the like, and a susceptor 16 made of, for example, aluminum is provided on the susceptor support 14. The susceptor 16 constitutes a lower electrode, on which a semiconductor wafer W as a substrate to be processed is placed.

サセプタ16の上面には、半導体ウエハWを静電力で吸着保持する静電チャック18が設けられている。この静電チャック18は、導電膜からなる電極20を一対の絶縁層または絶縁シートで挟んだ構造を有するものであり、電極20には直流電源22が電気的に接続されている。そして、直流電源22からの直流電圧により生じたクーロン力等の静電力により半導体ウエハWが静電チャック18に吸着保持される。   On the upper surface of the susceptor 16, an electrostatic chuck 18 that holds the semiconductor wafer W by electrostatic force is provided. The electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is sandwiched between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. The semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22.

静電チャック18(半導体ウエハW)の周囲でサセプタ16の上面には、エッチングの均一性を向上させるための、例えばシリコンからなる導電性のフォーカスリング(補正リング)24が配置されている。サセプタ16およびサセプタ支持台14の側面には、例えば石英からなる円筒状の内壁部材26が設けられている。   A conductive focus ring (correction ring) 24 made of, for example, silicon is disposed on the upper surface of the susceptor 16 around the electrostatic chuck 18 (semiconductor wafer W) to improve etching uniformity. A cylindrical inner wall member 26 made of, for example, quartz is provided on the side surfaces of the susceptor 16 and the susceptor support 14.

サセプタ支持台14の内部には、例えば円周上に冷媒室28が設けられている。この冷媒室には、外部に設けられた図示しないチラーユニットより配管30a,30bを介して所定温度の冷媒、例えば冷却水が循環供給され、冷媒の温度によってサセプタ上の半導体ウエハWの処理温度を制御することができる。   Inside the susceptor support 14, for example, a coolant chamber 28 is provided on the circumference. A coolant having a predetermined temperature, for example, cooling water, is circulated and supplied to the coolant chamber from a chiller unit (not shown) provided outside through the pipes 30a and 30b, and the processing temperature of the semiconductor wafer W on the susceptor is controlled by the coolant temperature. Can be controlled.

さらに、図示しない伝熱ガス供給機構からの伝熱ガス、例えばHeガスがガス供給ライン32を介して静電チャック18の上面と半導体ウエハWの裏面との間に供給される。   Further, a heat transfer gas, for example, He gas, from a heat transfer gas supply mechanism (not shown) is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 32.

下部電極であるサセプタ16の上方には、サセプタ16と対向するように平行に上部電極34が設けられている。そして、上部および下部電極34,16間の空間がプラズマ生成空間となる。上部電極34は、下部電極であるサセプタ16上の半導体ウエハWと対向してプラズマ生成空間と接する面、つまり対向面を形成する。   Above the susceptor 16 that is the lower electrode, an upper electrode 34 is provided in parallel so as to face the susceptor 16. A space between the upper and lower electrodes 34 and 16 becomes a plasma generation space. The upper electrode 34 faces the semiconductor wafer W on the susceptor 16 that is the lower electrode, and forms a surface that is in contact with the plasma generation space, that is, a facing surface.

この上部電極34は、絶縁性遮蔽部材42を介して、チャンバ10の上部に支持されており、サセプタ16との対向面を構成しかつ多数の吐出孔37を有する電極板36と、この電極板36を着脱自在に支持し、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなる水冷構造の電極支持体38とによって構成されている。電極板36は、ジュール熱の少ない低抵抗の導電体または半導体が好ましく、また、後述するようにレジストを強化する観点からはシリコン含有物質が好ましい。このような観点から、電極板36はシリコンやSiCで構成されるのが好ましい。電極支持体38の内部には、ガス拡散室40が設けられ、このガス拡散室40からはガス吐出孔37に連通する多数のガス通流孔41が下方に延びている。   The upper electrode 34 is supported on the upper portion of the chamber 10 via an insulating shielding member 42, and forms an opposing surface to the susceptor 16 and has a number of discharge holes 37, and the electrode plate 36 is detachably supported, and is constituted by a water-cooled electrode support 38 made of a conductive material, for example, aluminum whose surface is anodized. The electrode plate 36 is preferably a low-resistance conductor or semiconductor with low Joule heat, and a silicon-containing material is preferable from the viewpoint of strengthening the resist as will be described later. From such a viewpoint, the electrode plate 36 is preferably made of silicon or SiC. A gas diffusion chamber 40 is provided inside the electrode support 38, and a number of gas flow holes 41 communicating with the gas discharge holes 37 extend downward from the gas diffusion chamber 40.

電極支持体38にはガス拡散室40へ処理ガスを導くガス導入口62が形成されており、このガス導入口62にはガス供給管64が接続され、ガス供給管64には処理ガス供給源66が接続されている。ガス供給管64には、上流側から順にマスフローコントローラ(MFC)68および開閉バルブ70が設けられている(MFCの代わりにFCSでもよい)。そして、処理ガス供給源66から、エッチングのための処理ガスとして、C(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスがガス供給管64からガス拡散室40に至り、ガス通流孔41およびガス吐出孔37を介してシャワー状にプラズマ生成空間に吐出される。すなわち、上部電極34は処理ガスを供給するためのシャワーヘッドとして機能する。 The electrode support 38 is formed with a gas inlet 62 for introducing a processing gas to the gas diffusion chamber 40, and a gas supply pipe 64 is connected to the gas inlet 62, and a processing gas supply source is connected to the gas supply pipe 64. 66 is connected. The gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening / closing valve 70 in order from the upstream side (FCS may be used instead of MFC). Then, a process gas containing C x F y (x is an integer of 3 or less, y is an integer of 8 or less), C 4 F 8 , a rare gas, and O 2 from the process gas supply source 66 as a process gas for etching. The gas reaches the gas diffusion chamber 40 from the gas supply pipe 64 and is discharged into the plasma generation space in the form of a shower through the gas flow hole 41 and the gas discharge hole 37. That is, the upper electrode 34 functions as a shower head for supplying the processing gas.

上部電極34には、整合器46および給電棒44を介して、第1の高周波電源48が電気的に接続されている。第1の高周波電源48は、10MHz以上の周波数、例えば60MHzの高周波電力を出力する。整合器46は、第1の高周波電源48の内部(または出力)インピーダンスに負荷インピーダンスを整合させるもので、チャンバ10内にプラズマが生成されている時に第1の高周波電源48の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。整合器46の出力端子は給電棒44の上端に接続されている。   A first high frequency power supply 48 is electrically connected to the upper electrode 34 via a matching unit 46 and a power feed rod 44. The first high frequency power supply 48 outputs a high frequency power of 10 MHz or higher, for example, 60 MHz. The matching unit 46 matches the load impedance to the internal (or output) impedance of the first high-frequency power supply 48, and the output impedance and load impedance of the first high-frequency power supply 48 when plasma is generated in the chamber 10. Functions to match. The output terminal of the matching unit 46 is connected to the upper end of the feed rod 44.

一方、上記上部電極34には、第1の高周波電源48の他、可変直流電源50が電気的に接続されている。可変直流電源50はバイポーラ電源であってもよい。具体的には、この可変直流電源50は、上記整合器46および給電棒44を介して上部電極34に接続されており、オン・オフスイッチ52により給電のオン・オフが可能となっている。可変直流電源50の極性および電流・電圧ならびにオン・オフスイッチ52のオン・オフはコントローラ51により制御されるようになっている。   On the other hand, a variable DC power supply 50 is electrically connected to the upper electrode 34 in addition to a first high frequency power supply 48. The variable DC power supply 50 may be a bipolar power supply. Specifically, the variable DC power supply 50 is connected to the upper electrode 34 via the matching unit 46 and the power supply rod 44, and power supply can be turned on / off by an on / off switch 52. The polarity and current / voltage of the variable DC power supply 50 and on / off of the on / off switch 52 are controlled by the controller 51.

整合器46は、図2に示すように、第1の高周波電源48の給電ライン49から分岐して設けられた第1の可変コンデンサ54と、給電ライン49のその分岐点の下流側に設けられた第2の可変コンデンサ56を有しており、これらにより上記機能を発揮する。また、整合器46には、直流電圧電流(以下、単に直流電圧という)が上部電極34に有効に供給可能なように、第1の高周波電源48からの高周波(例えば60MHz)および後述する第2の高周波電源からの高周波(例えば2MHz)をトラップするフィルタ58が設けられている。すなわち、可変直流電源50からの直流電流がフィルタ58を介して給電ライン49に接続される。このフィルタ58はコイル59とコンデンサ60とで構成されており、これらにより第1の高周波電源48からの高周波および後述する第2の高周波電源からの高周波がトラップされる。   As shown in FIG. 2, the matching unit 46 is provided on the downstream side of the first variable capacitor 54 branched from the power supply line 49 of the first high frequency power supply 48 and the branch point of the power supply line 49. In addition, the second variable capacitor 56 is provided, and these functions are exhibited. The matching unit 46 also has a high frequency (for example, 60 MHz) from the first high frequency power supply 48 and a second to be described later so that a DC voltage current (hereinafter simply referred to as a DC voltage) can be effectively supplied to the upper electrode 34. A filter 58 that traps a high frequency (for example, 2 MHz) from a high frequency power source is provided. That is, a direct current from the variable direct current power supply 50 is connected to the power supply line 49 through the filter 58. The filter 58 includes a coil 59 and a capacitor 60, and traps a high frequency from the first high frequency power supply 48 and a high frequency from a second high frequency power supply described later.

チャンバ10の側壁から上部電極34の高さ位置よりも上方に延びるように円筒状の接地導体10aが設けられており、この円筒状接地導体10aの天壁部分は筒状の絶縁部材44aにより上部給電棒44から電気的に絶縁されている。   A cylindrical ground conductor 10a is provided so as to extend above the height position of the upper electrode 34 from the side wall of the chamber 10, and the top wall portion of the cylindrical ground conductor 10a is upper by a cylindrical insulating member 44a. It is electrically insulated from the power feed rod 44.

下部電極であるサセプタ16には、整合器88を介して第2の高周波電源90が電気的に接続されている。この第2の高周波電源90から下部電極サセプタ16に高周波電力が供給されることにより、半導体ウエハW側にイオンが引き込まれる。第2の高周波電源90は、300kHz〜13.56MHzの範囲内の周波数、例えば2MHzの高周波電力を出力する。整合器88は第2の高周波電源90の内部(または出力)インピーダンスに負荷インピーダンスを整合させるためのもので、チャンバ10内にプラズマが生成されている時に第2の高周波電源90の内部インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。   A second high frequency power supply 90 is electrically connected to the susceptor 16, which is the lower electrode, via a matching unit 88. By supplying high frequency power from the second high frequency power supply 90 to the lower electrode susceptor 16, ions are drawn into the semiconductor wafer W side. The second high frequency power supply 90 outputs a high frequency power of a frequency within a range of 300 kHz to 13.56 MHz, for example, 2 MHz. The matching unit 88 is for matching the load impedance with the internal (or output) impedance of the second high-frequency power source 90, and when the plasma is generated in the chamber 10, the internal impedance of the second high-frequency power source 90 and the load Functions so that the impedances seem to match.

上部電極34には、第1の高周波電源48からの高周波(例えば60MHz)は通さずに第2の高周波電源90からの高周波(例えば2MHz)をグランドへ通すためのローパスフィルタ(LPF)92が電気的に接続されている。このローパスフィルタ(LPF)92は、好適にはLRフィルタまたはLCフィルタで構成されるが、1本の導線だけでも第1の高周波電源48からの高周波(60MHz)に対しては十分大きなリアクタンスを与えることができるので、それで済ますこともできる。一方、下部電極であるサセプタ16には、第1の高周波電源48からの高周波(60MHz)をグランドに通すためのハイパスフィルタ(HPF)94が電気的に接続されている。   The upper electrode 34 is electrically connected with a low pass filter (LPF) 92 for passing a high frequency (for example, 2 MHz) from the second high frequency power supply 90 to the ground without passing a high frequency (for example, 60 MHz) from the first high frequency power supply 48. Connected. The low-pass filter (LPF) 92 is preferably composed of an LR filter or an LC filter, but provides a sufficiently large reactance with respect to the high frequency (60 MHz) from the first high-frequency power supply 48 even with only one conductor. You can do that. On the other hand, the susceptor 16 as the lower electrode is electrically connected to a high pass filter (HPF) 94 for passing a high frequency (60 MHz) from the first high frequency power supply 48 to the ground.

チャンバ10の底部には排気口80が設けられ、この排気口80に排気管82を介して排気装置84が接続されている。排気装置84は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内を所望の真空度まで減圧可能となっている。また、チャンバ10の側壁には半導体ウエハWの搬入出口85が設けられており、この搬入出口85はゲートバルブ86により開閉可能となっている。また、チャンバ10の内壁に沿ってチャンバ10にエッチング副生物(デポ)が付着することを防止するためのデポシールド11が着脱自在に設けられている。すなわち、デポシールド11がチャンバ壁を構成している。また、デポシールド11は、内壁部材26の外周にも設けられている。チャンバ10の底部のチャンバ壁側のデポシールド11と内壁部材26側のデポシールド11との間には排気プレート83が設けられている。デポシールド11および排気プレート83としては、アルミニウム材にY等のセラミックスを被覆したものを好適に用いることができる。 An exhaust port 80 is provided at the bottom of the chamber 10, and an exhaust device 84 is connected to the exhaust port 80 via an exhaust pipe 82. The exhaust device 84 includes a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10 to a desired degree of vacuum. Further, a loading / unloading port 85 for the semiconductor wafer W is provided on the side wall of the chamber 10, and the loading / unloading port 85 can be opened and closed by a gate valve 86. A deposition shield 11 is detachably provided along the inner wall of the chamber 10 for preventing the etching byproduct (depot) from adhering to the chamber 10. That is, the deposition shield 11 forms a chamber wall. The deposition shield 11 is also provided on the outer periphery of the inner wall member 26. An exhaust plate 83 is provided between the deposition shield 11 on the chamber wall side at the bottom of the chamber 10 and the deposition shield 11 on the inner wall member 26 side. As the deposition shield 11 and the exhaust plate 83, an aluminum material coated with ceramics such as Y 2 O 3 can be suitably used.

デポシールド11のチャンバ内壁を構成する部分のウエハWとほぼ同じ高さ部分には、グランドにDC的に接続された導電性部材(GNDブロック)91が設けられており、これにより異常放電防止効果を発揮する。   A conductive member (GND block) 91 connected to the ground in a DC manner is provided at a portion almost the same height as the wafer W that constitutes the chamber inner wall of the deposition shield 11, thereby preventing abnormal discharge. Demonstrate.

プラズマ処理装置の各構成部は、制御部(全体制御装置)95に接続されて制御される構成となっている。また、制御部95には、工程管理者がプラズマ処理装置を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース96が接続されている。   Each component of the plasma processing apparatus is connected to and controlled by a control unit (overall control device) 95. In addition, the control unit 95 includes a user interface 96 including a keyboard for a process manager to input commands to manage the plasma processing apparatus, a display for visualizing and displaying the operating status of the plasma processing apparatus, and the like. It is connected.

さらに、制御部95には、プラズマ処理装置で実行される各種処理を制御部95の制御にて実現するための制御プログラムや、処理条件に応じてプラズマ処理装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部97が接続されている。レシピはハードディスクや半導体メモリーに記憶されていてもよいし、CDROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で記憶部97の所定位置にセットするようになっていてもよい。   Further, the control unit 95 causes the respective components of the plasma processing apparatus to execute processes according to a control program for realizing various processes executed by the plasma processing apparatus under the control of the control unit 95 and processing conditions. A storage unit 97 that stores a program for storing the recipe, that is, a recipe, is connected. The recipe may be stored in a hard disk or semiconductor memory, or set at a predetermined position in the storage unit 97 while being stored in a portable computer-readable storage medium such as a CDROM or DVD. Also good.

そして、必要に応じて、ユーザーインターフェース96からの指示等にて任意のレシピを記憶部97から呼び出して制御部95に実行させることで、制御部95の制御下で、プラズマ処理装置での所望の処理が行われる。   Then, if necessary, an arbitrary recipe is called from the storage unit 97 by an instruction from the user interface 96 and is executed by the control unit 95, so that a desired process in the plasma processing apparatus can be performed under the control of the control unit 95. Processing is performed.

次に、このように構成されるプラズマエッチング装置により実施される、本発明の一実施形態に係るプラズマエッチング方法について説明する。
ここでは、被処理体である半導体ウエハWとして、図3に示すように、Si基板101の上に、エッチングストップ膜102、エッチング対象である酸化膜103、ハードマスク層104、反射防止膜(BARC)105、フォトレジスト膜106を順次形成した後、フォトレジスト膜106に所定パターンを形成したものを用い、まず、フォトレジスト膜106をマスクとしてハードマスク層104をエッチングし、引き続きエッチング対象である酸化膜103をエッチングする。
Next, a plasma etching method according to an embodiment of the present invention, which is performed by the plasma etching apparatus configured as described above, will be described.
Here, as shown in FIG. 3, the semiconductor wafer W as an object to be processed is formed on an Si stop 101, an oxide film 103 to be etched, a hard mask layer 104, an antireflection film (BARC) on a Si substrate 101. ) 105 and the photoresist film 106 are sequentially formed, and then the photoresist film 106 having a predetermined pattern is used. First, the hard mask layer 104 is etched using the photoresist film 106 as a mask, and then the oxidation target is etched. The film 103 is etched.

本実施形態におけるエッチング対象膜である酸化膜103としては、例えばテトラエトキシシラン(TEOS)を原料として成膜したものや、ガラス膜(BPSGまたはPSG)等を用いることができる。この酸化膜103の厚さは適宜設定されるが、DRAMのキャパシタとして用いられる場合には、1.5〜3.0μm程度である。   As the oxide film 103 to be etched in this embodiment, for example, a film formed using tetraethoxysilane (TEOS) as a raw material, a glass film (BPSG or PSG), or the like can be used. The thickness of the oxide film 103 is appropriately set, but is about 1.5 to 3.0 μm when used as a capacitor of a DRAM.

ハードマスク層104としては、アモルファスカーボン膜を好適に用いることができる。アモルファスカーボン膜は通常ハードマスク層として用いられているSiNやSiCと同等のプラズマ耐性を示し、しかも安価である。ただし、TiNやSiN等の通常用いられている材料を用いることもできる。このハードマスク層104の厚さは、500〜900nm程度である。   As the hard mask layer 104, an amorphous carbon film can be suitably used. The amorphous carbon film exhibits plasma resistance equivalent to that of SiN or SiC usually used as a hard mask layer, and is inexpensive. However, a commonly used material such as TiN or SiN can also be used. The thickness of the hard mask layer 104 is about 500 to 900 nm.

エッチングストップ膜102はSiCN等のSiC系材料で構成され、その厚さは、20〜100nm程度である。反射防止膜(BARC)105としてはSiON膜や有機系のものを用いることができ、その厚さは20〜100nm程度である。フォトレジスト膜106は、典型的にはArFレジストであり、その厚さは100〜400nm程度である。   The etching stop film 102 is made of a SiC-based material such as SiCN and has a thickness of about 20 to 100 nm. As the antireflection film (BARC) 105, a SiON film or an organic film can be used, and its thickness is about 20 to 100 nm. The photoresist film 106 is typically an ArF resist and has a thickness of about 100 to 400 nm.

エッチング処理に際しては、まず、ゲートバルブ86を開状態とし、搬入出口85を介して上記構造を有する半導体ウエハWをチャンバ10内に搬入し、サセプタ16上に載置する。そして、処理ガス供給源66からエッチングのための処理ガスを所定の流量でガス拡散室40へ供給し、ガス通流孔41およびガス吐出孔37を介してチャンバ10内へ供給しつつ、排気装置84によりチャンバ10内を排気し、その中の圧力を例えば20〜30Paの範囲内の設定値とする。また、サセプタ温度は20〜50℃程度とする。   In the etching process, first, the gate valve 86 is opened, and the semiconductor wafer W having the above structure is loaded into the chamber 10 via the loading / unloading port 85 and placed on the susceptor 16. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate, and is supplied into the chamber 10 through the gas flow holes 41 and the gas discharge holes 37, while being exhausted. The chamber 10 is evacuated by 84, and the pressure therein is set to a set value within a range of 20 to 30 Pa, for example. The susceptor temperature is about 20-50 ° C.

ここで、酸化膜103をエッチングするための処理ガスとしては、C(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含むものを用いる。他のガスを含んでもよいが、C、C、希ガス、Oの4種のみからなるものを用いることが好ましい。Cはマスク形状を垂直にする役割を果たし、エッチング形状を良好にするために重要なガスである。しかし、Cのみを十分なエッチングレートが得られる流量で供給すると、間口にデポが生じてしまい、この後のエッチングにおいてボーイング等の形状不良が生じやすくなる。そこで、Cでxが3以下の整数、yは8以下の整数というCよりも1分子あたりのC量が少ないものを用いてこのようなデポを減少させる。効果的に開口のデポを減少させるためには、さらに下部電極としてのサセプタ16の温度を50℃程度まで上げることが好ましい。 Here, as a processing gas for etching the oxide film 103, a gas containing C x F y (x is an integer of 3 or less, y is an integer of 8 or less), C 4 F 8 , a rare gas, and O 2 is used. Use. Other gases may be included, but it is preferable to use a gas consisting of only four kinds of C x F y , C 4 F 8 , a rare gas, and O 2 . C 4 F 8 plays a role of making the mask shape vertical, and is an important gas for improving the etching shape. However, if only C 4 F 8 is supplied at a flow rate at which a sufficient etching rate is obtained, deposits are generated at the frontage, and shape defects such as bowing are likely to occur in the subsequent etching. Accordingly, such a depot is reduced by using C x F y where x is an integer of 3 or less and y is an integer of 8 or less and having a smaller amount of C per molecule than C 4 F 8 . In order to reduce the opening deposit effectively, it is preferable to further raise the temperature of the susceptor 16 as the lower electrode to about 50 ° C.

としてはCまたはCFを好適に用いることができる。この中でもCが好ましい。Cはエッチングレートを上昇させる作用を有する。Cを用いた場合には、その流量がCの流量以上であることが好ましい。これにより、開口のデポを有効に解消することができる。より好ましくは、Cの流量:Cの流量が1:1〜1.5:1程度が好ましい。具体的な流量としては、Cの流量が20〜60mL/min(標準状態に換算した流量(sccm))、Cの流量が20〜40mL/min(sccm)であることが好ましい。 As C x F y , C 3 F 8 or CF 4 can be preferably used. C 3 F 8 Among these are preferred. C 3 F 8 has an action of increasing the etching rate. When C 3 F 8 is used, the flow rate is preferably equal to or higher than the flow rate of C 4 F 8 . Thereby, the opening deposit can be effectively eliminated. More preferably, the flow rate of C 3 F 8 : the flow rate of C 4 F 8 is preferably about 1: 1 to 1.5: 1. Specifically, the flow rate of C 3 F 8 is 20 to 60 mL / min (flow rate converted to a standard state (sccm)), and the flow rate of C 4 F 8 is 20 to 40 mL / min (sccm). preferable.

ガスは、エッチングホールの抜け性を確保し、エッチングホールのボトムCD(Critical Dimention)を広くとるため、および処理ガスのバランスをとるために添加するものであり、流量比で処理ガス全体の2.5〜3.5%添加することが好ましい。具体的な流量としては20〜30mL/min(sccm)であることが好ましい。 O 2 gas is added in order to secure the escape characteristics of the etching hole, to widen the bottom CD (Critical Dimension) of the etching hole, and to balance the processing gas. It is preferable to add 2.5 to 3.5%. A specific flow rate is preferably 20 to 30 mL / min (sccm).

希ガスは、エッチングホールの抜け性を確保し、かつCF系ガスを希釈して処理ガスのバランスをとり、デポやFをコントロールするために添加するものであり、流量比で処理ガス全体の85〜90%添加することが好ましい。具体的な流量としては600〜900mL/min(sccm)であることが好ましい。ただし、希ガスの流量はハードマスク層104の材料によって異なり、ハードマスク層104がアモルファスカーボンの場合には800mL/min(sccm)以上が好ましい。しかしPolyMask材料を用いた場合には、300mL/min(sccm)以下にすることが好ましい。   The rare gas is added to secure etching hole detachability, to dilute the CF-based gas to balance the processing gas, and to control the deposit and F. It is preferable to add ~ 90%. A specific flow rate is preferably 600 to 900 mL / min (sccm). However, the flow rate of the rare gas varies depending on the material of the hard mask layer 104, and is preferably 800 mL / min (sccm) or more when the hard mask layer 104 is amorphous carbon. However, when a PolyMask material is used, it is preferably set to 300 mL / min (sccm) or less.

希ガスとしてはArおよびXeを好適に用いることができる。特に希ガスとしてXeを用いることにより、Cのキャリアとしての機能が高まり、エッチングの直線性を向上させることができ、ハードマスク層104のエッチング形状および酸化膜103のエッチング形状を良好にすることができる。   Ar and Xe can be suitably used as the rare gas. In particular, by using Xe as a rare gas, the function as a carrier of C can be enhanced, the etching linearity can be improved, and the etching shape of the hard mask layer 104 and the etching shape of the oxide film 103 can be improved. it can.

酸化膜103に先立って行われるハードマスク層104のエッチングにおいては、通常の条件でエッチングが行われる。例えば、ハードマスク層104がアモルファスカーボンの場合には、例えばC+希ガス(Ar)+Oが例示される。 In the etching of the hard mask layer 104 performed prior to the oxide film 103, the etching is performed under normal conditions. For example, when the hard mask layer 104 is amorphous carbon, for example, C 4 F 6 + rare gas (Ar) + O 2 is exemplified.

このようにチャンバ10内にエッチングのための処理ガスを導入した状態で、第1の高周波電源48からプラズマ生成用の高周波電力を所定のパワーで上部電極34に印加するとともに、第2の高周波電源90よりイオン引き込み用の高周波を所定のパワーで下部電極であるサセプタ16に印加する。そして、可変直流電源50から所定の直流電圧を上部電極34に印加する。さらに、静電チャック18のための直流電源22から直流電圧を静電チャック18の電極20に印加して、半導体ウエハWをサセプタ16に固定する。   In this manner, with the processing gas for etching being introduced into the chamber 10, high-frequency power for plasma generation is applied from the first high-frequency power supply 48 to the upper electrode 34 with a predetermined power, and the second high-frequency power supply From 90, a high frequency for ion attraction is applied to the susceptor 16 as the lower electrode with a predetermined power. Then, a predetermined DC voltage is applied to the upper electrode 34 from the variable DC power supply 50. Further, a DC voltage is applied from the DC power source 22 for the electrostatic chuck 18 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W to the susceptor 16.

上部電極34の電極板36に形成されたガス吐出孔37から吐出された処理ガスは、高周波電力により生じた上部電極34と下部電極であるサセプタ16間のグロー放電中でプラズマ化し、このプラズマで生成されるラジカルやイオンによって、まず図4の(a)に示すように、フォトレジスト膜106をマスクとしてハードマスク層104がエッチングされてレジストパターンが転写され、次いで、図4の(b)に示すように、ハードマスク層104をマスクとして酸化膜103がエッチングされてホール107が形成される。   The processing gas discharged from the gas discharge hole 37 formed in the electrode plate 36 of the upper electrode 34 is turned into plasma in the glow discharge between the upper electrode 34 and the lower electrode susceptor 16 generated by the high frequency power. First, as shown in FIG. 4A, the hard mask layer 104 is etched using the photoresist film 106 as a mask to transfer the resist pattern by the generated radicals and ions, and then the resist pattern is transferred to FIG. As shown, the hole 107 is formed by etching the oxide film 103 using the hard mask layer 104 as a mask.

上部電極34には高い周波数領域(例えば、10MHz以上)の高周波電力を供給するので、プラズマを好ましい状態で高密度化することができ、より低圧の条件下でも高密度プラズマを形成することができる。   Since high frequency power in a high frequency region (for example, 10 MHz or more) is supplied to the upper electrode 34, the plasma can be densified in a preferable state, and high density plasma can be formed even under lower pressure conditions. .

しかし、このように高周波電力のみを印加し、上記処理ガスを用いて酸化膜をエッチングする場合には、エッチングの形状性は確保することができるが、ハードマスク層104に対するエッチング選択比が低く、図5に示すように、酸化膜103のエッチングが終了する前にハードマスク層104が消失してしまう。   However, when only the high frequency power is applied and the oxide film is etched using the processing gas, the etching shape can be ensured, but the etching selectivity with respect to the hard mask layer 104 is low, As shown in FIG. 5, the hard mask layer 104 disappears before the etching of the oxide film 103 is completed.

そこで、本実施形態では、このようにプラズマを形成する際に、上部電極34に可変直流電源50から所定の極性および大きさの直流電圧を印加する。この際の印加電圧を適切に調整することによりハードマスク層104に対する選択比を良好にすることができ、図6に示すように、ハードマスク層104を残存させた状態で酸化膜103を形状性良くエッチングすることができる。このときの直流電圧の絶対値は800〜1200Vが好ましい。   Therefore, in the present embodiment, a DC voltage having a predetermined polarity and magnitude is applied from the variable DC power source 50 to the upper electrode 34 when plasma is formed in this way. By appropriately adjusting the applied voltage at this time, the selection ratio with respect to the hard mask layer 104 can be improved, and as shown in FIG. 6, the oxide film 103 is shaped with the hard mask layer 104 remaining. It can be etched well. The absolute value of the DC voltage at this time is preferably 800 to 1200V.

このことをより具体的に説明する。
上部電極34には、従前のエッチングプロセス、特に上部電極34への高周波電力が小さいエッチングプロセスによってポリマーが付着している。そして、エッチング処理を行う際に上部電極34に適切な直流電圧を印加すると、図7に示すように、上部電極の自己バイアス電圧Vdcを深くすること、つまり上部電極34表面でのVdcの絶対値を大きくすることができる。このため、上部電極34に付着しているポリマーが印加された直流電圧によってスパッタされて半導体ウエハWに供給され、ハードマスク層104の上に付着する。これによりハードマスク層104がエッチングされ難くなり、高い選択比で酸化膜103をエッチングすることができるようになる。
This will be described more specifically.
The polymer is attached to the upper electrode 34 by a conventional etching process, in particular, an etching process in which high-frequency power to the upper electrode 34 is small. When an appropriate DC voltage is applied to the upper electrode 34 during the etching process, as shown in FIG. 7, the self-bias voltage V dc of the upper electrode is increased, that is, V dc on the surface of the upper electrode 34 is increased. The absolute value can be increased. For this reason, the polymer adhering to the upper electrode 34 is sputtered by the applied DC voltage and supplied to the semiconductor wafer W and adheres onto the hard mask layer 104. As a result, the hard mask layer 104 is hardly etched, and the oxide film 103 can be etched with a high selectivity.

また、酸化膜103をエッチングする際に、このように上部電極34に直流電圧を印加すると、プラズマが形成される際に上部電極34近傍に生成された電子が処理空間の鉛直方向へ加速され、その際の直流電圧等を適切に制御することにより、電子をビアの内部に到達させることができ、シェーディング効果を抑制してよりホール形状が良好になる。   Further, when a DC voltage is applied to the upper electrode 34 in this way when etching the oxide film 103, electrons generated in the vicinity of the upper electrode 34 when plasma is formed are accelerated in the vertical direction of the processing space, By appropriately controlling the DC voltage or the like at that time, electrons can reach the inside of the via, and the shading effect is suppressed and the hole shape becomes better.

また、プラズマを形成した際に上部電極34に直流電圧が印加されると、プラズマ拡散のために比較的中心部のプラズマ密度を上昇させることができるが、チャンバ10内の圧力が比較的高くかつ処理ガスとしてCF系ガスのような負性ガスを用いる場合には、チャンバ10内の中心部のプラズマ密度が低くなる傾向にあり、直流電圧印加により中心部のプラズマ密度を上昇させることにより均一なプラズマ密度を得ることができる。   Further, if a DC voltage is applied to the upper electrode 34 when plasma is formed, the plasma density in the central portion can be increased due to plasma diffusion, but the pressure in the chamber 10 is relatively high and When a negative gas such as a CF-based gas is used as the processing gas, the plasma density in the central portion in the chamber 10 tends to be low, and the plasma density in the central portion is increased by applying a DC voltage to make it uniform. Plasma density can be obtained.

なお、直流電圧を印加することにより、よりデポリッチな条件を選択してハードマスク層を用いずにフォトレジスト膜のみで選択比を確保することもできるが、その場合には間口にデポが付いてボーイングや先細り等が生じてしまう。したがって、ハードマスク層104を用いることは必須である。   In addition, by applying a DC voltage, it is possible to select a more depolicing condition and ensure a selection ratio only with a photoresist film without using a hard mask layer, but in that case a depot is attached to the frontage. Boeing, taper, etc. will occur. Therefore, it is essential to use the hard mask layer 104.

次に、実際に本発明の方法における効果を確認した実験結果について説明する。
[実験1]
ここでは、Si基板の上に、エッチングストップ膜102として厚さ50nmのSiN膜を形成し、その上にエッチング対象である酸化膜103として厚さ1500nmのBPSG膜(下層)とTEOS膜(上層)を積層した2層膜を形成し、その上に、ハードマスク層104として500nmのアモルファスカーボン膜を形成し、その上に反射防止膜(BARC)105として60nmのSiON膜を形成し、さらにその上にフォトレジスト膜106として200nmのArFレジストを形成して図3に示す構造を有するサンプルを作製し、図1に示す装置によりハードマスク層104をエッチングした後、フォトレジスト膜106の残存分とハードマスク層104とをエッチングマスクとして酸化膜103を種々の条件でエッチングした。ここでは、径が90nmの円形のホールのエッチングを行った。酸化物エッチングに際し、チャンバ内圧力は2.7Pa、上部高周波パワーは1200W、下部高周波パワーは3800W、直流電圧は−1000V、温度は上部電極:95℃、下部電極:10℃とし、処理ガスとしてC、C、Ar、Oを用い、これらの流量を変化させてエッチングを行った。
Next, the experimental results for actually confirming the effect of the method of the present invention will be described.
[Experiment 1]
Here, a SiN film having a thickness of 50 nm is formed as an etching stop film 102 on a Si substrate, and a BPSG film (lower layer) and a TEOS film (upper layer) having a thickness of 1500 nm are formed thereon as an oxide film 103 to be etched. A 500 nm amorphous carbon film as a hard mask layer 104 is formed thereon, and a 60 nm SiON film as an antireflection film (BARC) 105 is formed thereon, and further thereon. A 200 nm ArF resist is formed as a photoresist film 106 to prepare a sample having the structure shown in FIG. 3, and the hard mask layer 104 is etched by the apparatus shown in FIG. The oxide film 103 was etched under various conditions using the mask layer 104 as an etching mask. Here, a circular hole having a diameter of 90 nm was etched. During oxide etching, the pressure in the chamber is 2.7 Pa, the upper high-frequency power is 1200 W, the lower high-frequency power is 3800 W, the DC voltage is -1000 V, the temperatures are the upper electrode: 95 ° C., the lower electrode: 10 ° C., and the processing gas is C Etching was performed using 3 F 8 , C 4 F 8 , Ar, and O 2 and changing these flow rates.

まず、Ar流量を800mL/min(sccm)、O流量を25mL/min(sccm)に固定してC/Cを変化させてエッチングを行った。その際のエッチング形状を図8に示す。図8のAは、C:35mL/min(sccm)およびC:30mL/min(sccm)とし、Bは、C:30mL/min(sccm)およびC:35mL/min(sccm)とし、Cは、C:25mL/min(sccm)およびC:40mL/min(sccm)とし、Dは、C:20mL/min(sccm)およびC:45mL/min(sccm)とした。この図に示すように、Cが開口のショルダー部分の形状が最も良かった。 First, etching was performed by changing the C 4 F 8 / C 3 F 8 while fixing the Ar flow rate to 800 mL / min (sccm) and the O 2 flow rate to 25 mL / min (sccm). The etching shape at that time is shown in FIG. 8A shows C 4 F 8 : 35 mL / min (sccm) and C 3 F 8 : 30 mL / min (sccm), and B shows C 4 F 8 : 30 mL / min (sccm) and C 3 F 8. : 35 mL / min (sccm), C is C 4 F 8 : 25 mL / min (sccm) and C 3 F 8 : 40 mL / min (sccm), and D is C 4 F 8 : 20 mL / min (sccm) ) And C 3 F 8 : 45 mL / min (sccm). As shown in this figure, C had the best shape of the shoulder portion of the opening.

次に、C/Cを上記Cの組成に固定し、ArとOの流量を変化させ、他の条件は上記試験と同様にしてエッチングを行った。その際のエッチング形状を図9に示す。図9のEは、Ar:500mL/min(sccm)およびO:34mL/min(sccm)とし、Fは、Ar:700mL/min(sccm)およびO:32mL/min(sccm)とし、Gは、Ar:900mL/min(sccm)およびO:30mL/min(sccm)とし、Hは、Ar:1100mL/min(sccm)およびO:28mL/min(sccm)とした。この中では、Gが開口のショルダー部分の形状が改善され、形状が最も良かった。さらに、ガス比をセンターリッチに変化させてプロセスチューニングを行ったところ、図10に示すようなボーイングが生じない良好な形状が得られた。このときのトップCD、ボーイングが生じていた中間のCD、ボトムCDは、ウエハのセンターでは、それぞれ89nm、89nm、74nm、ミドルでは、それぞれ91nm、93nm、75nm、エッジでは、それぞれ85nm、87nm、73nmと良好な値を示した。 Next, C 4 F 8 / C 3 F 8 was fixed to the composition of C, and the flow rates of Ar and O 2 were changed. Etching was performed in the same manner as in the above test under other conditions. The etching shape at that time is shown in FIG. E in FIG. 9 is Ar: 500 mL / min (sccm) and O 2 : 34 mL / min (sccm), F is Ar: 700 mL / min (sccm) and O 2 : 32 mL / min (sccm), G Was Ar: 900 mL / min (sccm) and O 2 : 30 mL / min (sccm), and H was Ar: 1100 mL / min (sccm) and O 2 : 28 mL / min (sccm). Among these, G improved the shape of the shoulder portion of the opening, and the shape was the best. Furthermore, when process tuning was performed by changing the gas ratio to center rich, a good shape without bowing as shown in FIG. 10 was obtained. At this time, the top CD, the intermediate CD where the bowing occurred, and the bottom CD are 89 nm, 89 nm and 74 nm at the wafer center, respectively 91 nm, 93 nm and 75 nm at the middle, and 85 nm, 87 nm and 73 nm at the edge, respectively. And showed good values.

以上から、Cが多く、Arが多い所定の条件でエッチングの形状性が良好になることが確認された。 From the above, it has been confirmed that the etching shape is good under the predetermined conditions with a large amount of C 3 F 8 and a large amount of Ar.

[実験2]
ここでは、実験1と同様の構造のサンプルを作製し、図1に示す装置によりハードマスク層104をエッチングした後、フォトレジスト膜106の残存分とハードマスク層104とをエッチングマスクとして酸化膜103のエッチングを行った。ここでは、圧力:2.7Pa、温度が上部電極:95℃、下部電極:10℃を固定条件とし、条件Iでは、上部高周波パワー:1200W、下部高周波パワー:3800W、直流電圧:−1000V、C:40mL/min(sccm)、C:25mL/min(sccm)、Ar:900mL/min(sccm)、O:30mL/min(sccm)とし、条件Jでは、上部高周波パワー:1200W、下部高周波パワー:3800W、直流電圧:−1000V、C:25mL/min(sccm)、C:40mL/min(sccm)、Ar:1000mL/min(sccm)、O:28mL/min(sccm)とし、条件Kでは、上部高周波パワー:1500W、下部高周波パワー:4500W、直流電圧:−1100V、C:25mL/min(sccm)、C:40mL/min(sccm)、Ar:1000mL/min(sccm)、O:25mL/min(sccm)としてエッチングを行った。その結果を図11に示す。この図に示すように、条件I→条件Jに示すように、Cに対するCの量が多くなるとエッチング形状が良好になり、条件J→条件Kに示すように、上部高周波パワーおよび下部高周波パワーを上昇させ、直流電圧を上昇させ、Oを減らすことにより、さらにCDがシュリンクしてさらに良好なエッチング形状が得られた。
[Experiment 2]
Here, a sample having the same structure as in Experiment 1 is prepared, and after etching the hard mask layer 104 using the apparatus shown in FIG. 1, the oxide film 103 is etched using the remaining portion of the photoresist film 106 and the hard mask layer 104 as an etching mask. Etching was performed. Here, the pressure is 2.7 Pa, the temperature is the upper electrode: 95 ° C., the lower electrode is 10 ° C., and the condition I is upper high frequency power: 1200 W, lower high frequency power: 3800 W, DC voltage: −1000 V, C 4 F 8 : 40 mL / min (sccm), C 3 F 8 : 25 mL / min (sccm), Ar: 900 mL / min (sccm), O 2 : 30 mL / min (sccm). 1200 W, lower high-frequency power: 3800 W, DC voltage: −1000 V, C 4 F 8 : 25 mL / min (sccm), C 3 F 8 : 40 mL / min (sccm), Ar: 1000 mL / min (sccm), O 2 : 28 mL / min (sccm) and under condition K, upper high frequency power: 1500 W, lower high frequency power 4500 W, the DC voltage: -1100V, C 4 F 8: 25mL / min (sccm), C 3 F 8: 40mL / min (sccm), Ar: 1000mL / min (sccm), O 2: 25mL / min (sccm) Etching was performed. The result is shown in FIG. As shown in this figure, as shown in the condition I → condition J, the etching shape becomes better when the amount of C 3 F 8 with respect to C 4 F 8 increases, and as shown in the condition J → condition K, the upper high frequency By increasing the power and lower high-frequency power, increasing the DC voltage, and reducing O 2 , the CD was further shrunk and a better etching shape was obtained.

[実験3]
ここでは、Si基板101の上に、エッチングストップ膜102として厚さ40nmのSiN膜を形成し、その上にエッチング対象である酸化膜103として厚さ2.0μmのPSGを形成し、その上に、ハードマスク層104として400nmのアモルファスカーボン膜を形成し、その上に反射防止膜(BARC)105として60nmのSiON膜を形成し、さらにその上にフォトレジスト膜106として200nmのArFレジストを形成して図3に示す構造を有するサンプルを作製し、図1に示す装置によりハードマスク層104をエッチングした後、フォトレジスト膜106の残存分とハードマスク層104とをエッチングマスクとして酸化膜103を種々の条件でエッチングした。ここでは、長径が160nm、短径が80nmの楕円形で、アスペクト比が25のホールのエッチングを行った。酸化物エッチングに際し、チャンバ内圧力は3.3Pa、上部高周波パワーは1000W、下部高周波パワーは4500W、直流電圧は−500V、温度は上部電極:95℃、下部電極:50℃とし、処理ガスとしてC、C、Xe、Oを用い、Xeの流量を400mL/min(sccm)に固定して、他を変化させた。条件Lでは、C:20mL/min(sccm)、C:20mL/min(sccm)、O:12.5mL/min(sccm)とし(C/C=1)、条件Mでは、C:10mL/min(sccm)、C:30mL/min(sccm)、O:10mL/min(sccm)(C/C=3)とし、条件Nでは、C:6.7mL/min(sccm)、C:33.3mL/min(sccm)、O:7.5mL/min(sccm)(C/C=5)としてエッチングを行った。その結果を図12に示す。この図からC/Cが1から3でエッジ側のボーイングが著しく改善し、C/C=5でエッジ側のボーイングはほぼなくなるが、センターの間口の拡がりが顕著になる傾向が見られた。また、Cの比率を上昇させることによりエッチング選択比が落ちていくことが確認された。このようにCの比率が上昇するにつれて、ボーイング→ボーイングレス→間口の拡がりというように変化していく。センターおよびエッジの形状差、選択比を考慮すると、C/C=3が最適値であることが確認された。ただし、ボーイングが改善されるC/C比は、ハードマスク層の厚さ、硬さ、酸化膜の硬さホールの短軸/長軸比によって異なってくると考えられる。
[Experiment 3]
Here, a SiN film having a thickness of 40 nm is formed on the Si substrate 101 as the etching stop film 102, and a PSG having a thickness of 2.0 μm is formed thereon as the oxide film 103 to be etched, on which Then, a 400 nm amorphous carbon film is formed as the hard mask layer 104, a 60 nm SiON film is formed as the antireflection film (BARC) 105, and a 200 nm ArF resist is formed as the photoresist film 106 thereon. A sample having the structure shown in FIG. 3 is prepared, and the hard mask layer 104 is etched using the apparatus shown in FIG. Etching was performed under the following conditions. Here, etching was performed on an oval having a major axis of 160 nm and a minor axis of 80 nm and an aspect ratio of 25. During the oxide etching, the pressure in the chamber is 3.3 Pa, the upper radio frequency power is 1000 W, the lower radio frequency power is 4500 W, the DC voltage is −500 V, the temperatures are the upper electrode: 95 ° C., the lower electrode: 50 ° C., and the process gas is C Using 3 F 8 , C 4 F 8 , Xe, and O 2 , the flow rate of Xe was fixed at 400 mL / min (sccm), and the others were changed. In Condition L, C 4 F 8 : 20 mL / min (sccm), C 3 F 8 : 20 mL / min (sccm), and O 2 : 12.5 mL / min (sccm) (C 3 F 8 / C 4 F 8 = 1), under condition M, C 4 F 8 : 10 mL / min (sccm), C 3 F 8 : 30 mL / min (sccm), O 2 : 10 mL / min (sccm) (C 3 F 8 / C 4 F 8 = 3), and under condition N, C 4 F 8 : 6.7 mL / min (sccm), C 3 F 8 : 33.3 mL / min (sccm), O 2 : 7.5 mL / min (sccm) ( Etching was performed with C 3 F 8 / C 4 F 8 = 5). The result is shown in FIG. From this figure, when C 3 F 8 / C 4 F 8 is 1 to 3, the bowing on the edge side is remarkably improved, and when C 3 F 8 / C 4 F 8 = 5, the bowing on the edge side is almost eliminated. There was a tendency for the spread of In addition, it was confirmed that the etching selectivity was lowered by increasing the ratio of C 3 F 8 . Thus, as the ratio of C 3 F 8 increases, it changes as Boeing → Boeingless → Opening of frontage. It was confirmed that C 3 F 8 / C 4 F 8 = 3 is an optimum value in consideration of the shape difference between the center and the edge and the selection ratio. However, it is considered that the C 3 F 8 / C 4 F 8 ratio for improving the bowing varies depending on the thickness / hardness of the hard mask layer and the short axis / major axis ratio of the hole hardness of the oxide film.

[実験4]
ここでは、DC印加によるエッチング均一性について確認した。
Si基板101の上に、エッチングストップ膜102として厚さ60nmのSiN膜を形成し、その上にエッチング対象である酸化膜103として厚さ2000nmのBPSGを形成し、その上に反射防止膜(BARC)105として60nmのSiON膜を形成し、さらにその上にフォトレジスト膜106として650nmのArFレジストを形成して図3からハードマスク層104を除いた構造を有するサンプルを作製し、図1に示す装置によりフォトレジスト膜106をエッチングマスクとして酸化膜103を種々の条件でエッチングした。処理ガスとしてC、CF、Ar、Oを用い、C:40mL/min(sccm)、CF:60mL/min(sccm)、Ar:350mL/min(sccm)、O:45mL/min(sccm)とし、圧力:2.67Pa(20mTorr)として、上部高周波パワーおよび直流電圧を変化させてエッチングレートと選択比を求めた。その結果図13に示すようになった。この図から、直流電圧を上げるとセンターのホールエッチングレートが上昇し、上部高周波パワーを上げるとエッジのホールエッチングレートが上昇することがわかる。このことから、上部電極へ印加する直流電圧または上部高周波パワーで面内エッチングレートをコントロールし得ることが確認された。また、センター/エッジのエッチングレートの逆転も容易に行うことができる。
[Experiment 4]
Here, the etching uniformity by DC application was confirmed.
On the Si substrate 101, a SiN film having a thickness of 60 nm is formed as an etching stop film 102, a BPSG having a thickness of 2000 nm is formed as an oxide film 103 to be etched, and an antireflection film (BARC) is formed thereon. ) A 60 nm SiON film is formed as 105, and further a 650 nm ArF resist is formed thereon as the photoresist film 106 to prepare a sample having a structure in which the hard mask layer 104 is removed from FIG. The oxide film 103 was etched under various conditions using the photoresist film 106 as an etching mask. C 4 F 6 , CF 4 , Ar, O 2 is used as a processing gas, C 4 F 6 : 40 mL / min (sccm), CF 4 : 60 mL / min (sccm), Ar: 350 mL / min (sccm), O 2 : 45 mL / min (sccm), pressure: 2.67 Pa (20 mTorr), the upper high-frequency power and the direct-current voltage were changed to obtain the etching rate and the selection ratio. As a result, it became as shown in FIG. From this figure, it can be seen that increasing the DC voltage increases the hole etching rate at the center, and increasing the upper high frequency power increases the hole etching rate at the edge. From this, it was confirmed that the in-plane etching rate can be controlled by the DC voltage applied to the upper electrode or the upper high-frequency power. In addition, the center / edge etching rate can be easily reversed.

なお、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では、ハードマスク層としてアモルファスカーボンを用いた場合について示したが、上述のように他の従来から用いられているハードマスク材料を用いることができる。また、酸化膜としてTEOSを原料にして成膜したものやBPSG、PSGを例示したが、これに限るものではない。   The present invention can be variously modified without being limited to the above embodiment. For example, although the case where amorphous carbon is used as the hard mask layer has been described in the above embodiment, other conventionally used hard mask materials can be used as described above. In addition, the oxide film formed using TEOS as a raw material, BPSG, and PSG are exemplified, but the present invention is not limited thereto.

また、本発明が適用される装置についても図1のものに限定されるものではなく、以下に示す種々のものを用いることができる。例えば、上部電極を中心と周辺に2分割して高周波の印加パワーをそれぞれ調整することができるタイプのものを用いてもよい。また、図14に示すように、下部電極であるサセプタ16に第1の高周波電源48′からプラズマ生成用の例えば40MHzの高周波電力を印加するとともに、第2の高周波電源90′からイオン引き込み用の例えば2MHzの高周波電力を印加する下部2周波印加タイプのプラズマエッチング装置を適用することもできる。図示のように上部電極234に可変直流電源166を接続して所定の直流電圧を印加することにより、上記実施形態と同様の効果を得ることができる。   Further, the apparatus to which the present invention is applied is not limited to that shown in FIG. 1, and various apparatuses shown below can be used. For example, a type in which the upper electrode is divided into two at the center and the periphery and the applied power of the high frequency can be adjusted respectively. Further, as shown in FIG. 14, for example, a high frequency power of 40 MHz for plasma generation is applied from the first high frequency power supply 48 'to the susceptor 16, which is the lower electrode, and an ion is attracted from the second high frequency power supply 90'. For example, a lower two-frequency application type plasma etching apparatus that applies high-frequency power of 2 MHz can be applied. By connecting a variable DC power source 166 to the upper electrode 234 and applying a predetermined DC voltage as shown in the figure, the same effect as in the above embodiment can be obtained.

さらに、図15に示すように、図14において下部電極であるサセプタ16に接続されている第1の高周波電源48′および第2の高周波電源90′の代わりに高周波電源170を接続し、この高周波電源170からプラズマ形成用およびバイアス形成用を兼ねた例えば40MHzの高周波電力を印加するタイプのプラズマエッチング装置であっても適用することができ、この場合も図14の場合と同様、上部電極234に可変直流電源166を接続して所定の直流電圧を印加することにより、上記実施形態と同様の効果を得ることができる。   Further, as shown in FIG. 15, a high frequency power supply 170 is connected in place of the first high frequency power supply 48 'and the second high frequency power supply 90' connected to the susceptor 16 as the lower electrode in FIG. For example, a plasma etching apparatus of a type that applies high-frequency power of 40 MHz, for example, that serves both for plasma formation and bias formation from the power supply 170 can be applied. In this case as well, as in the case of FIG. By connecting the variable DC power source 166 and applying a predetermined DC voltage, it is possible to obtain the same effect as the above embodiment.

本発明の実施に用いられるプラズマエッチング装置の一例を示す概略断面図。The schematic sectional drawing which shows an example of the plasma etching apparatus used for implementation of this invention. 図1のプラズマエッチング装置において第1の高周波電源に接続された整合器の構造を示す図。The figure which shows the structure of the matching device connected to the 1st high frequency power supply in the plasma etching apparatus of FIG. 本発明の一実施形態の実施に用いられる半導体ウエハWの構造を示す断面図。Sectional drawing which shows the structure of the semiconductor wafer W used for implementation of one Embodiment of this invention. 図3の構造がエッチングされる状態を説明するための模式図。The schematic diagram for demonstrating the state by which the structure of FIG. 3 is etched. 酸化膜のエッチング途中でハードマスク層が消失した状態を示す模式図。The schematic diagram which shows the state which the hard mask layer lose | disappeared during the etching of the oxide film. 本実施形態により酸化膜をエッチングした際の状態を示す模式図。The schematic diagram which shows the state at the time of etching an oxide film by this embodiment. 図1のプラズマ処理装置において、上部電極に直流電圧を印加した場合と印加しない場合とでプラズマ状態を比較して示す図。The plasma processing apparatus of FIG. 1 WHEREIN: The figure which compares and shows a plasma state with the case where a DC voltage is applied to an upper electrode, and the case where it does not apply. 実験1の結果を示す図。The figure which shows the result of Experiment 1. 実験1の結果を示す図。The figure which shows the result of the experiment 1. FIG. 実験1の結果を示す図。The figure which shows the result of the experiment 1. FIG. 実験2の結果を示す図。The figure which shows the result of the experiment 2. FIG. 実験3の結果を示す図。The figure which shows the result of the experiment 3. 実験4の結果を示す図。The figure which shows the result of the experiment 4. FIG. 本発明の実施に適用が可能な他のタイプのプラズマエッチング装置の例を示す概略図。Schematic which shows the example of the plasma etching apparatus of the other type which can be applied to implementation of this invention. 本発明の実施に適用が可能なさらに他のタイプのプラズマエッチング装置の例を示す概略図。Schematic which shows the example of the further another type of plasma etching apparatus applicable to implementation of this invention.

符号の説明Explanation of symbols

10…チャンバ(処理容器)
16…サセプタ(下部電極)
34…上部電極
44…給電棒
46,88…整合器
48…第1の高周波電源
50…可変直流電源
51…コントローラ
52…オン・オフスイッチ
66…処理ガス供給源
84…排気装置
90…第2の高周波電源
91…GNDブロック
101…Si基板
102…エッチングストップ膜
103…酸化膜
104…ハードマスク層
105…反射防止膜(BARC)
106…フォトレジスト膜
W…半導体ウエハ(被処理基板)
10 ... Chamber (processing container)
16 ... susceptor (lower electrode)
34 ... Upper electrode 44 ... Feeding rods 46, 88 ... Matching device 48 ... First high frequency power supply 50 ... Variable DC power supply 51 ... Controller 52 ... On / off switch 66 ... Processing gas supply source 84 ... Exhaust device 90 ... Second High-frequency power supply 91 ... GND block 101 ... Si substrate 102 ... Etching stop film 103 ... Oxide film 104 ... Hard mask layer 105 ... Antireflection film (BARC)
106: Photoresist film W: Semiconductor wafer (substrate to be processed)

Claims (9)

内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記上部電極または下部電極にプラズマ生成用の相対的に高い周波数の高周波電力を印加し、前記下部電極にバイアス用の相対的に低い周波数の高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を用いて、基板に形成された酸化膜をハードマスク層を介してプラズマエッチングするプラズマエッチング方法であって、
前記処理容器内にエッチング対象の酸化膜、ハードマスク層、パターン化されたフォトレジストが順次形成された基板を搬入し、前記下部電極に載置する工程と、
前記処理容器内にC(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスを供給する工程と、
前記上部電極または前記下部電極に高周波電力を印加して前記処理ガスのプラズマを生成する工程と、
前記下部電極にバイアス用の高周波電力を印加する工程と、
前記上部電極に直流電圧を印加する工程と
を有することを特徴とするプラズマエッチング方法。
In a processing chamber that can be evacuated, a lower electrode that functions as a substrate mounting table and an upper electrode that is formed to face the lower electrode are provided. A high-frequency high-frequency power is applied, a relatively low-frequency high-frequency power for bias is applied to the lower electrode, a DC voltage is applied to the upper electrode, and the processing gas supplied into the processing vessel A plasma etching method of plasma-etching an oxide film formed on a substrate through a hard mask layer using a plasma etching apparatus that performs plasma etching by converting the plasma into a plasma,
A step of carrying a substrate in which an oxide film to be etched, a hard mask layer, and a patterned photoresist are sequentially formed in the processing container, and placing the substrate on the lower electrode;
Supplying a processing gas containing C x F y (x is an integer of 3 or less, y is an integer of 8 or less), C 4 F 8 , a rare gas, and O 2 into the processing container;
Applying high frequency power to the upper electrode or the lower electrode to generate plasma of the processing gas;
Applying bias high frequency power to the lower electrode;
And a step of applying a direct current voltage to the upper electrode.
内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を用い、
前記処理容器内にエッチング対象の酸化膜、ハードマスク層、パターン化されたフォトレジストが順次形成された基板を搬入し、前記下部電極に載置する工程と、
前記処理容器内にC(xは3以下の整数、yは8以下の整数)、C、希ガス、Oを含む処理ガスを供給する工程と、
前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加して前記処理ガスのプラズマを生成するとともにバイアスを印加する工程と、
前記上部電極に直流電圧を印加する工程と
を有することを特徴とするプラズマエッチング方法。
In a processing chamber that can be evacuated, a lower electrode that functions as a substrate mounting table and an upper electrode that is formed so as to face the lower electrode are provided, and the lower electrode serves as both a plasma generator and a bias. Using a plasma etching apparatus that applies a high frequency power, applies a DC voltage to the upper electrode, converts the processing gas supplied into the processing vessel into plasma and performs plasma etching,
A step of carrying a substrate in which an oxide film to be etched, a hard mask layer, and a patterned photoresist are sequentially formed in the processing container, and placing the substrate on the lower electrode;
Supplying a processing gas containing C x F y (x is an integer of 3 or less, y is an integer of 8 or less), C 4 F 8 , a rare gas, and O 2 into the processing container;
Applying a bias while generating a plasma of the processing gas by applying high-frequency power that serves both as a plasma generator and a bias to the lower electrode;
And a step of applying a direct current voltage to the upper electrode.
前記ハードマスク層はアモルファスカーボン膜であることを特徴とする請求項1または請求項2に記載のプラズマエッチング方法。   The plasma etching method according to claim 1, wherein the hard mask layer is an amorphous carbon film. 前記CはCまたはCFであることを特徴とする請求項1から請求項3のいずれか1項に記載のプラズマエッチング方法。 Wherein C x F y plasma etching method according to any one of claims 1 to 3, characterized in that the C 3 F 8 or CF 4. 前記CはCであり、その流量が前記Cの流量以上であることを特徴とする請求項1から請求項3のいずれか1項に記載のプラズマエッチング方法。 4. The plasma etching method according to claim 1, wherein the C x F y is C 3 F 8 , and the flow rate thereof is equal to or higher than the flow rate of the C 4 F 8 . 前記直流電圧の絶対値が800〜1200Vであることを特徴とする請求項1から請求項5のいずれか1項に記載のプラズマエッチング方法。   6. The plasma etching method according to claim 1, wherein an absolute value of the DC voltage is 800 to 1200V. 前記希ガスは、ArまたはXeであることを特徴とする請求項1から請求項6のいずれか1項に記載のプラズマエッチング方法。   The plasma etching method according to claim 1, wherein the rare gas is Ar or Xe. 前記プラズマエッチングにより、間口が70〜90nm、アスペクト比が1:15〜1:25のホールが形成されることを特徴とする請求項1から請求項7のいずれか1項に記載のプラズマエッチング方法。   The plasma etching method according to any one of claims 1 to 7, wherein a hole having a frontage of 70 to 90 nm and an aspect ratio of 1:15 to 1:25 is formed by the plasma etching. . 内部が真空排気可能な処理容器内に、基板の載置台として機能する下部電極と、下部電極に対向するように形成された上部電極とを設け、前記上部電極または下部電極にプラズマ生成用の相対的に高い周波数の高周波電力を印加し、かつ前記下部電極にバイアス用の相対的に低い周波数の高周波電力を印加するか、または、前記下部電極にプラズマ生成用およびバイアス用を兼ねた高周波電力を印加し、前記上部電極に直流電圧を印加し、前記処理容器内に供給された処理ガスをプラズマ化してプラズマエッチングを行うプラズマエッチング装置を制御するための、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、
前記制御プログラムは、実行時に、請求項1から請求項8のいずれかの方法が行われるようにコンピュータに前記プラズマエッチング装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体。
In a processing chamber that can be evacuated, a lower electrode that functions as a substrate mounting table and an upper electrode that is formed to face the lower electrode are provided. A high frequency power having a high frequency is applied, and a high frequency power having a relatively low frequency for bias is applied to the lower electrode, or a high frequency power that serves both as a plasma generator and a bias is applied to the lower electrode. A control program that operates on a computer is stored for controlling a plasma etching apparatus that applies plasma, applies a DC voltage to the upper electrode, converts the processing gas supplied into the processing vessel into plasma, and performs plasma etching. A computer-readable storage medium,
A computer-readable storage medium characterized in that, when executed, the control program causes a computer to control the plasma etching apparatus so that the method of any one of claims 1 to 8 is performed.
JP2006196927A 2006-07-19 2006-07-19 Plasma etching method and computer readable storage medium Pending JP2008028022A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006196927A JP2008028022A (en) 2006-07-19 2006-07-19 Plasma etching method and computer readable storage medium
KR1020070066809A KR100861260B1 (en) 2006-07-19 2007-07-04 Plasma etching method and computer-readable storage medium
US11/774,184 US8129282B2 (en) 2006-07-19 2007-07-06 Plasma etching method and computer-readable storage medium
TW96126183A TWI467650B (en) 2006-07-19 2007-07-18 Plasma etch methods and computer-readable memory media
CNB2007101361562A CN100541734C (en) 2006-07-19 2007-07-19 Plasma-etching method
US13/399,509 US8609547B2 (en) 2006-07-19 2012-02-17 Plasma etching method and computer-readable storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006196927A JP2008028022A (en) 2006-07-19 2006-07-19 Plasma etching method and computer readable storage medium

Publications (1)

Publication Number Publication Date
JP2008028022A true JP2008028022A (en) 2008-02-07

Family

ID=39042354

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006196927A Pending JP2008028022A (en) 2006-07-19 2006-07-19 Plasma etching method and computer readable storage medium

Country Status (4)

Country Link
JP (1) JP2008028022A (en)
KR (1) KR100861260B1 (en)
CN (1) CN100541734C (en)
TW (1) TWI467650B (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009239012A (en) * 2008-03-27 2009-10-15 Tokyo Electron Ltd Plasma processing device and method of plasma etching
JP2010192668A (en) * 2009-02-18 2010-09-02 Tokyo Electron Ltd Plasma processing method
JP2011119426A (en) * 2009-12-03 2011-06-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
JP2011134896A (en) * 2009-12-24 2011-07-07 Tokyo Electron Ltd Etching method and etching treatment device
JP2011192718A (en) * 2010-03-12 2011-09-29 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, and computer-readable storage medium
JP2014096499A (en) * 2012-11-09 2014-05-22 Tokyo Electron Ltd Plasma etching method and plasma etching device
TWI452626B (en) * 2008-02-18 2014-09-11 Tokyo Electron Ltd A plasma processing apparatus and a plasma processing method, and a memory medium
JP2016032028A (en) * 2014-07-29 2016-03-07 東京エレクトロン株式会社 Plasma processing device and plasma processing method
JP2016507157A (en) * 2013-01-22 2016-03-07 ティーイーエル エフエスアイ,インコーポレイティド Method for removing carbon material from a substrate
CN111819667A (en) * 2018-08-08 2020-10-23 东京毅力科创株式会社 Plasma processing method and plasma processing apparatus
WO2024029612A1 (en) * 2022-08-05 2024-02-08 東京エレクトロン株式会社 Substrate processing system and substrate processing method

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764040B (en) * 2008-12-23 2012-05-30 中芯国际集成电路制造(上海)有限公司 Control method for plasma etching
JP4855506B2 (en) * 2009-09-15 2012-01-18 住友精密工業株式会社 Plasma etching equipment
WO2011066668A1 (en) * 2009-12-02 2011-06-09 C Sun Mfg. Ltd. Method of etching features into substrate
CN101777493A (en) * 2010-01-28 2010-07-14 上海宏力半导体制造有限公司 Hard mask layer etching method
CN102270600B (en) * 2010-06-04 2013-09-25 中芯国际集成电路制造(北京)有限公司 Forming method of through hole
US8420545B2 (en) * 2011-05-23 2013-04-16 Nanya Technology Corporation Plasma etching method and plasma etching apparatus for preparing high-aspect-ratio structures
JP6356516B2 (en) * 2014-07-22 2018-07-11 東芝メモリ株式会社 Plasma processing apparatus and plasma processing method
JP6498022B2 (en) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 Etching method
KR101913684B1 (en) * 2016-10-21 2018-11-01 주식회사 볼트크리에이션 Appratus for dry etching and method for controlling the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002075975A (en) * 2000-08-29 2002-03-15 Samsung Electronics Co Ltd Method for fabricating semiconductor element using etching gas containing octafluorobutene and semiconductor element fabricated by that method
WO2005124844A1 (en) * 2004-06-21 2005-12-29 Tokyo Electron Limited Plasma processing device amd method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3038950B2 (en) * 1991-02-12 2000-05-08 ソニー株式会社 Dry etching method
US6159862A (en) * 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
KR100384789B1 (en) * 2000-10-16 2003-05-22 주식회사 하이닉스반도체 A Plasma Etching apparatus for fabricating semiconductor
TWI264043B (en) 2002-10-01 2006-10-11 Tokyo Electron Ltd Method and system for analyzing data from a plasma process
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
KR100704470B1 (en) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
KR100725342B1 (en) * 2005-10-21 2007-06-07 동부일렉트로닉스 주식회사 Semiconductor plasma etching apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002075975A (en) * 2000-08-29 2002-03-15 Samsung Electronics Co Ltd Method for fabricating semiconductor element using etching gas containing octafluorobutene and semiconductor element fabricated by that method
WO2005124844A1 (en) * 2004-06-21 2005-12-29 Tokyo Electron Limited Plasma processing device amd method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI452626B (en) * 2008-02-18 2014-09-11 Tokyo Electron Ltd A plasma processing apparatus and a plasma processing method, and a memory medium
JP2009239012A (en) * 2008-03-27 2009-10-15 Tokyo Electron Ltd Plasma processing device and method of plasma etching
JP2010192668A (en) * 2009-02-18 2010-09-02 Tokyo Electron Ltd Plasma processing method
JP2011119426A (en) * 2009-12-03 2011-06-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
JP2011134896A (en) * 2009-12-24 2011-07-07 Tokyo Electron Ltd Etching method and etching treatment device
JP2011192718A (en) * 2010-03-12 2011-09-29 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, and computer-readable storage medium
JP2014096499A (en) * 2012-11-09 2014-05-22 Tokyo Electron Ltd Plasma etching method and plasma etching device
JP2016507157A (en) * 2013-01-22 2016-03-07 ティーイーエル エフエスアイ,インコーポレイティド Method for removing carbon material from a substrate
JP2016032028A (en) * 2014-07-29 2016-03-07 東京エレクトロン株式会社 Plasma processing device and plasma processing method
US10233535B2 (en) 2014-07-29 2019-03-19 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN111819667A (en) * 2018-08-08 2020-10-23 东京毅力科创株式会社 Plasma processing method and plasma processing apparatus
WO2024029612A1 (en) * 2022-08-05 2024-02-08 東京エレクトロン株式会社 Substrate processing system and substrate processing method

Also Published As

Publication number Publication date
CN100541734C (en) 2009-09-16
TWI467650B (en) 2015-01-01
CN101110361A (en) 2008-01-23
KR20080008226A (en) 2008-01-23
TW200814188A (en) 2008-03-16
KR100861260B1 (en) 2008-10-01

Similar Documents

Publication Publication Date Title
JP2008028022A (en) Plasma etching method and computer readable storage medium
JP5323306B2 (en) Plasma etching method and computer-readable storage medium
JP5642001B2 (en) Plasma etching method
JP4827081B2 (en) Plasma etching method and computer-readable storage medium
JP6320248B2 (en) Plasma etching method
JP6568822B2 (en) Etching method
US8609547B2 (en) Plasma etching method and computer-readable storage medium
JP5128421B2 (en) Plasma processing method and resist pattern modification method
JP5674375B2 (en) Plasma processing method and plasma processing apparatus
JP4754374B2 (en) Plasma etching method and computer-readable storage medium
JP2008078515A (en) Plasma treatment method
JP6050944B2 (en) Plasma etching method and plasma processing apparatus
JP2008192906A (en) Plasma etching method, plasma etching device, control program and computer storage medium
JP2017011127A (en) Etching method
WO2014057799A1 (en) Plasma etching method
WO2014034396A1 (en) Plasma processing method and plasma processing device
KR100894345B1 (en) Plasma etching method and computer-readable storage medium
JP5065787B2 (en) Plasma etching method, plasma etching apparatus, and storage medium
TW201742149A (en) Etching method
JP4827567B2 (en) Plasma etching method and computer-readable storage medium
JP2020088174A (en) Etching method and substrate processing apparatus
JP2008172184A (en) Plasma etching method, plasma etching device, control program and computer storage medium
TW202032662A (en) Plasma processing method and plasma processing apparatus
JP2020177958A (en) Substrate processing method and substrate processing device
JP2014192333A (en) Method for forming hard mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090601

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120313

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120514

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130319