JP2007297590A - Resist material and pattern formation method using the same - Google Patents

Resist material and pattern formation method using the same Download PDF

Info

Publication number
JP2007297590A
JP2007297590A JP2007005848A JP2007005848A JP2007297590A JP 2007297590 A JP2007297590 A JP 2007297590A JP 2007005848 A JP2007005848 A JP 2007005848A JP 2007005848 A JP2007005848 A JP 2007005848A JP 2007297590 A JP2007297590 A JP 2007297590A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
resist material
linear
sulfonate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007005848A
Other languages
Japanese (ja)
Other versions
JP4842844B2 (en
Inventor
Tatsushi Kaneko
達志 金子
Jun Hatakeyama
畠山  潤
Yuji Harada
裕次 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2007005848A priority Critical patent/JP4842844B2/en
Publication of JP2007297590A publication Critical patent/JP2007297590A/en
Application granted granted Critical
Publication of JP4842844B2 publication Critical patent/JP4842844B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/52Improvements relating to the production of bulk chemicals using catalysts, e.g. selective catalysts

Abstract

<P>PROBLEM TO BE SOLVED: To provide a resist material that has good barrier performance to water, enables to keep a resist composition from eluting to water, has a high receding contact angle to water, is useful for an immersion lithography due to excellent process applicability without requiring a protective film, and enables to form a fine pattern precisely, as well as to provide a pattern formation method using the material. <P>SOLUTION: This resist material comprises a polymer compound having a repeating unit, as a minimum, represented by general formula (1). <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体素子などの製造工程における微細加工、例えば波長193nmのArFエキシマレーザーを光源とし、投影レンズとウエーハの間に水を挿入する液浸フォトリソグラフィーで用いるレジスト材料、及びこれを用いたレジストパターンの形成方法に関するものである。   The present invention uses a resist material used in immersion photolithography in which fine processing in a manufacturing process of a semiconductor element or the like, for example, an ArF excimer laser with a wavelength of 193 nm is used as a light source, and water is inserted between a projection lens and a wafer, and the same The present invention relates to a resist pattern forming method.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。   In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching.

これまでレジストパターン形成の際に使用する露光光として、水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。そして、更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。   Conventionally, light exposure using a g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source has been widely used as exposure light used in forming a resist pattern. As a means for further miniaturization, a method of shortening the exposure wavelength is effective, and a mass production process after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory). In this case, a short wavelength KrF excimer laser (248 nm) was used as an exposure light source in place of i-line (365 nm).

しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。   However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest.

当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。   Initially, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9.

次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジストのエッチング耐性低下等の種々の問題により、F2リソグラフィーの先送りと、ArF液浸リソグラフィーの早期導入が提唱された(非特許文献1参照)。 For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, various factors such as an increase in the cost of the scanner by using a large amount of expensive CaF 2 single crystal for the projection lens, a change in the optical system due to the introduction of a hard pellicle because the durability of the soft pellicle is extremely low, and a reduction in resist etching resistance Because of this problem, it was proposed to postpone F 2 lithography and early introduction of ArF immersion lithography (see Non-Patent Document 1).

ArF液浸リソグラフィーにおいて、投影レンズとウエーハの間に水を含浸させることが提案されている。193nmにおける水の屈折率は1.44であり、NA1.0以上のレンズを使ってもパターン形成が可能で、理論上はNAを1.35にまで上げることができる。NAの向上分だけ解像力が向上し、NA1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示されている(非特許文献2参照)。   In ArF immersion lithography, it has been proposed to impregnate water between the projection lens and the wafer. The refractive index of water at 193 nm is 1.44, and it is possible to form a pattern using a lens having an NA of 1.0 or more. Theoretically, the NA can be increased to 1.35. The resolution is improved by the improvement of NA, and the possibility of a 45 nm node is shown by the combination of a lens of NA 1.2 or higher and strong super-resolution technology (see Non-Patent Document 2).

ここで、レジスト膜の上に水が存在することによる様々な問題が指摘された。すなわち、レジスト組成物である光酸発生剤や、光照射により発生した酸、クエンチャーとしてレジスト膜に添加されているアミン化合物が接触している水に溶出してしまうこと(リーチング)によるパターン形状変化、フォトレジスト膜の水膨潤によるパターン倒れなどがあげられる。   Here, various problems due to the presence of water on the resist film have been pointed out. In other words, the photo acid generator, which is a resist composition, the acid generated by light irradiation, and the amine compound added to the resist film as a quencher elutes in the water in contact (leaching), resulting in a pattern shape Change, pattern collapse due to water swelling of the photoresist film, and the like.

特に、レジスト組成物の水への溶出については、当初は露光装置の投影レンズへの汚染防止の観点から検討が開始され、複数の露光装置メーカーより溶出量規格が提案された。   In particular, with regard to elution of the resist composition into water, studies were initially started from the viewpoint of preventing contamination of the projection lens of the exposure apparatus, and a plurality of exposure apparatus manufacturers proposed elution amount standards.

この問題を解決する方法として、レジスト膜と水との間にパーフルオロアルキル化合物から成る保護膜を設けることが有効であることが提案されている(非特許文献3参照)。   As a method for solving this problem, it has been proposed to provide a protective film made of a perfluoroalkyl compound between a resist film and water (see Non-Patent Document 3).

これらの保護膜を形成することで、フォトレジスト膜と水との直接的な接触を避けることができるため、フォトレジスト組成物の水への溶出を抑えることが可能となる。   By forming these protective films, direct contact between the photoresist film and water can be avoided, so that elution of the photoresist composition into water can be suppressed.

しかし、上記パーフルオロアルキル化合物から成る保護膜は、塗布膜厚を制御するための希釈液にはフロンなどが用いられており、周知の通りフロンは現在環境保全の観点からその使用が問題となっている。また、フォトレジスト膜の現像前に、この保護膜をフロンで剥離しなければならないため、従来装置に保護膜専用の塗布、および剥離用ユニットを増設しなければならないことや、フロン系溶剤のコストがかさむことなど実用面での問題が大きかった。   However, in the protective film made of the perfluoroalkyl compound, chlorofluorocarbon is used as a diluting liquid for controlling the coating thickness, and as is well known, chlorofluorocarbon is currently problematic for use from the viewpoint of environmental protection. ing. In addition, since the protective film must be peeled off with chlorofluorocarbon before development of the photoresist film, it is necessary to add a coating and stripping unit dedicated to the protective film to the conventional equipment, and the cost of chlorofluorocarbon solvents. There were many problems in practical use, such as being voluminous.

これら溶剤剥離型の保護膜使用に伴う実用面での欠点を軽減する手段として、アルカリ現像液可溶型の保護膜が提案されている(特許文献1参照)。   As a means for reducing the practical disadvantages associated with the use of these solvent-peeling protective films, an alkali developer-soluble protective film has been proposed (see Patent Document 1).

このようなアルカリ現像液可溶型の保護膜は、フォトレジスト膜の現像工程で同時に溶解除去ができるため、保護膜剥離工程の追加や専用の剥離ユニットを必要としないという点で画期的であるといえる。しかしながらこのようなアルカリ現像液可溶型の保護膜の使用にあたっても、依然として保護膜の塗布工程は必要である。また、保護膜材料の塗布溶液を構成する希釈溶媒は、その下地膜となるフォトレジストの膜を侵してはならないという制約から、フォトレジスト膜が容易に溶解しないものから選ぶ必要があり、両薬液の混和による樹脂析出等のトラブルを避けるためには保護膜塗布専用のユニットが必要となってしまう。   Such an alkaline developer-soluble protective film can be dissolved and removed at the same time in the development process of the photoresist film, which is revolutionary in that it does not require an additional protective film peeling process or a special peeling unit. It can be said that there is. However, the use of such an alkaline developer soluble type protective film still requires a protective film coating step. In addition, the diluting solvent that constitutes the coating solution for the protective film material must be selected from those in which the photoresist film does not dissolve easily because of the restriction that the photoresist film as the underlying film must not be affected. In order to avoid troubles such as resin precipitation due to mixing, a unit dedicated to coating a protective film is required.

このように、液浸リソグラフィーにおいて、保護膜を使用することによるコスト上昇等の欠点が問題となっていた。これに対し、水に対するバリアー性能を付与することで保護膜を必要としない液浸リソグラフィー用のレジスト材料の開発が進められている。これにより、コストの低減を図ろうというものである。   As described above, in the immersion lithography, there are problems such as an increase in cost due to the use of the protective film. On the other hand, development of a resist material for immersion lithography that does not require a protective film by imparting water barrier performance has been underway. This is intended to reduce the cost.

一方、現在までに上市されているArF液浸露光装置は、いずれもレジスト膜の塗布された基板全体を水中に浸漬するのではなく、投影レンズとウエーハとの間に部分的に水を保持し、ウエーハの載ったステージを300〜550mm毎秒の速度で走査しながら露光する方式となっている。このように高速な走査により、投影レンズとウエーハとの間に水を保持していることができず、走査後のフォトレジスト表面または保護膜表面に液滴を残してしまうという問題が生じている。このように液滴を残すとパターン形成不良を誘発すると考えられている。   On the other hand, all ArF immersion exposure devices marketed to date do not immerse the entire substrate coated with a resist film in water, but hold water partially between the projection lens and the wafer. In this method, exposure is performed while scanning the stage on which the wafer is placed at a speed of 300 to 550 mm per second. Such high-speed scanning cannot hold water between the projection lens and the wafer, causing a problem of leaving droplets on the surface of the photoresist or protective film after scanning. . It is believed that leaving the droplets in this way induces pattern formation defects.

走査後のフォトレジスト表面または保護膜表面への液滴残りを解消するためには、これらの塗布膜上での水の動き易さを改善する必要がある。液浸露光による欠陥数を減少させるためには、フォトレジストまたは保護膜の水に対する後退接触角を高くすることが有効であることが示されている(非特許文献4参照)。
しかしながら、バリアー性能と高い後退接触角の両立は達成されておらず、これらを両立するレジスト材料が求められていた。
In order to eliminate remaining droplets on the surface of the photoresist or protective film after scanning, it is necessary to improve the ease of movement of water on these coating films. In order to reduce the number of defects due to immersion exposure, it has been shown that it is effective to increase the receding contact angle of the photoresist or the protective film with respect to water (see Non-Patent Document 4).
However, coexistence of barrier performance and a high receding contact angle has not been achieved, and there has been a demand for a resist material that achieves both.

特開2005−264131号公報JP 2005-264131 A Proc. SPIE Vol. 4690 xxixProc. SPIE Vol. 4690 xxix Proc. SPIE Vol. 5040 p724Proc. SPIE Vol. 5040 p724 2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investing for Immersion Lithography 2nd International Symposium on Immersion Lithography, 12-15/Sept.,2005, Defectivity data taken with a full-field immersion exposure tool, Nakano et., al.2nd International Symposium on Immersion Lithography, 12-15 / Sept., 2005, Defectivity data taken with a full-field immersion exposure tool, Nakano et., Al.

本発明はこのような問題点に鑑みてなされたもので、水に対する良好なバリアー性能を有し、レジスト組成物の水への溶出を抑制でき、水に対して高い後退接触角を有し、液滴残りを解消でき、保護膜を必要とせずに優れたプロセス適用性を有する液浸リソグラフィー用として有効なレジスト材料、及びこのような材料を用いたパターン形成方法を提供することを目的とする。   The present invention has been made in view of such problems, has a good barrier performance against water, can suppress the elution of the resist composition into water, has a high receding contact angle with respect to water, An object of the present invention is to provide an effective resist material for immersion lithography that can eliminate residual liquid droplets and does not require a protective film and has excellent process applicability, and a pattern forming method using such a material. .

本発明は、上記課題を解決するためになされたもので、少なくとも、下記一般式(1)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料を提供する(請求項1)。

Figure 2007297590
(式中、R1、Rは独立して水素原子、炭素数1〜20の直鎖状、分岐状、又は環状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜20の二価の有機基を表す。R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−1、b−1、b−2、b−3は、0<(a−1)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−1)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。) The present invention has been made to solve the above problems, and provides a resist material characterized in that it contains at least a polymer compound having a repeating unit represented by the following general formula (1). 1).
Figure 2007297590
(In the formula, R 1 and R 2 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and R 1 and R 2 are bonded to form a ring. In this case, the total carbon number of R 1 and R 2 represents a divalent organic group having 2 to 20. R 3 , R 4 , R 11 and R 14 are independently a hydrogen atom or a methyl group. , R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, part or all of the hydrogen atoms may be substituted with fluorine atoms, and may contain an iminosulfonyl group X 1 , X 2 , X 3 , and X 4 are independently —C (═O) —, —C (═O) —O—, —C (═O) —O—R 6 —, —O—. , —C (═O) —R 6 —C (═O) —, —C (═O) —O—R 6 —C (═O) —O—, wherein R 6 has 1 carbon atom. -10 linear,岐状, or cyclic alkylene group .R 12 may be substituted by fluorine alkylene group or alkanetriyl groups having a cyclic structure having 4 to 12 carbon atoms, R 13 is a hydrogen atom, C 1 -C 10 linear, branched alkyl groups may be substituted by fluorine, attached R 12 and R 13 is may form a ring of 3 to 12 carbon atoms .R 15 Is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, n1 is 1 or 2. a-1, b-1, b-2 , B-3 are 0 <(a-1) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ (b-3) <1, 0 <(a -1) + (b-1) + (b-2) + (b-3) ≦ 1.

また、本発明は、少なくとも、下記一般式(2)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料を提供する(請求項2)。

Figure 2007297590
(式中、R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜6の直鎖状、分岐状、又は環状のアルキレン基で、1つ以上の水素原子がフッ素原子で置換されていてもよい。Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。Rは少なくとも1つ以上の水素原子がフッ素原子で置換された炭素数1〜10の直鎖状又は分岐状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜12の三価の有機基を表す。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−2、b−1、b−2、b−3は、0<(a−2)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−2)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。) The present invention also provides a resist material comprising at least a polymer compound having a repeating unit represented by the following general formula (2) (claim 2).
Figure 2007297590
(In the formula, R 4 , R 7 , R 11 and R 14 are independently a hydrogen atom or a methyl group, R 8 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, one The above hydrogen atoms may be substituted with fluorine atoms, R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, and some or all of the hydrogen atoms are fluorine atoms. R 9 is a linear or branched alkyl group having 1 to 10 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom, and may include an iminosulfonyl group. 8 and R 9 may be bonded to form a ring, and in this case, the total number of carbon atoms of R 8 and R 9 represents a trivalent organic group having 2 to 12. X 1 , X 2 , X 3, X 4 are independently -C (= O) -, - C (= O) -O -, - C (= O) -O-R 6 , -O -, - C (= O) -R 6 -C (= O) -, - C (= O) -O-R 6 -C (= O) is either -O- .R 6 Is a linear, branched, or cyclic alkylene group having 1 to 10 carbon atoms, R 12 may be substituted with an alkylene group or alkanetriyl group having a cyclic structure having 4 to 12 carbon atoms by fluorine. R 13 is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and R 12 and R 13 are bonded to each other to have 3 to 12 carbon atoms. R 15 may be a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and n1 is 1 or 2. a-2, b-1, b-2, b-3 are 0 <(a-2) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ b-3) satisfies the <1,0 <(a-2) + (b-1) + (b-2) + (b-3) ≦ 1 range.)

これらのレジスト材料を用いて形成したフォトレジスト膜は、水に対する良好なバリアー性能を有するため、液浸露光時のフォトレジスト組成物の水への溶出を抑制することができる。このため、溶出物によるパターン形状変化を低減することができる。従って、従来液浸リソグラフィーにおいて溶出を防ぐために設けられる保護膜を必要としないため、保護膜の形成等に要するコストを削減できる。   Since a photoresist film formed using these resist materials has a good barrier performance against water, elution of the photoresist composition into water during immersion exposure can be suppressed. For this reason, the pattern shape change by an elution thing can be reduced. Accordingly, since a protective film provided to prevent elution is not required in conventional immersion lithography, the cost required for forming the protective film can be reduced.

しかも、上記フォトレジスト膜は、水に対して高い後退接触角を有するため、液浸露光の走査後にフォトレジスト膜の表面に液滴が残りにくく、膜表面に残存する液滴が誘発するパターン形成不良を低減することができる。
従って、本発明のレジスト材料は優れたプロセス適用性を有し、液浸リソグラフィーにおけるコストを削減して、かつ、欠陥の少ない微細なパターンを高精度で形成することができる。
Moreover, because the photoresist film has a high receding contact angle with respect to water, it is difficult for droplets to remain on the surface of the photoresist film after immersion exposure scanning, and pattern formation is induced by droplets remaining on the film surface. Defects can be reduced.
Therefore, the resist material of the present invention has excellent process applicability, can reduce the cost in immersion lithography, and can form a fine pattern with few defects with high accuracy.

この場合、上記高分子化合物が炭素数5以上のアルキル基を有さないことが好ましい(請求項3)。
上記高分子化合物が炭素数5以上のアルキル基を有さなければ、Blobと呼ばれるシミ状の欠陥がレジスト膜上に発生する恐れが少なく、より欠陥の少ないパターンを形成することができる。
In this case, it is preferable that the polymer compound does not have an alkyl group having 5 or more carbon atoms.
If the above polymer compound does not have an alkyl group having 5 or more carbon atoms, there is little possibility that a spot-like defect called Blob is generated on the resist film, and a pattern with fewer defects can be formed.

この場合、前記レジスト材料が、化学増幅ポジ型レジスト材料であり(請求項4)、少なくとも酸不安定基を有する繰り返し単位、およびヒドロキシ基および/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることが好ましい(請求項5)。   In this case, the resist material is a chemically amplified positive resist material (Claim 4) and includes at least a repeating unit having an acid labile group and a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring. A base resin is preferably included (claim 5).

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基および/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。さらに、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, and the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

また、前記レジスト材料が、さらに、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤のいずれか1つ以上を含有するものであることが好ましい(請求項6)。   Moreover, it is preferable that the resist material further contains any one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant (claim 6).

このように、さらに有機溶剤を配合することによって、例えば、レジスト材料の基板等への塗布性を向上させることができるし、塩基性化合物を配合することによって、レジスト膜中での酸の拡散速度を抑制し、解像度を一層向上させることができるし、溶解制御剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができるし、界面活性剤を添加することによってレジスト材料の塗布性を一層向上あるいは制御することができる。   Thus, by further blending an organic solvent, for example, the coating property of a resist material on a substrate can be improved, and by blending a basic compound, the diffusion rate of acid in the resist film In addition, the resolution can be further improved, and by adding a dissolution control agent, the difference in dissolution rate between the exposed and unexposed areas can be further increased, and the resolution can be further improved. In addition, the coating property of the resist material can be further improved or controlled by adding a surfactant.

また、少なくとも、前記レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むパターン形成方法が提供される(請求項7)。   In addition, there is provided a pattern forming method including at least a step of applying the resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. 7).

もちろん、露光後加熱処理を加えた後に現像してもよいし、エッチング工程、レジスト除去工程、洗浄工程等その他の各種の工程が行われてもよいことは言うまでもない。   Needless to say, development may be performed after the post-exposure heat treatment, and various other processes such as an etching process, a resist removal process, and a cleaning process may be performed.

この場合、前記高エネルギー線を、波長180nm〜250nmの範囲のものとすることが好ましい(請求項8)。   In this case, it is preferable that the high energy ray has a wavelength in the range of 180 nm to 250 nm.

このように、前記高エネルギー線を、波長180nm〜250nmの範囲のものとして露光を行えば、より微細なパターンを形成することができる。   Thus, a finer pattern can be formed if exposure is performed with the high energy beam having a wavelength in the range of 180 nm to 250 nm.

また、前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことができ(請求項9)、前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる(請求項10)。   In addition, the step of exposing with the high energy beam can be performed by immersion exposure through a liquid (Claim 9). In the immersion exposure, an exposure wavelength in the range of 180 to 250 nm is used, and the resist A liquid can be inserted between the substrate on which the material is applied and the projection lens, and the substrate can be exposed through the liquid.

このように、液浸露光を行うことで、解像力が向上し、より微細なパターンを形成することができる。   Thus, by performing immersion exposure, the resolution is improved and a finer pattern can be formed.

また、前記液体として水を用いることができる(請求項11)。   Further, water can be used as the liquid (claim 11).

上記液浸露光に用いる液体として、水を挙げることができる。   An example of the liquid used for the immersion exposure is water.

以上説明したように、本発明のレジスト材料を用いて形成したフォトレジスト膜は、水に対する良好なバリアー性能を有するため、フォトレジスト組成物の水への溶出を抑制することができ、このため、溶出物によるパターン形状変化を低減することができる。従って、従来液浸リソグラフィーにおいて溶出を防ぐために設けられる保護膜を必要としないため、保護膜の形成等に要するコストを削減できる。   As described above, since the photoresist film formed using the resist material of the present invention has a good barrier performance against water, the dissolution of the photoresist composition into water can be suppressed. It is possible to reduce the pattern shape change due to the eluate. Accordingly, since a protective film provided to prevent elution is not required in conventional immersion lithography, the cost required for forming the protective film can be reduced.

しかも、上記フォトレジスト膜は、水に対して高い後退接触角を有するため、液浸露光時の走査後にフォトレジスト膜の表面に液滴が残りにくく、膜表面に残存する液滴が誘発するパターン形成不良を低減することができる。
従って、本発明のレジスト材料を用いれば、液浸リソグラフィーにおけるコストを削減して、かつ、欠陥の少ない微細なパターンを高精度で形成することができる。
Moreover, since the photoresist film has a high receding contact angle with respect to water, it is difficult for droplets to remain on the surface of the photoresist film after scanning during immersion exposure, and a pattern that induces droplets remaining on the film surface. Formation defects can be reduced.
Therefore, by using the resist material of the present invention, the cost in immersion lithography can be reduced and a fine pattern with few defects can be formed with high accuracy.

以下、本発明の実施の形態について説明するが、本発明はこれらに限定されるものではない。
液浸露光については、前述のように、バリアー性能と高い後退接触角を両立したレジスト材料の開発が求められていた。
Hereinafter, although embodiment of this invention is described, this invention is not limited to these.
As described above, for immersion exposure, development of a resist material having both barrier performance and a high receding contact angle has been demanded.

本発明者らは、この問題を解決するため鋭意検討及び研究を重ねた結果、保護膜を使用せずにフォトレジスト膜と水とが直接接触する液浸リソグラフィー工程において、特定の高分子添加剤を配合して成るフォトレジスト膜が、(1)フォトレジスト組成物の水への溶出を抑制すること、及び、(2)水に対する後退接触角の上昇を達成することを見出し、高分子添加剤の組成、配合を種々検討した結果、本発明を完成させた。   As a result of intensive studies and studies to solve this problem, the present inventors have found that a specific polymer additive is used in an immersion lithography process in which a photoresist film and water are in direct contact without using a protective film. A photoresist film comprising (1) suppressing elution of the photoresist composition into water, and (2) achieving an increase in receding contact angle with respect to water. As a result of various studies on the composition and formulation of the present invention, the present invention was completed.

すなわち、本発明は、少なくとも、下記一般式(1)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料を提供する。

Figure 2007297590
(式中、R1、Rは独立して水素原子、炭素数1〜20の直鎖状、分岐状、又は環状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜20の二価の有機基を表す。R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−1、b−1、b−2、b−3は、0<(a−1)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−1)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。) That is, the present invention provides a resist material characterized in that it contains at least a polymer compound having a repeating unit represented by the following general formula (1).
Figure 2007297590
(In the formula, R 1 and R 2 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and R 1 and R 2 are bonded to form a ring. In this case, the total carbon number of R 1 and R 2 represents a divalent organic group having 2 to 20. R 3 , R 4 , R 11 and R 14 are independently a hydrogen atom or a methyl group. , R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, part or all of the hydrogen atoms may be substituted with fluorine atoms, and may contain an iminosulfonyl group X 1 , X 2 , X 3 , and X 4 are independently —C (═O) —, —C (═O) —O—, —C (═O) —O—R 6 —, —O—. , —C (═O) —R 6 —C (═O) —, —C (═O) —O—R 6 —C (═O) —O—, wherein R 6 has 1 carbon atom. -10 linear,岐状, or cyclic alkylene group .R 12 may be substituted by fluorine alkylene group or alkanetriyl groups having a cyclic structure having 4 to 12 carbon atoms, R 13 is a hydrogen atom, C 1 -C 10 linear, branched alkyl groups may be substituted by fluorine, attached R 12 and R 13 is may form a ring of 3 to 12 carbon atoms .R 15 Is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, n1 is 1 or 2. a-1, b-1, b-2 , B-3 are 0 <(a-1) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ (b-3) <1, 0 <(a -1) + (b-1) + (b-2) + (b-3) ≦ 1.

また、本発明は、少なくとも、下記一般式(2)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料。

Figure 2007297590
(式中、R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜6の直鎖状、分岐状、又は環状のアルキレン基で、1つ以上の水素原子がフッ素原子で置換されていてもよい。Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。Rは少なくとも1つ以上の水素原子がフッ素原子で置換された炭素数1〜10の直鎖状又は分岐状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜12の三価の有機基を表す。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−2、b−1、b−2、b−3は、0<(a−2)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−2)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。) Moreover, this invention contains the high molecular compound which has a repeating unit shown by following General formula (2) at least, The resist material characterized by the above-mentioned.
Figure 2007297590
(In the formula, R 4 , R 7 , R 11 and R 14 are independently a hydrogen atom or a methyl group, R 8 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, one The above hydrogen atoms may be substituted with fluorine atoms, R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, and some or all of the hydrogen atoms are fluorine atoms. R 9 is a linear or branched alkyl group having 1 to 10 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom, and may include an iminosulfonyl group. 8 and R 9 may be bonded to form a ring, and in this case, the total number of carbon atoms of R 8 and R 9 represents a trivalent organic group having 2 to 12. X 1 , X 2 , X 3, X 4 are independently -C (= O) -, - C (= O) -O -, - C (= O) -O-R 6 , -O -, - C (= O) -R 6 -C (= O) -, - C (= O) -O-R 6 -C (= O) is either -O- .R 6 Is a linear, branched, or cyclic alkylene group having 1 to 10 carbon atoms, R 12 may be substituted with an alkylene group or alkanetriyl group having a cyclic structure having 4 to 12 carbon atoms by fluorine. R 13 is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and R 12 and R 13 are bonded to each other to have 3 to 12 carbon atoms. R 15 may be a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and n1 is 1 or 2. a-2, b-1, b-2, b-3 are 0 <(a-2) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ b-3) satisfies the <1,0 <(a-2) + (b-1) + (b-2) + (b-3) ≦ 1 range.)

上記一般式(1)または一般式(2)の繰り返し単位を有する高分子化合物を添加したレジスト材料を用いて、たとえばスピンコート等によりフォトレジスト膜を形成すると、該高分子化合物がフォトレジスト膜表面に配向する。該高分子化合物は疎水性が高く滑水性に優れるために、形成されたフォトレジスト膜は、水に対する良好なバリアー性能を有することになり、液浸露光時のフォトレジスト組成物の水への溶出を抑制することができる。このため、溶出物によるパターン形状変化を低減することができる。従って、従来液浸リソグラフィーにおいて溶出を防ぐために設けられた保護膜を必要としないため、保護膜の形成等に要するコストを削減できる。   When a photoresist film is formed by spin coating or the like using a resist material to which a polymer compound having a repeating unit of the general formula (1) or the general formula (2) is added, the polymer compound is exposed on the surface of the photoresist film. Oriented to Since the polymer compound is highly hydrophobic and excellent in water slidability, the formed photoresist film has a good barrier performance against water, and the elution of the photoresist composition into water during immersion exposure. Can be suppressed. For this reason, the pattern shape change by an elution thing can be reduced. Therefore, since the protective film provided for preventing elution in the conventional immersion lithography is not required, the cost required for forming the protective film can be reduced.

また、上記フォトレジスト膜は、水に対して高い後退接触角を有するため、液浸露光時の走査後にフォトレジスト膜の表面に液滴が残りにくく、膜表面に残存する液滴が誘発するパターン形成不良を低減することができる。
また、前記高分子化合物はアルカリ水溶液に可溶であるために、現像後に残渣などの欠陥が発生しにくい。
従って、本発明のレジスト材料を用いれば、液浸リソグラフィーにおけるコストを削減して、かつ、欠陥の少ない微細なパターンを高精度で形成することができる。
In addition, since the photoresist film has a high receding contact angle with respect to water, it is difficult for droplets to remain on the surface of the photoresist film after scanning during immersion exposure, and a pattern that induces droplets remaining on the film surface. Formation defects can be reduced.
Further, since the polymer compound is soluble in an alkaline aqueous solution, defects such as residues are hardly generated after development.
Therefore, by using the resist material of the present invention, the cost in immersion lithography can be reduced and a fine pattern with few defects can be formed with high accuracy.

上記一般式(1)で示される繰り返し単位(a−1)は特に限定されないが、下記の具体例を挙げることができる。

Figure 2007297590
(式中Rは前述と同様である。) Although the repeating unit (a-1) shown by the said General formula (1) is not specifically limited, The following specific example can be given.
Figure 2007297590
(Wherein R 3 is the same as described above.)

上記一般式(2)で示される繰り返し単位(a−2)は特に限定されないが、下記の具体例を挙げることができる。

Figure 2007297590
(式中Rは前述と同様である。) Although the repeating unit (a-2) shown by the said General formula (2) is not specifically limited, The following specific example can be given.
Figure 2007297590
(Wherein R 7 is the same as described above.)

上記一般式(1)(2)で示される繰り返し単位(b−1)は特に限定されないが、下記の具体例を挙げることができる。

Figure 2007297590
Although the repeating unit (b-1) shown by the said General formula (1) (2) is not specifically limited, The following specific example can be given.
Figure 2007297590

Figure 2007297590
Figure 2007297590

Figure 2007297590
(式中Rは前述と同様である。)
Figure 2007297590
(Wherein R 4 is the same as described above.)

上記一般式(1)(2)で示される繰り返し単位(b−2)は特に限定されないが、下記の具体例を挙げることができる。

Figure 2007297590
(式中R11は前述と同様である。) Although the repeating unit (b-2) shown by the said General formula (1) (2) is not specifically limited, The following specific example can be given.
Figure 2007297590
(Wherein R 11 is the same as described above.)

上記一般式(1)(2)で示される繰り返し単位(b−3)は特に限定されないが、下記の具体例を挙げることができる。

Figure 2007297590
(式中R14は前述と同様である。) The repeating unit (b-3) represented by the general formulas (1) and (2) is not particularly limited, but the following specific examples can be given.
Figure 2007297590
(Wherein R 14 is the same as described above.)

本発明の高分子化合物は、上記繰り返し単位(a−1)、(a−2)、(b−1)、(b−2)、(b−3)以外にたとえば下記に示されるヒドロキシ基を有する繰り返し単位を含んでもよい。

Figure 2007297590
In addition to the repeating units (a-1), (a-2), (b-1), (b-2), and (b-3), the polymer compound of the present invention has, for example, a hydroxy group shown below. It may contain a repeating unit.
Figure 2007297590

なお、上記本発明の高分子化合物は、炭素数5以上のアルキル基を有さないことが好ましい。炭素数5以上のアルキル基は撥水性が非常に高い。上記高分子化合物が炭素数5以上のアルキル基を有さなければ、現像後のフォトレジスト表面の撥水性が過度に高くなってしまうことが原因のBlobと呼ばれるシミ状の欠陥がレジスト膜上に発生する恐れが少ない。したがって、より欠陥の少ないパターンを形成することができる。   The polymer compound of the present invention preferably does not have an alkyl group having 5 or more carbon atoms. Alkyl groups having 5 or more carbon atoms have very high water repellency. If the polymer compound does not have an alkyl group having 5 or more carbon atoms, a spot-like defect called Blob, which is caused by excessively high water repellency on the photoresist surface after development, appears on the resist film. Less likely to occur. Therefore, a pattern with fewer defects can be formed.

上記レジスト材料に添加される上記一般式(1)又は一般式(2)の繰り返し単位を有する高分子化合物の質量平均分子量としては、それぞれ1,000〜100,000、好ましくは3,000〜30,000を挙げることができるが、これらに限定されるものではない。分子量が1,000以上であれば液浸露光時に水に対する十分なバリアー性能を発揮でき、フォトレジスト組成物の水への溶出を十分に抑制できる。また、分子量が100,000以下であれば、該高分子化合物のアルカリ現像液への溶解速度が十分大きいため、これを含有したフォトレジスト膜を用いてパターン形成した場合に、樹脂の残渣が基板に付着する恐れが少ない。
また、上記一般式(1)において上記繰り返し単位(a−1)、(b−1)、(b−2)、(b−3)は、0.1≦(a−1)+(b−1)+(b−2)+(b−3)≦1を満たすことが好ましい。また、上記一般式(2)において上記繰り返し単位(a−2)、(b−1)、(b−2)、(b−3)は、0.1≦(a−2)+(b−1)+(b−2)+(b−3)≦1を満たすことが好ましい。
The mass average molecular weight of the polymer compound having the repeating unit of general formula (1) or general formula (2) added to the resist material is 1,000 to 100,000, preferably 3,000 to 30, respectively. However, it is not limited to these. When the molecular weight is 1,000 or more, sufficient barrier performance against water can be exhibited during immersion exposure, and dissolution of the photoresist composition into water can be sufficiently suppressed. Further, if the molecular weight is 100,000 or less, the dissolution rate of the polymer compound in the alkaline developer is sufficiently high. Therefore, when a pattern is formed using a photoresist film containing the polymer compound, the resin residue is formed on the substrate. There is little fear of adhering to.
In the general formula (1), the repeating units (a-1), (b-1), (b-2), and (b-3) are 0.1 ≦ (a-1) + (b− 1) It is preferable to satisfy + (b-2) + (b-3) ≦ 1. In the general formula (2), the repeating units (a-2), (b-1), (b-2), and (b-3) are 0.1 ≦ (a-2) + (b− 1) It is preferable to satisfy + (b-2) + (b-3) ≦ 1.

また、上記の一般式(1)又は一般式(2)の繰り返し単位を有する高分子化合物は、各々1種類の高分子化合物としてレジスト材料に配合してもよいし、2種類以上の高分子化合物を任意の割合で混合してレジスト材料に配合してもよい。   In addition, the polymer compound having the repeating unit of the general formula (1) or the general formula (2) may be blended with the resist material as one kind of polymer compound, or two or more kinds of polymer compounds. May be mixed in an arbitrary ratio and blended with the resist material.

上記高分子化合物のレジスト材料への配合比は、添加する高分子化合物の合計質量がレジスト材料のベース樹脂100質量部に対して0.1〜50質量部、好ましくは0.5〜10質量部がよい。これが0.1質量部以上であれば、フォトレジスト膜表面と水との後退接触角が十分に向上する。また、これが50質量部以下であれば、フォトレジスト膜のアルカリ現像液への溶解速度が小さく、形成した微細パターンの高さが十分に保たれる。   The compounding ratio of the polymer compound to the resist material is such that the total mass of the polymer compound to be added is 0.1 to 50 parts by mass, preferably 0.5 to 10 parts by mass with respect to 100 parts by mass of the base resin of the resist material. Is good. If this is 0.1 part by mass or more, the receding contact angle between the photoresist film surface and water is sufficiently improved. Moreover, if this is 50 mass parts or less, the melt | dissolution rate to the alkaline developing solution of a photoresist film is small, and the height of the formed fine pattern is fully maintained.

また、前記レジスト材料は、化学増幅ポジ型レジスト材料であることが好ましく、さらに少なくとも酸不安定基を有する繰り返し単位、およびヒドロキシ基および/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることが好ましい。   The resist material is preferably a chemically amplified positive resist material, and further includes a base resin including at least a repeating unit having an acid labile group and a repeating unit having an adhesive group of a hydroxy group and / or a lactone ring. It is preferable that it contains.

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基および/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。さらに、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, and the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

上記ベース樹脂としては、下記式(R1)及び/又は下記式(R2)で示される質量平均分子量1,000〜100,000、好ましくは3,000〜30,000の高分子化合物を挙げることができるが、これらに限定されるものではない。

Figure 2007297590
Examples of the base resin include polymer compounds having a mass average molecular weight of 1,000 to 100,000, preferably 3,000 to 30,000, represented by the following formula (R1) and / or the following formula (R2). However, it is not limited to these.
Figure 2007297590

上記式中、R001は、水素原子、メチル基又は−CH2CO2003を示す。
002は、水素原子、メチル基又は−CO2003を示す。
003は、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、エチルシクロペンチル基、ブチルシクロペンチル基、エチルシクロヘキシル基、ブチルシクロヘキシル基、アダマンチル基、エチルアダマンチル基、ブチルアダマンチル基等を例示できる。
In the above formula, R 001 represents a hydrogen atom, a methyl group, or —CH 2 CO 2 R 003 .
R 002 represents a hydrogen atom, a methyl group or a -CO 2 R 003.
R 003 represents a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclohexyl group, adamantyl group, ethyladamantyl group, butyl Examples thereof include an adamantyl group.

004は、水素原子、炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基を示し、具体的には水素原子、カルボキシエチル、カルボキシブチル、カルボキシシクロペンチル、カルボキシシクロヘキシル、カルボキシノルボルニル、カルボキシアダマンチル、ヒドロキシエチル、ヒドロキシブチル、ヒドロキシシクロペンチル、ヒドロキシシクロヘキシル、ヒドロキシノルボルニル、ヒドロキシアダマンチル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシル等が例示できる。 R 004 represents a hydrogen atom, a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a monovalent hydrocarbon group containing a hydroxyl group, specifically a hydrogen atom, carboxyethyl, carboxybutyl, carboxy Examples include cyclopentyl, carboxycyclohexyl, carboxynorbornyl, carboxyadamantyl, hydroxyethyl, hydroxybutyl, hydroxycyclopentyl, hydroxycyclohexyl, hydroxynorbornyl, hydroxyadamantyl, hydroxyhexafluoroisopropylcyclohexyl, di (hydroxyhexafluoroisopropyl) cyclohexyl, etc. it can.

005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基としては、具体的にはカルボキシ、カルボキシメチル、カルボキシエチル、カルボキシブチル、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシブチル、2−カルボキシエトキシカルボニル、4−カルボキシブトキシカルボニル、2−ヒドロキシエトキシカルボニル、4−ヒドロキシブトキシカルボニル、カルボキシシクロペンチルオキシカルボニル、カルボキシシクロヘキシルオキシカルボニル、カルボキシノルボルニルオキシカルボニル、カルボキシアダマンチルオキシカルボニル、ヒドロキシシクロペンチルオキシカルボニル、ヒドロキシシクロヘキシルオキシカルボニル、ヒドロキシノルボルニルオキシカルボニル、ヒドロキシアダマンチルオキシカルボニル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシルオキシカルボニル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシルオキシカルボニル等が例示できる。
炭素数1〜15の直鎖状、分岐状、環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。
At least one of R 005 to R 008 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group and a monovalent hydrocarbon group containing a hydroxyl group, and the rest each independently represents a hydrogen atom or a carbon number of 1 -15 linear, branched or cyclic alkyl groups are shown. Specific examples of the monovalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group and a hydroxyl group include carboxy, carboxymethyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, Hydroxybutyl, 2-carboxyethoxycarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl, carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxy Cyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxycarbonyl, hydroxyadam Chill oxycarbonyl, hydroxy hexafluoroisopropyl cyclohexyl oxycarbonyl, di (hydroxy hexafluoroisopropyl) cyclohexyl oxycarbonyl like.
Examples of the straight, branched, the alkyl group of cyclic, specifically exemplified the same ones as exemplified for R 003.

005〜R008は互いに結合して環を形成していてもよく、その場合にはR005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する2価の炭化水素基を示し、残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する2価の炭化水素基としては、具体的には上記含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 R 005 to R 008 may be bonded to each other to form a ring, in which case at least one of R 005 to R 008 is a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group, a hydroxyl group And the rest each independently represents a single bond or a linear, branched or cyclic alkylene group having 1 to 15 carbon atoms. The divalent hydrocarbon group containing a fluorine-containing substituent and / or carboxy group or hydroxyl group having 1 to 15 carbon atoms is specifically a monovalent containing the fluorine-containing substituent and / or carboxyl group or hydroxyl group. The thing etc. which remove | excluded one hydrogen atom from what was illustrated by the hydrocarbon group of these. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

009は、炭素数3〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、具体的には2−オキソオキソラン−3−イル、4,4−ジメチル−2−オキソオキソラン−3−イル、4−メチル−2−オキソオキサン−4−イル、2−オキソ−1,3−ジオキソラン−4−イルメチル、5−メチル−2−オキソオキソラン−5−イル等を例示できる。 R 009 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 3 to 15 carbon atoms, specifically 2-oxooxolan-3-yl, 4,4-dimethyl-2- Examples include oxooxolan-3-yl, 4-methyl-2-oxooxan-4-yl, 2-oxo-1,3-dioxolan-4-ylmethyl, 5-methyl-2-oxooxolan-5-yl and the like it can.

010〜R013の少なくとも1個は炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基としては、具体的には2−オキソオキソラン−3−イルオキシカルボニル、4,4−ジメチル−2−オキソオキソラン−3−イルオキシカルボニル、4−メチル−2−オキソオキサン−4−イルオキシカルボニル、2−オキソ−1,3−ジオキソラン−4−イルメチルオキシカルボニル、5−メチル−2−オキソオキソラン−5−イルオキシカルボニル等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。 At least one of R 010 to R 013 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms, and the rest each independently represents a hydrogen atom or a straight chain having 1 to 15 carbon atoms. -Like, branched or cyclic alkyl groups. Specific examples of the monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms include 2-oxooxolan-3-yloxycarbonyl and 4,4-dimethyl-2-oxooxo. Lan-3-yloxycarbonyl, 4-methyl-2-oxooxan-4-yloxycarbonyl, 2-oxo-1,3-dioxolan-4-ylmethyloxycarbonyl, 5-methyl-2-oxooxolane-5 -Yloxycarbonyl etc. can be illustrated. Examples of the straight, branched, the alkyl group of cyclic, specifically exemplified the same ones as exemplified for R 003.

010〜R013は互いに結合して環を形成していてもよく、その場合にはR010〜R013の少なくとも1個は炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基を示し、残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状、環状のアルキレン基を示す。炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基としては、具体的には1−オキソ−2−オキサプロパン−1,3−ジイル、1,3−ジオキソ−2−オキサプロパン−1,3−ジイル、1−オキソ−2−オキサブタン−1,4−ジイル、1,3−ジオキソ−2−オキサブタン−1,4−ジイル等の他、上記−CO2−部分構造を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 R 010 to R 013 may be bonded to each other to form a ring, in which case at least one of R 010 to R 013 is a divalent group containing a —CO 2 — partial structure having 1 to 15 carbon atoms. And the rest each independently represents a single bond or a linear, branched or cyclic alkylene group having 1 to 15 carbon atoms. Specific examples of the divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms include 1-oxo-2-oxapropane-1,3-diyl and 1,3-dioxo-2. - oxa-1,3-diyl, 1-oxo-2-Okisabutan-1,4-diyl, other like 1,3-dioxo-2-Okisabutan-1,4-diyl, the -CO 2 - partial structure The thing etc. which remove | excluded one hydrogen atom from what was illustrated with the monovalent | monohydric hydrocarbon group containing this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

014は、炭素数7〜15の多環式炭化水素基又は多環式炭化水素基を含有するアルキル基を示し、具体的にはノルボルニル、ビシクロ[3.3.1]ノニル、トリシクロ[5.2.1.02,6]デシル、アダマンチル、エチルアダマンチル、ブチルアダマンチル、ノルボルニルメチル、アダマンチルメチル等を例示できる。 R 014 represents a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group containing a polycyclic hydrocarbon group, and specifically includes norbornyl, bicyclo [3.3.1] nonyl, tricyclo [5 .2.1.0 2,6 ] decyl, adamantyl, ethyladamantyl, butyladamantyl, norbornylmethyl, adamantylmethyl and the like.

015は、酸不安定基を示し、具体例については後述する。
Xは、−CH2又は酸素原子を示す。
kは、0又は1である。
R 015 represents an acid labile group, and specific examples will be described later.
X represents —CH 2 or an oxygen atom.
k is 0 or 1.

015の酸不安定基としては、種々用いることができるが、具体的には下記一般式(L1)〜(L4)で示される基、炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。 As the acid labile group for R 015 , various groups can be used. Specifically, groups represented by the following general formulas (L1) to (L4), tertiary groups having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms are preferred. Examples of the alkyl group include trialkylsilyl groups having 1 to 6 carbon atoms and oxoalkyl groups having 4 to 20 carbon atoms.

Figure 2007297590
Figure 2007297590

上記式中、破線は結合手を示す。また、RL01、RL02は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基、アダマンチル基等が例示できる。RL03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい一価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には、直鎖状、分岐状又は環状のアルキル基としては上記RL01、RL02と同様のものが例示でき、置換アルキル基としては下記の基等が例示できる。 In the above formula, a broken line indicates a bond. R L01 and R L02 each represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, Examples include isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, n-octyl group, adamantyl group and the like. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and is a linear, branched or cyclic alkyl group, Examples in which a part of these hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like can be given. Specifically, a linear, branched or cyclic alkyl group Examples thereof include those similar to R L01 and R L02 above, and examples of the substituted alkyl group include the following groups.

Figure 2007297590
Figure 2007297590

L01とRL02、RL01とRL03、RL02とRL03とは互いに結合してこれらが結合する炭素原子や酸素原子と共に環を形成してもよく、環を形成する場合にはRL01、RL02、RL03はそれぞれ炭素数1〜18、好ましくは炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。 R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with the carbon atom or oxygen atom to which they are bonded, and in the case of forming a ring, R L01 , R L02 and R L03 each represents a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

L04は炭素数4〜20、好ましくは炭素数4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(L1)で示される基を示し、三級アルキル基としては、具体的にはtert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、2−シクロペンチルプロパン−2−イル基、2−シクロヘキシルプロパン−2−イル基、2−(ビシクロ[2.2.1]ヘプタン−2−イル)プロパン−2−イル基、2−(アダマンタン−1−イル)プロパン−2−イル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基、2−エチル−2−アダマンチル基等が例示でき、トリアルキルシリル基としては、具体的にはトリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が例示でき、オキソアルキル基としては、具体的には3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が例示できる。yは0〜6の整数である。 R L04 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, an oxoalkyl group having 4 to 20 carbon atoms, or the above general Specific examples of the tertiary alkyl group represented by the formula (L1) include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, a 2-cyclopentylpropan-2-yl group, 2-cyclohexylpropan-2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propan-2-yl group, 1-ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-silane Examples include chlorohexenyl group, 2-methyl-2-adamantyl group, 2-ethyl-2-adamantyl group and the like. Specific examples of the trialkylsilyl group include trimethylsilyl group, triethylsilyl group, dimethyl-tert-butylsilyl group. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2-oxooxan-4-yl group, and 5-methyl-2-oxooxolan-5-yl group. It can be illustrated. y is an integer of 0-6.

L05は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、置換されていてもよいアルキル基としては、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、ビシクロ[2.2.1]ヘプチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの、又はこれらのメチレン基の一部が酸素原子または硫黄原子に置換されたもの等が例示でき、置換されていてもよいアリール基としては、具体的にはフェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基、ピレニル基等が例示できる。式(L3)において、mは0又は1、nは0,1,2,3のいずれかであり、2m+n=2又は3を満足する数である。 R L05 represents an optionally substituted linear, branched or cyclic alkyl group or an optionally substituted aryl group having 6 to 20 carbon atoms, and may be substituted. Specific examples of the alkyl group include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, and n-hexyl group. , A cyclopentyl group, a cyclohexyl group, a linear, branched or cyclic alkyl group such as a bicyclo [2.2.1] heptyl group, and some of these hydrogen atoms are a hydroxyl group, an alkoxy group, a carboxy group, and an alkoxycarbonyl group. , An oxo group, an amino group, an alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group, etc., or a part of these methylene groups is an acid. Examples of the aryl group that may be substituted include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, a phenanthryl group, and a pyrenyl group. It can be illustrated. In the formula (L3), m is 0 or 1, and n is any of 0, 1, 2, 3 and is a number satisfying 2m + n = 2 or 3.

L06は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、具体的にはRL05と同様のもの等が例示できる。RL07〜RL16はそれぞれ独立に水素原子又は炭素数1〜15の一価の炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの等が例示できる。RL07〜RL16は互いに結合して環を形成していてもよく(例えば、RL07とRL08、RL07とRL09、RL08とRL10、RL09とRL10、RL11とRL12、RL13とRL14等)、その場合には炭素数1〜15の二価の炭化水素基を示し、具体的には上記一価の炭化水素基で例示したものから水素原子を1個除いたもの等が例示できる。また、RL07〜RL16は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい(例えば、RL07とRL09、RL09とRL15、RL13とRL15等)。 R L06 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group having 6 to 20 carbon atoms which may be substituted, specifically R L05 And the like. R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec- Butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl Group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group and other linear, branched or cyclic alkyl groups, and some of these hydrogen atoms are hydroxyl groups, alkoxy groups, carboxy groups, alkoxycarbonyl groups , Oxo group, amino group, alkylamino group, cyano group, mercapto group, alkylthio group, Or the like can be exemplified those substituted in sulfo group. R L07 to R L16 may be bonded to each other to form a ring (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12). , R L13 and R L14, etc.), in which case a divalent hydrocarbon group having 1 to 15 carbon atoms is shown. Specifically, one hydrogen atom is removed from those exemplified for the monovalent hydrocarbon group above Can be exemplified. R L07 to R L16 may be bonded to each other adjacent to each other to form a double bond (for example, R L07 and R L09 , R L09 and R L15 , R L13 and R L15 etc.).

上記式(L1)で示される酸不安定基のうち直鎖状又は分岐状のものとしては、具体的には下記の基が例示できる。   Of the acid labile groups represented by the formula (L1), specific examples of the linear or branched ones include the following groups.

Figure 2007297590
Figure 2007297590

上記式(L1)で示される酸不安定基のうち環状のものとしては、具体的にはテトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of cyclic groups include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2 -A methyltetrahydropyran-2-yl group etc. can be illustrated.

上記式(L2)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1-diethyl. Propyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2 Examples include -cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

上記式(L3)の酸不安定基としては、具体的には1−メチルシクロペンチル、1−エチルシクロペンチル、1−n−プロピルシクロペンチル、1−イソプロピルシクロペンチル、1−n−ブチルシクロペンチル、1−sec−ブチルシクロペンチル、1−シクロヘキシルシクロペンチル、1−(4−メトキシ−n−ブチル)シクロペンチル、1−(ビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−(7−オキサビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−メチルシクロヘキシル、1−エチルシクロヘキシル、3−メチル−1−シクロペンテン−3−イル、3−エチル−1−シクロペンテン−3−イル、3−メチル−1−シクロヘキセン−3−イル、3−エチル−1−シクロヘキセン−3−イル等が例示できる。   Specific examples of the acid labile group of the above formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec- Butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7-oxabicyclo [2. 2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl- 1-cyclohexen-3-yl, 3-ethyl-1-cyclohexen-3-yl, etc. It can be exemplified.

上記式(L4)の酸不安定基としては、下記式(L4−1)〜(L4−4)で示される基が特に好ましい。   As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.

Figure 2007297590
Figure 2007297590

前記一般式(L4−1)〜(L4−4)中、破線は結合位置及び結合方向を示す。RL41はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基等を例示できる。 In the general formulas (L4-1) to (L4-4), a broken line indicates a coupling position and a coupling direction. R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, n Examples include -butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

前記一般式(L4−1)〜(L4−4)には、エナンチオ異性体(enantiomer)やジアステレオ異性体(diastereomer)が存在しえるが、前記一般式(L4−1)〜(L4−4)は、これらの立体異性体の全てを代表して表す。これらの立体異性体は単独で用いてもよいし、混合物として用いてもよい。   In the general formulas (L4-1) to (L4-4), enantiomers and diastereomers may exist, but the general formulas (L4-1) to (L4-4) may exist. ) Represents all of these stereoisomers. These stereoisomers may be used alone or as a mixture.

例えば、前記一般式(L4−3)は下記一般式(L4−3−1)、(L4−3−2)で示される基から選ばれる1種又は2種の混合物を代表して表すものとする。   For example, the general formula (L4-3) represents one or a mixture of two selected from the groups represented by the following general formulas (L4-3-1) and (L4-3-2). To do.

Figure 2007297590
(式中RL41は前述と同様である。)
Figure 2007297590
(In the formula, R L41 is the same as described above.)

また、上記一般式(L4−4)は下記一般式(L4−4−1)〜(L4−4−4)で示される基から選ばれる1種又は2種以上の混合物を代表して表すものとする。   The general formula (L4-4) represents one or a mixture of two or more selected from groups represented by the following general formulas (L4-4-1) to (L4-4-4). And

Figure 2007297590
(式中RL41は前述と同様である。)
Figure 2007297590
(In the formula, R L41 is the same as described above.)

上記一般式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)は、それらのエナンチオ異性体及びエナンチオ異性体混合物をも代表して示すものとする。   The general formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) are Their enantiomers and enantiomeric mixtures are also shown representatively.

なお、式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)の結合方向がそれぞれビシクロ[2.2.1]ヘプタン環に対してexo側であることによって、酸触媒脱離反応における高反応性が実現される(特開2000−336121号公報参照)。これらビシクロ[2.2.1]ヘプタン骨格を有する三級exo−アルキル基を置換基とする単量体の製造において、下記一般式(L4−1−endo)〜(L4−4−endo)で示されるendo−アルキル基で置換された単量体を含む場合があるが、良好な反応性の実現のためにはexo比率が50%以上であることが好ましく、exo比率が80%以上であることが更に好ましい。   In addition, the coupling | bonding of Formula (L4-1)-(L4-4), (L4-3-1), (L4-3-2), and Formula (L4-4-1)-(L4-4-4) High reactivity in the acid-catalyzed elimination reaction is realized by the fact that each direction is on the exo side with respect to the bicyclo [2.2.1] heptane ring (see JP 2000-336121 A). In the production of a monomer having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, the following general formulas (L4-1-endo) to (L4-4-endo) are used. In some cases, a monomer substituted with the indicated endo-alkyl group may be included, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is 80% or more. More preferably.

Figure 2007297590
(式中RL41は前述と同様である。)
Figure 2007297590
(In the formula, R L41 is the same as described above.)

上記式(L4)の酸不安定基としては、具体的には下記の基が例示できる。   Specific examples of the acid labile group of the above formula (L4) include the following groups.

Figure 2007297590
Figure 2007297590

また、炭素数4〜20の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基としては、具体的にはRL04で挙げたものと同様のもの等が例示できる。 Further, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups each having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms are specifically exemplified as RL04 . The thing similar to a thing etc. can be illustrated.

前記(R2)中、R016は水素原子又はメチル基を示す。R017は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。 In the (R2), R016 represents a hydrogen atom or a methyl group. R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms.

前記(R1)において、a1’、a2’、a3’、b1’、b2’、b3’、c1’、c2’、c3’、d1’、d2’、d3’、e’は0以上1未満の数であり、a1’+a2’+a3’+b1’+b2’+b3’+c1’+c2’+c3’+d1’+d2’+d3’+e’=1を満足する。前記(R2)において、f’、g’、h’、i’、j’は0以上1未満の数であり、f’+g’+h’+i’+j’=1を満足する。x’、y’、z’は0〜3の整数であり、1≦x’+y’+z’≦5、1≦y’+z’≦3を満足する。   In (R1), a1 ′, a2 ′, a3 ′, b1 ′, b2 ′, b3 ′, c1 ′, c2 ′, c3 ′, d1 ′, d2 ′, d3 ′, and e ′ are 0 or more and less than 1. A1 ′ + a2 ′ + a3 ′ + b1 ′ + b2 ′ + b3 ′ + c1 ′ + c2 ′ + c3 ′ + d1 ′ + d2 ′ + d3 ′ + e ′ = 1. In the above (R2), f ′, g ′, h ′, i ′, and j ′ are numbers of 0 or more and less than 1, and satisfy f ′ + g ′ + h ′ + i ′ + j ′ = 1. x ′, y ′ and z ′ are integers of 0 to 3, which satisfy 1 ≦ x ′ + y ′ + z ′ ≦ 5 and 1 ≦ y ′ + z ′ ≦ 3.

上記式(R1)において、組成比a1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio a1 'include the following, but are not limited thereto.

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

上記式(R1)において、組成比b1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio b1 ′ in the formula (R1) include, but are not limited to, the following.

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

上記式(R1)において、組成比d1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio d1 ′ in the above formula (R1) include the following, but are not limited thereto.

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

上記式(R1)において、組成比a3’、b3’、 c3’、d3’の繰り返し単位で構成される高分子化合物として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the polymer compound composed of repeating units having a composition ratio of a3 ′, b3 ′, c3 ′, and d3 ′ include the following, but are not limited thereto. Absent.

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

なお、上記ベース樹脂を構成する高分子化合物は1種に限らず2種以上を添加することができる。複数種の高分子化合物を用いることにより、レジスト材料の性能を調整することができる。   The polymer compound constituting the base resin is not limited to one type, and two or more types can be added. The performance of the resist material can be adjusted by using a plurality of types of polymer compounds.

本発明のレジスト材料は、化学増幅ポジ型レジスト材料として機能するために酸発生剤を含んでもよく、たとえば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するがこれらは単独であるいは2種以上混合して用いることができる。   The resist material of the present invention may contain an acid generator in order to function as a chemically amplified positive resist material. For example, it contains a compound that generates an acid in response to actinic rays or radiation (photoacid generator). May be. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.

スルホニウム塩はスルホニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、スルホニウムカチオンとしてトリフェニルスルホニウム、(4−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(4−tert−ブトキシフェニル)フェニルスルホニウム、トリス(4−tert−ブトキシフェニル)スルホニウム、(3−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3−tert−ブトキシフェニル)フェニルスルホニウム、トリス(3−tert−ブトキシフェニル)スルホニウム、(3,4−ジtert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3,4−ジtert−ブトキシフェニル)フェニルスルホニウム、トリス(3,4−ジtert−ブトキシフェニル)スルホニウム、ジフェニル(4−チオフェノキシフェニル)スルホニウム、(4−tert−ブトキシカルボニルメチルオキシフェニル)ジフェニルスルホニウム、トリス(4−tert−ブトキシカルボニルメチルオキシフェニル)スルホニウム、(4−tert−ブトキシフェニル)ビス(4−ジメチルアミノフェニル)スルホニウム、トリス(4−ジメチルアミノフェニル)スルホニウム、2−ナフチルジフェニルスルホニウム、ジメチル2−ナフチルスルホニウム、4−ヒドロキシフェニルジメチルスルホニウム、4−メトキシフェニルジメチルスルホニウム、トリメチルスルホニウム、2−オキソシクロヘキシルシクロヘキシルメチルスルホニウム、トリナフチルスルホニウム、トリベンジルスルホニウム、ジフェニルメチルスルホニウム、ジメチルフェニルスルホニウム、2−オキソ−2−フェニルエチルチアシクロペンタニウム、4−n−ブトキシナフチル−1−チアシクロペンタニウム、2−n−ブトキシナフチル−1−チアシクロペンタニウム等が挙げられ、スルホネートとしては、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのスルホニウム塩が挙げられる。 The sulfonium salt is a salt of a sulfonium cation and a sulfonate or bis (substituted alkylsulfonyl) imide or tris (substituted alkylsulfonyl) methide. The sulfonium cation includes triphenylsulfonium, (4-tert-butoxyphenyl) diphenylsulfonium, bis (4- tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) ) Sulfonium, (3,4-ditert-butoxyphenyl) diphenylsulfonium, bis (3,4-ditert-butoxyphenyl) phenylsulfoni , Tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl) diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxy) Phenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl) sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4-hydroxyphenyldimethylsulfonium 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonyl , Tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1- Examples of the sulfonate include trifluoromethane sulfonate, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2, and the like. 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenes Lulfonate, mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, 4- (4′-toluenesulfonyloxy) benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, , 1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert -Butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1, 1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane Sulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- ( Ruborunan 2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2, 5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methides include tristrifluoromethylsulfonylmethide, and sulfonium salts of these combinations.

ヨードニウム塩はヨードニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、ジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム、4−tert−ブトキシフェニルフェニルヨードニウム、4−メトキシフェニルフェニルヨードニウム等のアリールヨードニウムカチオンとスルホネートとしてトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのヨードニウム塩が挙げられる。 Iodonium salt is a salt of iodonium cation and sulfonate or bis (substituted alkylsulfonyl) imide, tris (substituted alkylsulfonyl) methide, diphenyliodonium, bis (4-tert-butylphenyl) iodonium, 4-tert-butoxyphenylphenyliodonium. Aryliodonium cations such as 4-methoxyphenylphenyliodonium and sulfonates such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2, 2,2-trifluoroethanesulfonate, pentafluorobenzenes Phonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4- (4-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate , Camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro- 2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclo Xancarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1, 3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3 , 3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1, , 1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro Ro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4. 4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methide includes tristrifluoromethylsulfonylmethide, and iodonium salts of these combinations.

スルホニルジアゾメタンとしては、ビス(エチルスルホニル)ジアゾメタン、ビス(1−メチルプロピルスルホニル)ジアゾメタン、ビス(2−メチルプロピルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(パーフルオロイソプロピルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(4−メチルフェニルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(2−ナフチルスルホニル)ジアゾメタン、ビス(4−アセチルオキシフェニルスルホニル)ジアゾメタン、ビス(4−メタンスルホニルオキシフェニルスルホニル)ジアゾメタン、ビス(4−(4−トルエンスルホニルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、4−メチルフェニルスルホニルベンゾイルジアゾメタン、tertブチルカルボニル−4−メチルフェニルスルホニルジアゾメタン、2−ナフチルスルホニルベンゾイルジアゾメタン、4−メチルフェニルスルホニル2−ナフトイルジアゾメタン、メチルスルホニルベンゾイルジアゾメタン、tertブトキシカルボニル−4−メチルフェニルスルホニルジアゾメタン等のビススルホニルジアゾメタンとスルホニル−カルボニルジアゾメタンが挙げられる。   As the sulfonyldiazomethane, bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane , Bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis ( 4-acetyloxyphenylsulfonyl) diazomethane, bis (4-methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenes) Phonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4-) (N-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tertbutylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldi Include carbonyl diazomethane - Zometan, bissulfonyldiazomethanes and sulfonyl such as methylsulfonyl benzoyl diazomethane, tert-butoxycarbonyl-4-methylphenyl sulfonyl diazomethane.

N−スルホニルオキシイミド型光酸発生剤としては、コハク酸イミド、ナフタレンジカルボン酸イミド、フタル酸イミド、シクロヘキシルジカルボン酸イミド、5−ノルボルネン−2,3−ジカルボン酸イミド、7−オキサビシクロ[2.2.1]−5−ヘプテン−2,3−ジカルボン酸イミド等のイミド骨格とトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等の組み合わせの化合物が挙げられる。 Examples of the N-sulfonyloxyimide type photoacid generator include succinimide, naphthalene dicarboxylic imide, phthalic imide, cyclohexyl dicarboxylic imide, 5-norbornene-2,3-dicarboxylic imide, 7-oxabicyclo [2. 2.1] An imide skeleton such as 5-heptene-2,3-dicarboxylic acid imide and trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadeca Fluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-fluorobenzene sulfonate , Mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1,1, 3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2 -Pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanoate Sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-Adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3 3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2, 2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like.

ベンゾインスルホネート型光酸発生剤としては、ベンゾイントシレート、ベンゾインメシレート、ベンゾインブタンスルホネート等が挙げられる。   Examples of the benzoin sulfonate photoacid generator include benzoin tosylate, benzoin mesylate, and benzoin butane sulfonate.

ピロガロールトリスルホネート型光酸発生剤としては、ピロガロール、フロログリシノール、カテコール、レゾルシノール、ヒドロキノンのヒドロキシル基のすべてをトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等で置換した化合物が挙げられる。 Pyrogallol trisulfonate photoacid generators include pyrogallol, phloroglucinol, catechol, resorcinol, and hydroquinone all hydroxyl groups trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl. Perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, Camphorsulfonate, octanesulfonate, dodecylbe Zensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) ) Propane sulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-ada Mantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-penta Fluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2,2-tetra fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10] dodeca-3 And a compound substituted with ene-8-yl) ethanesulfonate.

ニトロベンジルスルホネート型光酸発生剤としては、2,4−ジニトロベンジルスルホネート、2−ニトロベンジルスルホネート、2,6−ジニトロベンジルスルホネートが挙げられ、スルホネートとしては、具体的にトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられる。またベンジル側のニトロ基をトリフルオロメチル基で置き換えた化合物も同様に用いることができる。 Examples of the nitrobenzyl sulfonate photoacid generator include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate. Specific examples of the sulfonate include trifluoromethane sulfonate and pentafluoroethane. Sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-Fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphor Sulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-Phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoro Propanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3 Pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1 , 1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like. A compound in which the nitro group on the benzyl side is replaced with a trifluoromethyl group can also be used.

スルホン型光酸発生剤の例としては、ビス(フェニルスルホニル)メタン、ビス(4−メチルフェニルスルホニル)メタン、ビス(2−ナフチルスルホニル)メタン、2,2−ビス(フェニルスルホニル)プロパン、2,2−ビス(4−メチルフェニルスルホニル)プロパン、2,2−ビス(2−ナフチルスルホニル)プロパン、2−メチル−2−(p−トルエンスルホニル)プロピオフェノン、2−シクロヘキシルカルボニル)−2−(p−トルエンスルホニル)プロパン、2,4−ジメチル−2−(p−トルエンスルホニル)ペンタン−3−オン等が挙げられる。   Examples of the sulfone photoacid generator include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2, 2-bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl) -2- ( p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

グリオキシム誘導体型の光酸発生剤は、特許第2906999号公報や特開平9−301948号公報に記載の化合物を挙げることができ、具体的にはビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(10−カンファースルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−ニオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−ニオキシム、ビス−O−(10−カンファースルホニル)−ニオキシム、ビス−O−(ベンゼンスルホニル)−ニオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−ニオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−ニオキシム、ビス−O−(キシレンスルホニル)−ニオキシム等が挙げられる。   Examples of the glyoxime derivative-type photoacid generator include compounds described in Japanese Patent No. 2906999 and Japanese Patent Application Laid-Open No. 9-301948, and specifically, bis-O- (p-toluenesulfonyl) -α-. Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p-toluenesulfonyl) -2 , 3-pentanedione glyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylglyoxime, bis-O- (n-butane Sulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α- Dimethylglyoxime, bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -Α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -Nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis O- (benzenesulfonyl) -nioxime, bis-O- (p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. Is mentioned.

また、米国特許第6004724号明細書記載のオキシムスルホネート、特に(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル等が挙げられ、更に米国特許第6916591号明細書記載の(5−(4−(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(2,5−ビス(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル等が挙げられる。   Further, oxime sulfonates described in US Pat. No. 6,0047,424, particularly (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H- Thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-Methylphenyl) acetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiof N-2-ylidene) (2-methylphenyl) acetonitrile and the like, and (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophene- described in US Pat. No. 6,916,591. 2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, and the like.

米国特許第6261738号明細書、特開2000−314956号公報記載のオキシムスルホネート、特に2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(4−メトキシフェニルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2,4,6−トリメチルフェニルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(メチルスルホナート)、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルチオフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−フェニル−ブタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−10−カンホリルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2,4,6−トリメチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,2−トリフルオロ−1−(4−クロロフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−(フェニル)−ブタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−(フェニル−1,4−ジオキサ−ブト−1−イル)フェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルスルホニルフェニル]−エタノンオキシム−O−プロピルスルホナート、1,3−ビス[1−(4−フェノキシフェニル)−2,2,2−トリフルオロエタノンオキシム−O−スルホニル]フェニル、2,2,2−トリフルオロ−1−[4−メチルスルホニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルカルボニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[6H,7H−5,8−ジオキソナフト−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メトキシカルボニルメトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−(メトキシカルボニル)−(4−アミノ−1−オキサ−ペンタ−1−イル)−フェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[3,5−ジメチル−4−エトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[2−チオフェニル]−エタノンオキシム−O−プロピルスルホナート、及び2,2,2−トリフルオロ−1−[1−ジオキサ−チオフェン−2−イル]−エタノンオキシム−O−プロピルスルホナート、
2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(トリフルオロメタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(トリフルオロメタンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−プロパンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−プロパンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−ブタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−ブタンスルホネート)等が挙げられ、更に米国特許第6916591号明細書記載の2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホネート)等が挙げられる。
U.S. Pat. No. 6,261,738, JP-A-2000-314956, oxime sulfonates, particularly 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2,2,2- Trifluoro-1-phenyl-ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4-methoxyphenyl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2-naphthyl) Sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) ) -Ethanone oxime-O- (methyl sulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2 , 2-Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) ) -Ethanone oxime-O- (1-naphthyl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl sulfonate), 2 2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4 , 6-Trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- ( 2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthio) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3 , 3,4,4,4-Heptafluoro-1-phenyl-butanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O -Methyl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-10-camphoryl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone Oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-tri Tilphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methyl) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime -O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10- Morpholyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfo Narate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -Ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfur Honate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) ) -Ethanone oxime-O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2- Trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octyl Sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) ) -Ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,2-trifluoro-1 -(4-Chlorophenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) Sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone Shim-O-methylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (Phenyl-1,4-dioxa-but-1-yl) phenyl] -ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propyl sulfonate 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-propyl sulfone Narate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenyl) Enoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8- Dioxonaphth-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl) -fur Nyl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propyl Sulfonate, and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl sulfonate,
2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl ) Ethanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (1-propanesulfonyloxyimino)) -Ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-tri) Fluoro-1- (1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-butanesulfonate) and the like. 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyl) described in Japanese Patent No. 6916591 Oxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4 -(3- (4- (2,2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy ) -Phenyl) ethanone oxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) fe Rusuruhoneto), and the like.

特開平9−95479号公報、特開平9−230588号公報あるいは文中の従来技術として記載のオキシムスルホネートα−(p−トルエンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(p−クロロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロ−2−トリフルオロメチルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−クロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,4−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,6−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(2−クロロベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2−チエニルアセトニトリル、α−(4−ドデシルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−[(4−トルエンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−[(ドデシルベンゼンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−(トシルオキシイミノ)−3−チエニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル等が挙げられる。   JP-A-9-95479, JP-A-9-230588, or oxime sulfonate α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, α- (p-chlorobenzenesulfonyloxyimino)- Phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyloxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4-chlorophenyl Acetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,6-dichlorophenylacetonitrile, α- (benzene Sulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzenesulfonyl) Oxyimino) -phenylacetonitrile, α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxyimino ) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- Isopropylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α- (isopropylsulfonyloxy) Imino) -1-cyclohexenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclohexenylacetonitrile, and the like.

下記式で示されるオキシムスルホネート(例えばWO2004/074242に具体例記載)が挙げられる。   Examples thereof include oxime sulfonates represented by the following formula (for example, specific examples are described in WO2004 / 074242).

Figure 2007297590
(上式中、RS1は置換又は非置換の炭素数1〜10のハロアルキルスルホニル、ハロベンゼンスルホニル基を表す。RS2は炭素数1〜11のハロアルキル基を表す。ArS1は置換又は非置換の芳香族基又はヘテロ芳香族基を表す。)
Figure 2007297590
(In the above formula, R S1 represents a substituted or unsubstituted haloalkylsulfonyl or halobenzenesulfonyl group having 1 to 10 carbon atoms. R S2 represents a haloalkyl group having 1 to 11 carbon atoms. Ar S1 is substituted or unsubstituted. Represents an aromatic group or a heteroaromatic group.)

具体的には、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−4−ビフェニル、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−4−ビフェニル、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−4−ビフェニルなどが挙げられる。   Specifically, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2, 3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6- Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) ) -Pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -4-biphenyl, 2- [2, 2, 3, 3 4,4,5,5,6,6- deca fluoro-1- (nonafluorobutylsulfonyloxy-imino) - hexyl] -4-biphenyl, and the like.

また、ビスオキシムスルホネートとして特開平9−208554号公報記載の化合物、特にビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル等が挙げられる。   Further, as bisoxime sulfonate, compounds described in JP-A-9-208554, particularly bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyl) Oxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, Screw (α- (4-Methoxybenzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino) -m -Phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) ) Imino) -m-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (Α- (4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile and the like.

中でも好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート、グリオキシム誘導体である。より好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネートである。具体的にはトリフェニルスルホニウムp−トルエンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムペンタフルオロベンゼンスルホネート、トリフェニルスルホニウムノナフルオロブタンスルホネート、トリフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリフェニルスルホニウム−2,4,6−トリイソプロピルベンゼンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムp−トルエンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブトキシフェニルジフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリス(4−メチルフェニル)スルホニウム、カンファースルホネート、トリス(4−tertブチルフェニル)スルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムノナフルオロ−1−ブタンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムパーフルオロ−1−オクタンスルホネート、トリフェニルスルホニウム1,1−ジフルオロ−2−ナフチル−エタンスルホネート、トリフェニルスルホニウム1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(シクロへキシルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−tert−ブチルフェニルスルホニル)ジアゾメタン、N−カンファースルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、N−p−トルエンスルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン等が挙げられる。   Among them, preferred photoacid generators are sulfonium salts, bissulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate, and glyoxime derivatives. More preferably used photoacid generators are sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides, and oxime-O-sulfonates. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- (4′-toluenesulfonyloxy) benzenesulfonate, Triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- ( 4′-Toluenesulfonyloxy) benzenesulfonate, tris (4-methyl) Phenyl) sulfonium, camphorsulfonate, tris (4-tertbutylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4-tert- Butylphenyldiphenylsulfonium pentafluoroethyl perfluorocyclohexanesulfonate, 4-tert-butylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1 , 2,2-Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate Bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl- 4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic imide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic imide, 2- [2,2,3,3,4,4,5,5-octafluoro- 1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene and the like.

本発明の化学増幅型レジスト材料における光酸発生剤の添加量はいずれでもよいが、レジスト材料中のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.1〜10質量部である。光酸発生剤が20質量部以下であれば、フォトレジスト膜の透過率が十分大きく、解像性能の劣化が起こる恐れが少ない。上記光酸発生剤は、単独でも2種以上混合して用いることもできる。更に露光波長における透過率が低い光酸発生剤を用い、その添加量でレジスト膜中の透過率を制御することもできる。   The addition amount of the photoacid generator in the chemically amplified resist material of the present invention may be any, but is 0.1 to 20 parts by mass, preferably 0.1 to 10 parts by mass with respect to 100 parts by mass of the base resin in the resist material. Part. If the photoacid generator is 20 parts by mass or less, the transmittance of the photoresist film is sufficiently large, and there is little risk of degradation of resolution performance. The photoacid generators can be used alone or in combination of two or more. Further, a photoacid generator having a low transmittance at the exposure wavelength can be used, and the transmittance in the resist film can be controlled by the addition amount.

また、本発明のレジスト材料に、酸により分解し、酸を発生する化合物(酸増殖化合物)を添加してもよい。   In addition, a compound capable of decomposing with an acid to generate an acid (acid-growing compound) may be added to the resist material of the present invention.

これらの化合物についてはJ.Photopolym.Sci.and Tech.,8.43−44,45−46(1995)、J.Photopolym.Sci.and Tech.,9.29−30(1996)において記載されている。   These compounds are described in J. Org. Photopolym. Sci. and Tech. , 8.43-44, 45-46 (1995), J. Am. Photopolym. Sci. and Tech. , 9.29-30 (1996).

酸増殖化合物の例としては、tert−ブチル2−メチル2−トシロキシメチルアセトアセテート、2−フェニル2−(2−トシロキシエチル)1,3−ジオキソラン等が挙げられるがこれらに限定されるものではない。公知の光酸発生剤の中で安定性、特に熱安定性に劣る化合物は酸増殖化合物的な性質を示す場合が多い。   Examples of acid proliferating compounds include, but are not limited to, tert-butyl 2-methyl 2-tosyloxymethyl acetoacetate, 2-phenyl 2- (2-tosyloxyethyl) 1,3-dioxolane, and the like. is not. Of the known photoacid generators, compounds that are inferior in stability, particularly thermal stability, often exhibit the properties of acid-proliferating compounds.

本発明のレジスト材料における酸増殖化合物の添加量としては、レジスト材料中のベース樹脂100質量部に対して2質量部以下、好ましくは1質量部以下である。2質量部以下であれば、拡散が制御され解像性の劣化、パターン形状の劣化が起こる恐れが少ない。   The addition amount of the acid growth compound in the resist material of the present invention is 2 parts by mass or less, preferably 1 part by mass or less with respect to 100 parts by mass of the base resin in the resist material. If it is 2 parts by mass or less, the diffusion is controlled and there is little possibility of degradation of resolution and pattern shape.

本発明のレジスト材料は、さらに、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤のいずれか1つ以上を含有することができる。
本発明で使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。本発明では、これらの有機溶剤の中でもレジスト成分中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。
The resist material of the present invention can further contain any one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant.
The organic solvent used in the present invention may be any organic solvent that can dissolve the base resin, acid generator, other additives, and the like. Examples of such organic solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy- Alcohols such as 2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, and other ethers, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Examples thereof include esters such as ethyl propionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, and lactones such as γ-butyrolactone. Although it can be used in mixture, it is not limited to these. In the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, and mixed solvents thereof, which are most excellent in solubility of the acid generator in the resist component, are preferably used. .

有機溶剤の使用量は、ベース樹脂100質量部に対して200〜3,000質量部、特に400〜2,500質量部が好適である。   The amount of the organic solvent used is preferably 200 to 3,000 parts by mass, particularly 400 to 2,500 parts by mass with respect to 100 parts by mass of the base resin.

更に、本発明のレジスト材料には、塩基性化合物として含窒素有機化合物を1種又は2種以上配合することができる。   Furthermore, the resist material of the present invention may contain one or more nitrogen-containing organic compounds as basic compounds.

含窒素有機化合物としては、酸発生剤より発生する酸がレジスト膜中に拡散する際の拡散速度を抑制することができる化合物が適している。含窒素有機化合物の配合により、レジスト膜中での酸の拡散速度が抑制されて解像度が向上し、露光後の感度変化を抑制したり、基板や環境依存性を少なくし、露光余裕度やパターンプロファイル等を向上することができる。   As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator diffuses into the resist film is suitable. By compounding nitrogen-containing organic compounds, the acid diffusion rate in the resist film is suppressed and resolution is improved, sensitivity change after exposure is suppressed, substrate and environment dependency is reduced, and exposure margins and patterns are reduced. Profiles and the like can be improved.

このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。   Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like.

具体的には、第一級の脂肪族アミン類として、アンモニア、メチルアミン、エチルアミン、n−プロピルアミン、イソプロピルアミン、n−ブチルアミン、イソブチルアミン、sec−ブチルアミン、tert−ブチルアミン、ペンチルアミン、tert−アミルアミン、シクロペンチルアミン、ヘキシルアミン、シクロヘキシルアミン、ヘプチルアミン、オクチルアミン、ノニルアミン、デシルアミン、ドデシルアミン、セチルアミン、メチレンジアミン、エチレンジアミン、テトラエチレンペンタミン等が例示され、第二級の脂肪族アミン類として、ジメチルアミン、ジエチルアミン、ジ−n−プロピルアミン、ジイソプロピルアミン、ジ−n−ブチルアミン、ジイソブチルアミン、ジ−sec−ブチルアミン、ジペンチルアミン、ジシクロペンチルアミン、ジヘキシルアミン、ジシクロヘキシルアミン、ジヘプチルアミン、ジオクチルアミン、ジノニルアミン、ジデシルアミン、ジドデシルアミン、ジセチルアミン、N,N−ジメチルメチレンジアミン、N,N−ジメチルエチレンジアミン、N,N−ジメチルテトラエチレンペンタミン等が例示され、第三級の脂肪族アミン類として、トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリイソプロピルアミン、トリ−n−ブチルアミン、トリイソブチルアミン、トリ−sec−ブチルアミン、トリペンチルアミン、トリシクロペンチルアミン、トリヘキシルアミン、トリシクロヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、トリノニルアミン、トリデシルアミン、トリドデシルアミン、トリセチルアミン、N,N,N’,N’−テトラメチルメチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、N,N,N’,N’−テトラメチルテトラエチレンペンタミン等が例示される。   Specifically, primary aliphatic amines include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert- Amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine, etc. are exemplified as secondary aliphatic amines. Dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, disi Lopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyltetraethylenepenta Examples of tertiary aliphatic amines include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, and tripentylamine. , Tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, Examples include cetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like. Is done.

また、混成アミン類としては、例えばジメチルエチルアミン、メチルエチルプロピルアミン、ベンジルアミン、フェネチルアミン、ベンジルジメチルアミン等が例示される。芳香族アミン類及び複素環アミン類の具体例としては、アニリン誘導体(例えばアニリン、N−メチルアニリン、N−エチルアニリン、N−プロピルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、エチルアニリン、プロピルアニリン、トリメチルアニリン、2−ニトロアニリン、3−ニトロアニリン、4−ニトロアニリン、2,4−ジニトロアニリン、2,6−ジニトロアニリン、3,5−ジニトロアニリン、N,N−ジメチルトルイジン等)、ジフェニル(p−トリル)アミン、メチルジフェニルアミン、トリフェニルアミン、フェニレンジアミン、ナフチルアミン、ジアミノナフタレン、ピロール誘導体(例えばピロール、2H−ピロール、1−メチルピロール、2,4−ジメチルピロール、2,5−ジメチルピロール、N−メチルピロール等)、オキサゾール誘導体(例えばオキサゾール、イソオキサゾール等)、チアゾール誘導体(例えばチアゾール、イソチアゾール等)、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、4−ピロリジノピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。   Examples of hybrid amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (eg, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3- Methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5- Dinitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (eg pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dim Lupyrrole, 2,5-dimethylpyrrole, N-methylpyrrole, etc.), oxazole derivatives (eg oxazole, isoxazole etc.), thiazole derivatives (eg thiazole, isothiazole etc.), imidazole derivatives (eg imidazole, 4-methylimidazole, 4 -Methyl-2-phenylimidazole, etc.), pyrazole derivatives, furazane derivatives, pyrroline derivatives (eg pyrroline, 2-methyl-1-pyrroline etc.), pyrrolidine derivatives (eg pyrrolidine, N-methylpyrrolidine, pyrrolidinone, N-methylpyrrolidone etc.) ), Imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (eg pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butylpentyl) pyridine, dimethyl) Lysine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine, etc.), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H- Indazole derivatives, indoline derivatives, quinoline derivatives (eg quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoli Derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives And uridine derivatives.

更に、カルボキシ基を有する含窒素化合物としては、例えばアミノ安息香酸、インドールカルボン酸、アミノ酸誘導体(例えばニコチン酸、アラニン、アルギニン、アスパラギン酸、グルタミン酸、グリシン、ヒスチジン、イソロイシン、グリシルロイシン、ロイシン、メチオニン、フェニルアラニン、スレオニン、リジン、3−アミノピラジン−2−カルボン酸、メトキシアラニン)等が例示され、スルホニル基を有する含窒素化合物として3−ピリジンスルホン酸、p−トルエンスルホン酸ピリジニウム等が例示され、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物としては、2−ヒドロキシピリジン、アミノクレゾール、2,4−キノリンジオール、3−インドールメタノールヒドレート、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−エチルジエタノールアミン、N,N−ジエチルエタノールアミン、トリイソプロパノールアミン、2,2’−イミノジエタノール、2−アミノエタノ−ル、3−アミノ−1−プロパノール、4−アミノ−1−ブタノール、4−(2−ヒドロキシエチル)モルホリン、2−(2−ヒドロキシエチル)ピリジン、1−(2−ヒドロキシエチル)ピペラジン、1−[2−(2−ヒドロキシエトキシ)エチル]ピペラジン、ピペリジンエタノール、1−(2−ヒドロキシエチル)ピロリジン、1−(2−ヒドロキシエチル)−2−ピロリジノン、3−ピペリジノ−1,2−プロパンジオール、3−ピロリジノ−1,2−プロパンジオール、8−ヒドロキシユロリジン、3−クイヌクリジノール、3−トロパノール、1−メチル−2−ピロリジンエタノール、1−アジリジンエタノール、N−(2−ヒドロキシエチル)フタルイミド、N−(2−ヒドロキシエチル)イソニコチンアミド等が例示される。アミド類としては、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、1−シクロヘキシルピロリドン等が例示される。イミド類としては、フタルイミド、サクシンイミド、マレイミド等が例示される。カーバメート類としては、N−t−ブトキシカルボニル−N,N−ジシクロヘキシルアミン、N−t−ブトキシカルボニルベンズイミダゾール、オキサゾリジノン等が例示される。   Furthermore, examples of the nitrogen-containing compound having a carboxy group include aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (eg, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine , Phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine) and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like. Nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol. Drate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) Ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propane Diol, 8-hydroxyuroli , 3-cuincridinol, 3-tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. Illustrated. Examples of amides include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone and the like. Examples of imides include phthalimide, succinimide, maleimide and the like. Examples of carbamates include Nt-butoxycarbonyl-N, N-dicyclohexylamine, Nt-butoxycarbonylbenzimidazole, oxazolidinone, and the like.

更に下記一般式(B)−1で示される含窒素有機化合物が例示される。

N(X)n(Y)3-n (B)−1
(式中、n=1、2又は3である。側鎖Xは同一でも異なっていてもよく、下記一般式(X1)〜(X3)で表すことができる。側鎖Yは同一又は異種の、水素原子もしくは直鎖状、分岐状又は環状の炭素数1〜20のアルキル基を示し、エーテル基もしくはヒドロキシル基を含んでもよい。また、X同士が結合して環を形成してもよい。)

Figure 2007297590
Furthermore, the nitrogen-containing organic compound shown by the following general formula (B) -1 is illustrated.

N (X) n (Y) 3-n (B) -1
(In the formula, n = 1, 2, or 3. The side chain X may be the same or different and can be represented by the following general formulas (X1) to (X3). The side chain Y is the same or different. Represents a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain an ether group or a hydroxyl group, and Xs may be bonded to form a ring. )
Figure 2007297590

上記一般式(X1)〜(X3)中、R300、R302、R305は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R301、R304は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。 In the general formulas (X1) to (X3), R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, and R 301 and R 304 are hydrogen atoms or carbon atoms. It is a linear, branched or cyclic alkyl group of 1 to 20, and may contain one or a plurality of hydroxy groups, ether groups, ester groups and lactone rings.

303は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R306は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。 R 303 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, R 306 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group , An ether group, an ester group, or a lactone ring may be contained.

一般式(B)−1で表される化合物として具体的には、トリス(2−メトキシメトキシエチル)アミン、トリス{2−(2−メトキシエトキシ)エチル}アミン、トリス{2−(2−メトキシエトキシメトキシ)エチル}アミン、トリス{2−(1−メトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシプロポキシ)エチル}アミン、トリス[2−{2−(2−ヒドロキシエトキシ)エトキシ}エチル]アミン、4,7,13,16,21,24−ヘキサオキサ−1,10−ジアザビシクロ[8.8.8]ヘキサコサン、4,7,13,18−テトラオキサ−1,10−ジアザビシクロ[8.5.5]エイコサン、1,4,10,13−テトラオキサ−7,16−ジアザビシクロオクタデカン、1−アザ−12−クラウン−4、1−アザ−15−クラウン−5、1−アザ−18−クラウン−6、トリス(2−ホルミルオキシエチル)アミン、トリス(2−アセトキシエチル)アミン、トリス(2−プロピオニルオキシエチル)アミン、トリス(2−ブチリルオキシエチル)アミン、トリス(2−イソブチリルオキシエチル)アミン、トリス(2−バレリルオキシエチル)アミン、トリス(2−ピバロイルオキシエチル)アミン、N,N−ビス(2−アセトキシエチル)2−(アセトキシアセトキシ)エチルアミン、トリス(2−メトキシカルボニルオキシエチル)アミン、トリス(2−tert−ブトキシカルボニルオキシエチル)アミン、トリス[2−(2−オキソプロポキシ)エチル]アミン、トリス[2−(メトキシカルボニルメチル)オキシエチル]アミン、トリス[2−(tert−ブトキシカルボニルメチルオキシ)エチル]アミン、トリス[2−(シクロヘキシルオキシカルボニルメチルオキシ)エチル]アミン、トリス(2−メトキシカルボニルエチル)アミン、トリス(2−エトキシカルボニルエチル)アミン、N,N−ビス(2−ヒドロキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−ヒドロキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−アセトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(4−ヒドロキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(4−ホルミルオキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(2−ホルミルオキシエトキシカルボニル)エチルアミン、N,N−ビス(2−メトキシエチル)2−(メトキシカルボニル)エチルアミン、N−(2−ヒドロキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−ヒドロキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(3−ヒドロキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(3−アセトキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−メトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(2−メトキシエトキシカルボニル)エチル]アミン、N−メチルビス(2−アセトキシエチル)アミン、N−エチルビス(2−アセトキシエチル)アミン、N−メチルビス(2−ピバロイルオキシエチル)アミン、N−エチルビス[2−(メトキシカルボニルオキシ)エチル]アミン、N−エチルビス[2−(tert−ブトキシカルボニルオキシ)エチル]アミン、トリス(メトキシカルボニルメチル)アミン、トリス(エトキシカルボニルメチル)アミン、N−ブチルビス(メトキシカルボニルメチル)アミン、N−ヘキシルビス(メトキシカルボニルメチル)アミン、β−(ジエチルアミノ)−δ−バレロラクトンが例示される。   Specific examples of the compound represented by the general formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2-methoxy). Ethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1-ethoxypropoxy) ethyl} amine, tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo [8.8.8] hexacosane, 4,7, 13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eicosane, 1,4,10,13-tetraoxa-7,16-diaza Cyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) Amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2-valeryloxyethyl) amine, tris (2- Pivaloyloxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert-butoxycarbonyloxyethyl) amine , Tris [2- (2-oxopropoxy) ethyl] amine, tris [2 (Methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycarbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) amine, Tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- ( 2-methoxyethoxycarbonyl) Ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N- Bis (2-acetoxyethyl) 2- (2-acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-acetoxy) Ethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- ( 2-oxopropoxycarbonyl) ethylamine, N, N-bis 2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2 -[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N- Bis (2-hydroxyethyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N-bis (2- Formyloxyethyl) 2- (2-formyloxye) Xoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxy) Ethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) Ethyl] amine, N- (3-hydroxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N-butylbis [ 2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl] amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2-acetoxyethyl) amine, N -Methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-butoxycarbonyloxy) ethyl] amine, tris (methoxycarbonylmethyl) ) Amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxycarbonylmethyl) amine, β- (diethylamino) -δ-valerolactone.

更に下記一般式(B)−2に示される環状構造を持つ含窒素有機化合物が例示される。

Figure 2007297590
(式中、Xは前述の通り、R307は炭素数2〜20の直鎖状又は分岐状のアルキレン基であり、カルボニル基、エーテル基、エステル基、スルフィドを1個あるいは複数個含んでいてもよい。) Furthermore, the nitrogen-containing organic compound which has the cyclic structure shown by the following general formula (B) -2 is illustrated.
Figure 2007297590
(In the formula, as described above, R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms and contains one or more carbonyl groups, ether groups, ester groups, and sulfides. May be.)

式(B)−2として具体的には、1−[2−(メトキシメトキシ)エチル]ピロリジン、1−[2−(メトキシメトキシ)エチル]ピペリジン、4−[2−(メトキシメトキシ)エチル]モルホリン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピロリジン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピペリジン、4−[2−[(2−メトキシエトキシ)メトキシ]エチル]モルホリン、酢酸2−(1−ピロリジニル)エチル、酢酸2−ピペリジノエチル、酢酸2−モルホリノエチル、ギ酸2−(1−ピロリジニル)エチル、プロピオン酸2−ピペリジノエチル、アセトキシ酢酸2−モルホリノエチル、メトキシ酢酸2−(1−ピロリジニル)エチル、4−[2−(メトキシカルボニルオキシ)エチル]モルホリン、1−[2−(t−ブトキシカルボニルオキシ)エチル]ピペリジン、4−[2−(2−メトキシエトキシカルボニルオキシ)エチル]モルホリン、3−(1−ピロリジニル)プロピオン酸メチル、3−ピペリジノプロピオン酸メチル、3−モルホリノプロピオン酸メチル、3−(チオモルホリノ)プロピオン酸メチル、2−メチル−3−(1−ピロリジニル)プロピオン酸メチル、3−モルホリノプロピオン酸エチル、3−ピペリジノプロピオン酸メトキシカルボニルメチル、3−(1−ピロリジニル)プロピオン酸2−ヒドロキシエチル、3−モルホリノプロピオン酸2−アセトキシエチル、3−(1−ピロリジニル)プロピオン酸2−オキソテトラヒドロフラン−3−イル、3−モルホリノプロピオン酸テトラヒドロフルフリル、3−ピペリジノプロピオン酸グリシジル、3−モルホリノプロピオン酸2−メトキシエチル、3−(1−ピロリジニル)プロピオン酸2−(2−メトキシエトキシ)エチル、3−モルホリノプロピオン酸ブチル、3−ピペリジノプロピオン酸シクロヘキシル、α−(1−ピロリジニル)メチル−γ−ブチロラクトン、β−ピペリジノ−γ−ブチロラクトン、β−モルホリノ−δ−バレロラクトン、1−ピロリジニル酢酸メチル、ピペリジノ酢酸メチル、モルホリノ酢酸メチル、チオモルホリノ酢酸メチル、1−ピロリジニル酢酸エチル、モルホリノ酢酸2−メトキシエチル、2−メトキシ酢酸2−モルホリノエチル、2−(2−メトキシエトキシ)酢酸2−モルホリノエチル、2−[2−(2−メトキシエトキシ)エトキシ]酢酸2−モルホリノエチル、ヘキサン酸2−モルホリノエチル、オクタン酸2−モルホリノエチル、デカン酸2−モルホリノエチル、ラウリン酸2−モルホリノエチル、ミリスチン酸2−モルホリノエチル、パルミチン酸2−モルホリノエチル、ステアリン酸2−モルホリノエチルが例示される。   Specifically as formula (B) -2, 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- (methoxymethoxy) ethyl] morpholine , 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] piperidine, 4- [2-[(2-methoxyethoxy) methoxy ] Ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, 2-morpholinoethyl acetate, 2- (1-pyrrolidinyl) ethyl formate, 2-piperidinoethyl propionate, 2-morpholinoethyl acetoxyacetate, methoxy 2- (1-Pyrrolidinyl) ethyl acetate, 4- [2- (methoxycarbonyloxy) ethyl] mol Phosphorus, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycarbonyloxy) ethyl] morpholine, methyl 3- (1-pyrrolidinyl) propionate, 3-piperidi Methyl nopropionate, methyl 3-morpholinopropionate, methyl 3- (thiomorpholino) propionate, methyl 2-methyl-3- (1-pyrrolidinyl) propionate, ethyl 3-morpholinopropionate, 3-piperidinopropion Methoxycarbonylmethyl acid, 2-hydroxyethyl 3- (1-pyrrolidinyl) propionate, 2-acetoxyethyl 3-morpholinopropionate, 2-oxotetrahydrofuran-3-yl 3- (1-pyrrolidinyl) propionate, 3-morpholino Tetrahydrofurfuryl propionate Glycidyl 3-piperidinopropionate, 2-methoxyethyl 3-morpholinopropionate, 2- (2-methoxyethoxy) ethyl 3- (1-pyrrolidinyl) propionate, butyl 3-morpholinopropionate, 3-piperidino Cyclohexyl propionate, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, methyl 1-pyrrolidinyl acetate, methyl piperidinoacetate, methyl morpholinoacetate, thiomorpholino Methyl acetate, ethyl 1-pyrrolidinyl acetate, 2-methoxyethyl morpholinoacetate, 2-morpholinoethyl 2-methoxyacetate, 2-morpholinoethyl 2- (2-methoxyethoxy) acetate, 2- [2- (2-methoxyethoxy) Ethoxy] acetic acid 2-morpholino Ethyl, 2-morpholinoethyl hexanoate, 2-morpholinoethyl octoate, 2-morpholinoethyl decanoate, 2-morpholinoethyl laurate, 2-morpholinoethyl myristate, 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate Is exemplified.

更に、一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物が例示される。   Furthermore, the nitrogen-containing organic compound containing the cyano group represented by general formula (B) -3-(B) -6 is illustrated.

Figure 2007297590
(式中、X、R307、nは前述の通り、R308、R309は同一又は異種の炭素数1〜4の直鎖状又は分岐状のアルキレン基である。)
Figure 2007297590
(In the formula, X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

上記式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物として具体的には3−(ジエチルアミノ)プロピオノニトリル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−エチル−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ヒドロキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(3−アセトキシ−1−プロピル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ホルミルオキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−テトラヒドロフルフリル−3−アミノプロピオノニトリル、N,N−ビス(2−シアノエチル)−3−アミノプロピオノニトリル、ジエチルアミノアセトニトリル、N,N−ビス(2−ヒドロキシエチル)アミノアセトニトリル、N,N−ビス(2−アセトキシエチル)アミノアセトニトリル、N,N−ビス(2−ホルミルオキシエチル)アミノアセトニトリル、N,N−ビス(2−メトキシエチル)アミノアセトニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−シアノメチル−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)アミノアセトニトリル、N−(2−アセトキシエチル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(2−ホルミルオキシエチル)アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)アミノアセトニトリル、N−シアノメチル−N−[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−(シアノメチル)−N−(3−ヒドロキシ−1−プロピル)アミノアセトニトリル、N−(3−アセトキシ−1−プロピル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(3−ホルミルオキシ−1−プロピル)アミノアセトニトリル、N,N−ビス(シアノメチル)アミノアセトニトリル、1−ピロリジンプロピオノニトリル、1−ピペリジンプロピオノニトリル、4−モルホリンプロピオノニトリル、1−ピロリジンアセトニトリル、1−ピペリジンアセトニトリル、4−モルホリンアセトニトリル、3−ジエチルアミノプロピオン酸シアノメチル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸シアノメチル、3−ジエチルアミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸(2−シアノエチル)、1−ピロリジンプロピオン酸シアノメチル、1−ピペリジンプロピオン酸シアノメチル、4−モルホリンプロピオン酸シアノメチル、1−ピロリジンプロピオン酸(2−シアノエチル)、1−ピペリジンプロピオン酸(2−シアノエチル)、4−モルホリンプロピオン酸(2−シアノエチル)が例示される。   Specific examples of the nitrogen-containing organic compound containing a cyano group represented by the above formulas (B) -3 to (B) -6 include 3- (diethylamino) propiononitrile and N, N-bis (2-hydroxyethyl). -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N, N -Bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2 -Methoxyethyl) -3-aminopropionate methyl, N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate methyl, N- (2-acetoxy) Ethyl) -N- (2-cyanoethyl) -3-aminopropionate, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2- Hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-formyloxy Ethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ) Ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-hydroxy-1-propyl) -3-aminopropiononito N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-formyloxy-1-propyl)- 3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-aminopropiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N , N-bis (2-hydroxyethyl) aminoacetonitrile, N, N-bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2- Methoxyethyl) aminoacetonitrile, N, N-bis [2- (methoxymethoxy) ethyl] aminoacetate Nitrile, N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate methyl, N-cyanomethyl-N- (2-hydroxyethyl) -3-aminopropionate methyl, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionate methyl, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N- (2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N -(3-Hydroxy-1-propyl) aminoacetate Nitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) aminoacetonitrile, N, N-bis (cyanomethyl) amino Acetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, 1-piperidineacetonitrile, 4-morpholineacetonitrile, cyanomethyl 3-diethylaminopropionate, N, N-bis Cyanomethyl (2-hydroxyethyl) -3-aminopropionate, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) -3-aminopropio Cyanomethyl acid, cyanomethyl N, N-bis (2-methoxyethyl) -3-aminopropionate, cyanomethyl N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionate, 3-diethylaminopropionic acid ( 2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl) N, N-bis (2-formyloxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine propi Cyanomethyl onacid, cyanomethyl 1-piperidinepropionate, cyanomethyl 4-morpholinepropionate, 1-pyrrolidinepropionic acid (2-cyanoethyl), 1-piperidinepropionic acid (2-cyanoethyl), 4-morpholinepropionic acid (2-cyanoethyl) Is exemplified.

更に、下記一般式(B)−7で表されるイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。   Furthermore, a nitrogen-containing organic compound having an imidazole skeleton and a polar functional group represented by the following general formula (B) -7 is exemplified.

Figure 2007297590
Figure 2007297590

(式中、R310は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基、アセタール基のいずれかを1個あるいは複数個含む。R311、R312、R313は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基又はアラルキル基である。) (In the formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms, and examples of the polar functional group include a hydroxyl group, a carbonyl group, an ester group, an ether group, and a sulfide group. , Carbonate group, cyano group, or acetal group, wherein R 311 , R 312 and R 313 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, An aryl group or an aralkyl group.)

更に、下記一般式(B)−8で示されるベンズイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。   Furthermore, a nitrogen-containing organic compound having a benzimidazole skeleton and a polar functional group represented by the following general formula (B) -8 is exemplified.

Figure 2007297590
Figure 2007297590

(式中、R314は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基、又はアラルキル基である。R315は炭素数1〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としてエステル基、アセタール基、シアノ基のいずれかを一つ以上含み、その他に水酸基、カルボニル基、エーテル基、スルフィド基、カーボネート基のいずれかを一つ以上含んでいてもよい。) (Wherein R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, an aryl group, or an aralkyl group. R 315 is a straight chain having 1 to 20 carbon atoms, It is an alkyl group having a branched or cyclic polar functional group, which contains at least one of an ester group, an acetal group, and a cyano group as a polar functional group, and in addition, a hydroxyl group, a carbonyl group, an ether group, a sulfide group, a carbonate One or more of any of the groups may be included.)

更に、下記一般式(B)−9及び(B)−10で示される極性官能基を有する含窒素複素環化合物が例示される。   Furthermore, the nitrogen-containing heterocyclic compound which has a polar functional group shown by the following general formula (B) -9 and (B) -10 is illustrated.

Figure 2007297590
Figure 2007297590

(式中、Aは窒素原子又は≡C−R322である。Bは窒素原子又は≡C−R323である。R316は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基又はアセタール基を一つ以上含む。R317、R318、R319、R320は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基であるか、又はR317とR318、R319とR320はそれぞれ結合してベンゼン環、ナフタレン環あるいはピリジン環を形成してもよい。R321は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R322、R323は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R321とR323は結合してベンゼン環又はナフタレン環を形成してもよい。) (In the formula, A is a nitrogen atom or ≡C—R 322. B is a nitrogen atom or ≡C—R 323. R 316 is a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms. An alkyl group having a group, and the polar functional group includes one or more of a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group, or an acetal group, R 317 , R 318 , R 319 , R 320 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, or R 317 and R 318 , or R 319 and R 320 are bonded to each other to form a benzene ring. May form a naphthalene ring or a pyridine ring, R 321 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, and R 322 and R 323 are hydrogen. Atoms, linear, branched or ring having 1 to 10 carbon atoms And R 321 and R 323 may combine to form a benzene ring or a naphthalene ring.)

更に、下記一般式(B)−11、12、13及び14で示される芳香族カルボン酸エステル構造を有する含窒素有機化合物が例示される。   Furthermore, the nitrogen-containing organic compound which has an aromatic carboxylate structure shown by the following general formula (B) -11, 12, 13, and 14 is illustrated.

Figure 2007297590
Figure 2007297590

(式中、R324は炭素数6〜20のアリール基又は炭素数4〜20のヘテロ芳香族基であって、水素原子の一部又は全部が、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、炭素数1〜10のアルコキシ基、炭素数1〜10のアシルオキシ基、又は、炭素数1〜10のアルキルチオ基で置換されていてもよい。R325はCO2326、OR327又はシアノ基である。R326は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基である。R327は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基又はアシル基である。R328は単結合、メチレン基、エチレン基、硫黄原子又は−O(CH2CH2O)n−基である。n=0,1,2,3又は4である。R329は水素原子、メチル基、エチル基又はフェニル基である。Xは窒素原子又はCR330である。Yは窒素原子又はCR331である。Zは窒素原子又はCR332である。R330、R331、R332はそれぞれ独立に水素原子、メチル基又はフェニル基であるか、あるいはR330とR331又はR331とR332が結合して、炭素数6〜20の芳香環又は炭素数2〜20のヘテロ芳香環を形成してもよい。) (In the formula, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and part or all of the hydrogen atoms are halogen atoms, straight chain having 1 to 20 carbon atoms. , Branched or cyclic alkyl group, aryl group having 6 to 20 carbon atoms, aralkyl group having 7 to 20 carbon atoms, alkoxy group having 1 to 10 carbon atoms, acyloxy group having 1 to 10 carbon atoms, or carbon number R 325 may be CO 2 R 326 , OR 327 or cyano group, and R 326 may be a carbon number in which some methylene groups may be substituted with oxygen atoms. R 327 is an alkyl group having 1 to 10 carbon atoms or an acyl group in which some of the methylene groups may be substituted with oxygen atoms, R 328 is a single bond, a methylene group, ethylene, sulfur atom or -O (CH 2 CH 2 O) n -Group, n = 0, 1, 2, 3 or 4. R 329 is a hydrogen atom, a methyl group, an ethyl group or a phenyl group, X is a nitrogen atom or CR 330 , Y is a nitrogen atom Or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 and R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331 R 332 may combine to form an aromatic ring having 6 to 20 carbon atoms or a heteroaromatic ring having 2 to 20 carbon atoms.

更に、下記一般式(B)−15で示される7−オキサノルボルナン−2−カルボン酸エステル構造を有する含窒素有機化合物が例示される。   Furthermore, a nitrogen-containing organic compound having a 7-oxanorbornane-2-carboxylic acid ester structure represented by the following general formula (B) -15 is exemplified.

Figure 2007297590
Figure 2007297590

(式中、R333は水素、又は炭素数1〜10の直鎖状、分枝状又は環状のアルキル基である。R334及びR335はそれぞれ独立に、エーテル、カルボニル、エステル、アルコール、スルフィド、ニトリル、アミン、イミン、アミドなどの極性官能基を一つ又は複数含んでいてもよい炭素数1〜20のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基であって、水素原子の一部がハロゲン原子で置換されていてもよい。R334とR335は互いに結合して、炭素数2〜20のヘテロ環又はヘテロ芳香環を形成してもよい。) (Wherein R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are independently ether, carbonyl, ester, alcohol, sulfide. An alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, which may contain one or more polar functional groups such as nitrile, amine, imine and amide In addition, a part of hydrogen atoms may be substituted with a halogen atom, and R 334 and R 335 may be bonded to each other to form a heterocyclic ring or heteroaromatic ring having 2 to 20 carbon atoms. )

なお、含窒素有機化合物の配合量は、ベース樹脂100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。配合量が0.001質量部以上であれば十分な配合効果が得られ、2質量部以下であれば感度が低下する恐れが少ない。   In addition, the compounding quantity of a nitrogen-containing organic compound is 0.001-2 mass parts with respect to 100 mass parts of base resins, Especially 0.01-1 mass part is suitable. If the blending amount is 0.001 part by mass or more, a sufficient blending effect is obtained, and if it is 2 parts by mass or less, the sensitivity is less likely to decrease.

本発明のレジスト材料には、上記成分以外に任意成分として塗布性を向上させるために慣用されている界面活性剤を添加することができる。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   In addition to the above components, a surfactant conventionally used for improving the coating property can be added to the resist material of the present invention. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

ここで、界面活性剤としては非イオン性のものが好ましく、パーフルオロアルキルポリオキシエチレンエタノール、フッ素化アルキルエステル、パーフルオロアルキルアミンオキサイド、パーフルオロアルキルEO付加物、含フッ素オルガノシロキサン系化合物等が挙げられる。例えばフロラード「FC−430」、「FC−431」(いずれも住友スリーエム(株)製)、サーフロン「S−141」、「S−145」、「KH−10」、「KH−20」、「KH−30」、「KH−40」(いずれも旭硝子(株)製)、ユニダイン「DS−401」、「DS−403」、「DS−451」(いずれもダイキン工業(株)製)、メガファック「F−8151」(大日本インキ工業(株)製)、「X−70−092」、「X−70−093」(いずれも信越化学工業(株)製)等を挙げることができる。好ましくは、フロラード「FC−430」(住友スリーエム(株)製)、「KH−20」、「KH−30」(いずれも旭硝子(株)製)、「X−70−093」(信越化学工業(株)製)が挙げられる。   Here, the surfactant is preferably nonionic, such as perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound, and the like. Can be mentioned. For example, Florard “FC-430”, “FC-431” (all manufactured by Sumitomo 3M Limited), Surflon “S-141”, “S-145”, “KH-10”, “KH-20”, “ KH-30 "," KH-40 "(all manufactured by Asahi Glass Co., Ltd.), Unidyne" DS-401 "," DS-403 "," DS-451 "(all manufactured by Daikin Industries, Ltd.), Mega For example, “F-8151” (manufactured by Dainippon Ink Industries, Ltd.), “X-70-092”, “X-70-093” (all manufactured by Shin-Etsu Chemical Co., Ltd.) can be used. Preferably, Florard “FC-430” (manufactured by Sumitomo 3M Limited), “KH-20”, “KH-30” (all manufactured by Asahi Glass Co., Ltd.), “X-70-093” (Shin-Etsu Chemical Co., Ltd.) Product).

本発明のレジスト材料には、必要に応じ、任意成分として更に、溶解制御剤、カルボン酸化合物、アセチレンアルコール誘導体などの他の成分を添加してもよい。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   If necessary, the resist material of the present invention may further contain other components such as a dissolution control agent, a carboxylic acid compound, and an acetylene alcohol derivative as optional components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

本発明のレジスト材料に添加することができる溶解制御剤としては、質量平均分子量が100〜1,000、好ましくは150〜800で、かつ分子内にフェノール性水酸基を2つ以上有する化合物の該フェノール性水酸基の水素原子を酸不安定基により全体として平均0〜100モル%の割合で置換した化合物又は分子内にカルボキシ基を有する化合物の該カルボキシ基の水素原子を酸不安定基により全体として平均50〜100モル%の割合で置換した化合物を配合する。   The dissolution control agent that can be added to the resist material of the present invention is a phenol having a mass average molecular weight of 100 to 1,000, preferably 150 to 800, and a compound having two or more phenolic hydroxyl groups in the molecule. The hydrogen atom of the carboxylic group of the compound having a carboxy group in the molecule or the compound in which the hydrogen atom of the ionic hydroxyl group is substituted with an acid labile group as a whole at a ratio of 0 to 100 mol% as a whole is averaged by the acid labile group as a whole A compound substituted at a ratio of 50 to 100 mol% is blended.

なお、フェノール性水酸基の水素原子の酸不安定基による置換率は、平均でフェノール性水酸基全体の0モル%以上、好ましくは30モル%以上であり、その上限は100モル%、より好ましくは80モル%である。カルボキシ基の水素原子の酸不安定基による置換率は、平均でカルボキシ基全体の50モル%以上、好ましくは70モル%以上であり、その上限は100モル%である。   The substitution rate of the hydrogen atom of the phenolic hydroxyl group by an acid labile group is on average 0 mol% or more, preferably 30 mol% or more of the entire phenolic hydroxyl group, and the upper limit is 100 mol%, more preferably 80 mol%. Mol%. The substitution rate of the hydrogen atom of the carboxy group by an acid labile group is 50 mol% or more, preferably 70 mol% or more of the entire carboxy group on average, and the upper limit is 100 mol%.

この場合、かかるフェノール性水酸基を2つ以上有する化合物又はカルボキシ基を有する化合物としては、下記式(D1)〜(D14)で示されるものが好ましい。   In this case, as the compound having two or more phenolic hydroxyl groups or the compound having a carboxy group, those represented by the following formulas (D1) to (D14) are preferable.

Figure 2007297590
Figure 2007297590

上式中、R201とR202は、それぞれ水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基が挙げられる。 In the above formula, R 201 and R 202 each represent a hydrogen atom, or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, such as a hydrogen atom, a methyl group, an ethyl group, or a butyl group. , A propyl group, an ethynyl group, and a cyclohexyl group.

203は、水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R207hCOOH(式中、R207は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。hは0又は1である。)を示し、例えば、R201、R202と同様なもの、あるいは−COOH、−CH2COOHが挙げられる。 R 203 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or — (R 207 ) h COOH (wherein R 207 is a linear chain having 1 to 10 carbon atoms) And h represents 0 or 1), and examples thereof include those similar to R 201 and R 202 , or —COOH and —CH 2 COOH.

204は、−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、エチレン基、フェニレン基、カルボニル基、スルホニル基、酸素原子、硫黄原子等が挙げられる。
205は、炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、メチレン基、あるいはR204と同様なものが挙げられる。
R 204 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, such as an ethylene group, a phenylene group, A carbonyl group, a sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.
R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, and examples thereof include a methylene group or the same as R 204. It is done.

206は、水素原子、炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、又はそれぞれ水酸基で置換されたフェニル基又はナフチル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基、それぞれ水酸基で置換されたフェニル基、ナフチル基等が挙げられる。 R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. For example, a hydrogen atom, a methyl group, an ethyl group Group, butyl group, propyl group, ethynyl group, cyclohexyl group, phenyl group substituted with hydroxyl group, naphthyl group, etc., respectively.

208は、水素原子又は水酸基を示す。
jは0〜5の整数である。u、hは0又は1である。s、t、s’、t’、s’’、t’’はそれぞれs+t=8、s’+t’=5、s’’+t’’=4を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。αは式(D8)、(D9)の化合物の質量平均分子量を100〜1,000とする数である。
R 208 represents a hydrogen atom or a hydroxyl group.
j is an integer of 0-5. u and h are 0 or 1. s, t, s ′, t ′, s ″, t ″ satisfy s + t = 8, s ′ + t ′ = 5, s ″ + t ″ = 4, respectively, and at least 1 in each phenyl skeleton The number has two hydroxyl groups. α is a number that makes the mass average molecular weight of the compounds of formulas (D8) and (D9) 100 to 1,000.

溶解制御剤の酸不安定基としては、種々用いることができるが、具体的には前記一般式(L1)〜(L4)で示される基、炭素数4〜20の三級アルキル基、各アルキル基の炭素数がそれぞれ1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。なお、それぞれの基の具体例については、先の説明と同様である。   The acid labile group of the dissolution control agent can be variously used. Specifically, the groups represented by the general formulas (L1) to (L4), the tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl Examples thereof include a trialkylsilyl group having 1 to 6 carbon atoms and an oxoalkyl group having 4 to 20 carbon atoms. Note that specific examples of each group are the same as described above.

上記溶解制御剤の配合量は、レジスト材料中のベース樹脂100質量部に対し、0〜50質量部、好ましくは0〜40質量部、より好ましくは0〜30質量部であり、単独又は2種以上を混合して使用できる。配合量が50質量部以下であれば、パターンの膜減りが生じて、解像度が低下する恐れが少ない。   The blending amount of the dissolution control agent is 0 to 50 parts by mass, preferably 0 to 40 parts by mass, more preferably 0 to 30 parts by mass with respect to 100 parts by mass of the base resin in the resist material. The above can be mixed and used. When the blending amount is 50 parts by mass or less, the film thickness of the pattern is reduced, and there is little possibility that the resolution is lowered.

なお、上記のような溶解制御剤は、フェノール性水酸基又はカルボキシ基を有する化合物に対し、有機化学的処方を用いて酸不安定基を導入することにより合成される。   In addition, the above solubility control agents are synthesize | combined by introduce | transducing an acid labile group with respect to the compound which has a phenolic hydroxyl group or a carboxy group using an organic chemical prescription.

本発明のレジスト材料に添加することができるカルボン酸化合物としては、例えば下記[I群]及び[II群]から選ばれる1種又は2種以上の化合物を使用することができるが、これらに限定されるものではない。本成分の配合により、レジストのPED(Post Exposure Delay)安定性が向上し、窒化膜基板上でのエッジラフネスが改善されるのである。   As the carboxylic acid compound that can be added to the resist material of the present invention, for example, one or two or more compounds selected from the following [Group I] and [Group II] can be used, but are not limited thereto. Is not to be done. By blending this component, the PED (Post Exposure Delay) stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I群]
下記一般式(A1)〜(A10)で示される化合物のフェノール性水酸基の水素原子の一部又は全部を−R401−COOH(R401は炭素数1〜10の直鎖状又は分岐状のアルキレン基)により置換してなり、かつ分子中のフェノール性水酸基(C)と≡C−COOHで示される基(D)とのモル比率がC/(C+D)=0.1〜1.0である化合物。
[Group I]
A part or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the following general formulas (A1) to (A10) are converted to —R 401 —COOH (where R 401 is a linear or branched alkylene having 1 to 10 carbon atoms). The molar ratio of the phenolic hydroxyl group (C) in the molecule to the group (D) represented by ≡C—COOH is C / (C + D) = 0.1 to 1.0. Compound.

[II群]
下記一般式(A11)〜(A15)で示される化合物。
[Group II]
Compounds represented by the following general formulas (A11) to (A15).

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

上式中、R408は水素原子又はメチル基を示す。
402、R403はそれぞれ水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示す。R404は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R409h−COOR’基(R’は水素原子又は−R409−COOH)を示す。
In the above formula, R 408 represents a hydrogen atom or a methyl group.
R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or a — (R 409 ) h —COOR ′ group (R ′ represents a hydrogen atom or —R 409 —COOH). Show.

405は−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
406は炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
407は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、それぞれ水酸基で置換されたフェニル基又はナフチル基を示す。
R 405 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom, or a sulfur atom.
R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 407 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.

409は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。
410は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基又は−R411−COOH基(式中、R411は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。)を示す。
412は水素原子又は水酸基を示す。
R 409 represents a linear or branched alkylene group having 1 to 10 carbon atoms.
R 410 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or —R 411 —COOH group (wherein R 411 is a linear or branched group having 1 to 10 carbon atoms) Represents an alkylene group.
R 412 represents a hydrogen atom or a hydroxyl group.

jは0〜3の数であり、s1、t1、s2、t2、s3、t3、s4、t4は、それぞれs1+t1=8、s2+t2=5、s3+t3=4、s4+t4=6を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。
s5、t5は、s5≧0、t5≧0で、s5+t5=5を満足する数である。
uは、1≦u≦4を満足する数であり、hは、1≦h≦4を満足する数である。
j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, and t4 satisfy s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, s4 + t4 = 6, and each phenyl The number is such that it has at least one hydroxyl group in the skeleton.
s5 and t5 are numbers satisfying s5 + t5 = 5 with s5 ≧ 0 and t5 ≧ 0.
u is a number satisfying 1 ≦ u ≦ 4, and h is a number satisfying 1 ≦ h ≦ 4.

κは式(A6)の化合物を質量平均分子量1,000〜5,000とする数である。
λは式(A7)の化合物を質量平均分子量1,000〜10,000とする数である。
本成分として、具体的には下記一般式(AI−1)〜(AI−14)及び(AII−1)〜(AII−10)で示される化合物を挙げることができるが、これらに限定されるものではない。
κ is a number that makes the compound of formula (A6) a mass average molecular weight of 1,000 to 5,000.
λ is a number that makes the compound of formula (A7) a mass average molecular weight of 1,000 to 10,000.
Specific examples of this component include, but are not limited to, compounds represented by the following general formulas (AI-1) to (AI-14) and (AII-1) to (AII-10). It is not a thing.

Figure 2007297590
Figure 2007297590

Figure 2007297590
Figure 2007297590

(上式中、R’’は水素原子又はCH2COOH基を示し、各化合物においてR’’の10〜100モル%はCH2COOH基である。κとλは上記と同様の意味を示す。)
なお、上記分子内に≡C−COOHで示される基を有する化合物の添加量は、ベース樹脂100質量部に対して0〜5質量部、好ましくは0.1〜5質量部、より好ましくは0.1〜3質量部、更に好ましくは0.1〜2質量部である。5質量部以下であればレジスト材料の解像度が低下する恐れが少ない。
(In the above formula, R ″ represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R ″ is a CH 2 COOH group. Κ and λ have the same meaning as described above. .)
The amount of the compound having a group represented by ≡C—COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, and more preferably 0 to 100 parts by mass of the base resin. 0.1-3 parts by mass, more preferably 0.1-2 parts by mass. If it is 5 parts by mass or less, the resolution of the resist material is less likely to decrease.

本発明のレジスト材料に添加することができるアセチレンアルコール誘導体としては、下記一般式(S1)、(S2)で示されるものを好適に使用することができる。   As the acetylene alcohol derivative that can be added to the resist material of the present invention, those represented by the following general formulas (S1) and (S2) can be preferably used.

Figure 2007297590
(上式中、R501、R502、R503、R504、R505はそれぞれ水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基であり、X、Yは0又は正数を示し、下記値を満足する。0≦X≦30、0≦Y≦30、0≦X+Y≦40である。)
Figure 2007297590
(In the above formula, R 501 , R 502 , R 503 , R 504 , and R 505 are each a hydrogen atom or a linear, branched, or cyclic alkyl group having 1 to 8 carbon atoms, and X and Y are 0 Or it represents a positive number and satisfies the following values: 0 ≦ X ≦ 30, 0 ≦ Y ≦ 30, 0 ≦ X + Y ≦ 40.)

アセチレンアルコール誘導体として好ましくは、サーフィノール61、サーフィノール82、サーフィノール104、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノールTG、サーフィノールPC、サーフィノール440、サーフィノール465、サーフィノール485(Air Products and Chemicals Inc.製)、サーフィノールE1004(日信化学工業(株)製)等が挙げられる。   The acetylene alcohol derivative is preferably Surfinol 61, Surfinol 82, Surfinol 104, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol TG, Surfinol PC, Surfinol 440, Surfinol 465, Surfinol 485 (Air Products and Chemicals Inc.), Surfynol E1004 (manufactured by Nissin Chemical Industry Co., Ltd.) and the like.

上記アセチレンアルコール誘導体の添加量は、レジスト組成物100質量%中0.01〜2質量%、より好ましくは0.02〜1質量%である。0.01質量%以上であれば塗布性及び保存安定性の改善効果が十分に得られ、2質量%以下であればレジスト材料の解像性が低下する恐れが少ない。   The addition amount of the acetylene alcohol derivative is 0.01 to 2% by mass, more preferably 0.02 to 1% by mass in 100% by mass of the resist composition. If it is 0.01% by mass or more, the effect of improving coating properties and storage stability is sufficiently obtained, and if it is 2% by mass or less, the resolution of the resist material is less likely to deteriorate.

また、本発明は、上記のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供する。このとき、高エネルギー線を、波長180nm〜250nmの範囲のものとすることが好ましい。   The present invention also includes a pattern formation comprising: a step of applying the above resist material onto a substrate; a step of exposing to high energy rays after heat treatment; and a step of developing using a developer. Provide a method. At this time, it is preferable that the high energy ray has a wavelength in the range of 180 nm to 250 nm.

また、前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことができ、たとえば、180〜250nmの範囲の露光波長を用い、前記レジスト材料を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる。なお、液浸露光に用いる液体としては、水等が挙げられる。   In addition, the step of exposing with the high energy ray can be performed by immersion exposure through a liquid, for example, using an exposure wavelength in the range of 180 to 250 nm, and using a substrate coated with the resist material and a projection lens. A liquid can be inserted in between, and the substrate can be exposed through the liquid. In addition, water etc. are mentioned as a liquid used for immersion exposure.

本発明のレジスト材料を使用してパターンを形成するには、公知のリソグラフィー技術を採用して行うことができる。
例えば、シリコンウエーハ等の基板上にスピンコーティング等の手法で膜厚が0.1〜2.0μmとなるようにレジスト材料を塗布し、これをホットプレート上で60〜150℃、1〜10分間、好ましくは80〜140℃、1〜5分間プリベークしてフォトレジスト膜を形成する。
In order to form a pattern using the resist material of the present invention, a known lithography technique can be employed.
For example, a resist material is applied onto a substrate such as a silicon wafer by spin coating or the like so that the film thickness becomes 0.1 to 2.0 μm, and this is applied on a hot plate at 60 to 150 ° C. for 1 to 10 minutes. Preferably, pre-baking is performed at 80 to 140 ° C. for 1 to 5 minutes to form a photoresist film.

次いで目的のパターンを形成するためのマスクを上記のフォトレジスト膜上にかざし、遠紫外線、エキシマレーザー、X線等の高エネルギー線又は電子線を露光量1〜200mJ/cm2、好ましくは10〜100mJ/cm2となるように照射する。 Next, a mask for forming a target pattern is placed over the above-mentioned photoresist film, and a high energy beam such as deep ultraviolet light, excimer laser, X-ray or electron beam is applied in an exposure amount of 1 to 200 mJ / cm 2 , preferably 10 Irradiate to 100 mJ / cm 2 .

露光は通常の露光法の他、投影レンズとフォトレジスト膜との間を水等で浸漬する液浸(Immersion)法を用いることも可能である。   In addition to the normal exposure method, the exposure can be performed by an immersion method in which the projection lens and the photoresist film are immersed in water or the like.

上述したように、本発明のレジスト材料を用いて形成したフォトレジスト膜は、水に対する良好なバリアー性能を有し、フォトレジスト組成物の水への溶出を抑制するため、液浸リソグラフィーにおいて保護膜を必要とせず、保護膜の形成等に要するコストを削減できる。
また、上記フォトレジスト膜は、水に対して高い後退接触角を有するため、液浸露光の走査後にフォトレジスト膜の表面に液滴が残りにくく、膜表面に残存する液滴が誘発するパターン形成不良を低減することができる。
As described above, the photoresist film formed using the resist material of the present invention has a good barrier performance against water and suppresses elution of the photoresist composition into water. Therefore, the cost required for forming the protective film can be reduced.
In addition, since the photoresist film has a high receding contact angle with respect to water, it is difficult for droplets to remain on the surface of the photoresist film after immersion exposure scanning, and pattern formation is induced by droplets remaining on the film surface. Defects can be reduced.

次いで、ホットプレート上で、60〜150℃、1〜5分間、好ましくは80〜140℃、1〜3分間ポストエクスポージャーベーク(PEB)する。更に、0.1〜5質量%、好ましくは2〜3質量%のテトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像して、基板上に目的のパターンが形成される。   Next, post exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably 80 to 140 ° C. for 1 to 3 minutes. Further, 0.1 to 5% by mass, preferably 2 to 3% by mass of an aqueous developer solution such as tetramethylammonium hydroxide (TMAH) is used for 0.1 to 3 minutes, preferably 0.5 to 2%. The target pattern is formed on the substrate by developing by a conventional method such as a dip method, a paddle method, or a spray method for a minute.

なお、本発明のレジスト材料は、特に高エネルギー線の中でも250〜180nmの遠紫外線又はエキシマレーザー、X線及び電子線による微細パターニングに最適である。   The resist material of the present invention is particularly suitable for fine patterning using deep ultraviolet rays of 250 to 180 nm or excimer laser, X-rays and electron beams among high energy rays.

以下、実施例及び比較例を示して本発明を具体的に説明するが、本発明はこれらの記載によって限定されるものではない。   EXAMPLES Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited by these description.

(高分子化合物の調製)
レジスト材料に添加される高分子化合物(高分子添加剤)として、各々のモノマーを組み合わせてイソプロピルアルコール溶媒下で共重合反応を行い、ヘキサンに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して以下に示す組成の高分子化合物を得た。得られた高分子化合物の組成は1H−NMR、分子量および分散度はゲルパーミエーションクロマトグラフにより確認した。
(Preparation of polymer compound)
As a polymer compound (polymer additive) added to the resist material, each monomer is combined and subjected to a copolymerization reaction in an isopropyl alcohol solvent, crystallized in hexane, and further washed repeatedly with hexane, and then isolated. The polymer compound having the composition shown below was obtained by drying. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

ポリマー1(polymer 1)
分子量(Mw)=7,300
分散度(Mw/Mn)=1.67

Figure 2007297590
Polymer 1
Molecular weight (Mw) = 7,300
Dispersity (Mw / Mn) = 1.67
Figure 2007297590

ポリマー2(polymer 2)
分子量(Mw)=7,600
分散度(Mw/Mn)=1.62

Figure 2007297590
Polymer 2
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.62
Figure 2007297590

ポリマー3(polymer 3)
分子量(Mw)=9,300
分散度(Mw/Mn)=1.93

Figure 2007297590
Polymer 3
Molecular weight (Mw) = 9,300
Dispersity (Mw / Mn) = 1.93
Figure 2007297590

ポリマー4(polymer 4)
分子量(Mw)=8,000
分散度(Mw/Mn)=1.80

Figure 2007297590
Polymer 4
Molecular weight (Mw) = 8,000
Dispersity (Mw / Mn) = 1.80
Figure 2007297590

ポリマー5(polymer 5)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.75

Figure 2007297590
Polymer 5
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.75
Figure 2007297590

ポリマー6(polymer 6)
分子量(Mw)=8,500
分散度(Mw/Mn)=1.64

Figure 2007297590
Polymer 6
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.64
Figure 2007297590

ポリマー7(polymer 7)
分子量(Mw)=7,700
分散度(Mw/Mn)=1.74

Figure 2007297590
Polymer 7
Molecular weight (Mw) = 7,700
Dispersity (Mw / Mn) = 1.74
Figure 2007297590

ポリマー8(polymer 8)
分子量(Mw)=7,100
分散度(Mw/Mn)=1.82

Figure 2007297590
Polymer 8
Molecular weight (Mw) = 7,100
Dispersity (Mw / Mn) = 1.82
Figure 2007297590

ポリマー9(polymer 9)
分子量(Mw)=7,600
分散度(Mw/Mn)=1.79

Figure 2007297590
Polymer 9
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.79
Figure 2007297590

ポリマー10(polymer 10)
分子量(Mw)=8,500
分散度(Mw/Mn)=1.72

Figure 2007297590
Polymer 10
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.72
Figure 2007297590

ポリマー11(polymer 11)
分子量(Mw)=7,600
分散度(Mw/Mn)=1.79

Figure 2007297590
Polymer 11
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.79
Figure 2007297590

ポリマー12(polymer 12)
分子量(Mw)=7,500
分散度(Mw/Mn)=1.82

Figure 2007297590
Polymer 12
Molecular weight (Mw) = 7,500
Dispersity (Mw / Mn) = 1.82
Figure 2007297590

ポリマー13(polymer 13)
分子量(Mw)=7,600
分散度(Mw/Mn)=1.87

Figure 2007297590
Polymer 13
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.87
Figure 2007297590

ポリマー14(polymer 14)
分子量(Mw)=7,500
分散度(Mw/Mn)=1.82

Figure 2007297590
Polymer 14
Molecular weight (Mw) = 7,500
Dispersity (Mw / Mn) = 1.82
Figure 2007297590

ポリマー15(polymer 15)
分子量(Mw)=7,000
分散度(Mw/Mn)=1.85

Figure 2007297590
Polymer 15
Molecular weight (Mw) = 7,000
Dispersity (Mw / Mn) = 1.85
Figure 2007297590

(レジスト材料の調製)
下記に示した組成で、ベース樹脂、光酸発生剤、塩基性化合物、及び有機溶剤を混合、溶解後にそれらをテフロン(登録商標)製フィルター(孔径0.2μm)で濾過し、レジスト材料(レジスト1、レジスト2)を調製した。
(Preparation of resist material)
In the composition shown below, the base resin, photoacid generator, basic compound, and organic solvent were mixed and dissolved, and then filtered through a Teflon (registered trademark) filter (pore size 0.2 μm) to obtain a resist material (resist 1. Resist 2) was prepared.

レジスト1
混合組成:ベース樹脂1(100質量部)、光酸発生剤(5質量部)、塩基性化合物(1質量部)、有機溶剤(1800質量部)
Resist 1
Mixed composition: base resin 1 (100 parts by mass), photoacid generator (5 parts by mass), basic compound (1 part by mass), organic solvent (1800 parts by mass)

ベース樹脂1(下記構造式参照)

Figure 2007297590
分子量(Mw)=7,600
分散度(Mw/Mn)=1.76
光酸発生剤(PAG):ノナフルオロブタンスルホン酸トリフェニルスルホニウム
塩基性化合物(クエンチャー):2−(2−メトキシエトキシメトキシ)エチルモルホリン
有機溶剤:酢酸1−メトキシイソプロピル Base resin 1 (see the structural formula below)
Figure 2007297590
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.76
Photoacid generator (PAG): Nonafluorobutanesulfonic acid triphenylsulfonium basic compound (quencher): 2- (2-methoxyethoxymethoxy) ethylmorpholine Organic solvent: 1-methoxyisopropyl acetate

レジスト2
混合組成:ベース樹脂2(100質量部)、光酸発生剤(7質量部)、塩基性化合物(0.8質量部)、有機溶剤1(1330質量部)、有機溶剤2(570質量部)
Resist 2
Mixed composition: base resin 2 (100 parts by mass), photoacid generator (7 parts by mass), basic compound (0.8 parts by mass), organic solvent 1 (1330 parts by mass), organic solvent 2 (570 parts by mass)

ベース樹脂2(下記構造式参照)

Figure 2007297590
分子量(Mw)=5,700
分散度(Mw/Mn)=1.69
光酸発生剤(PAG):1,1,3,3,3−ペンタフルオロ−2−ベンゾイルオキシプロパンスルホン酸4−t−ブトキシフェニルジフェニルスルホニウム
塩基性化合物(クエンチャー):2−シクロヘキシルカルボキシエチルモルホリン
有機溶剤1:酢酸1−メトキシイソプロピル
有機溶剤2:シクロヘキサノン Base resin 2 (see the structural formula below)
Figure 2007297590
Molecular weight (Mw) = 5,700
Dispersity (Mw / Mn) = 1.69
Photoacid generator (PAG): 1,1,3,3,3-pentafluoro-2-benzoyloxypropanesulfonic acid 4-t-butoxyphenyldiphenylsulfonium basic compound (quencher): 2-cyclohexylcarboxyethylmorpholine Organic solvent 1: 1-methoxyisopropyl acetate Organic solvent 2: Cyclohexanone

これら母材となるレジスト材料に前記調製した高分子化合物(ポリマー1〜15)を任意の比率で配合し、レジスト材料の溶液(実施例1〜17、比較例1〜3)を調製した。下記表1に高分子化合物と母材レジスト材料の組み合わせ、および配合比率を示す。なお、高分子化合物の配合比率はレジストのベース樹脂100質量部に対する混合質量部で表記する。   The prepared polymer compounds (Polymers 1 to 15) were blended in an arbitrary ratio to the resist material to be the base material, and resist solution (Examples 1 to 17 and Comparative Examples 1 to 3) were prepared. Table 1 below shows combinations and blending ratios of the polymer compound and the base material resist material. The blending ratio of the polymer compound is expressed as a mixed mass part with respect to 100 parts by mass of the resist base resin.

Figure 2007297590
Figure 2007297590

(後退接触角、転落角の測定)
上記で調製したレジスト溶液(実施例1〜17、比較例1〜3)を各々スピンコート法によってシリコン基板上に塗布し、120℃で60秒間ベークし、厚さ200nmのフォトレジスト膜を作成した。
(Measurement of receding contact angle and sliding angle)
The resist solutions prepared above (Examples 1 to 17 and Comparative Examples 1 to 3) were each applied onto a silicon substrate by spin coating, and baked at 120 ° C. for 60 seconds to form a 200 nm thick photoresist film. .

傾斜法接触角計DropMaster500(協和界面科学社製)を用いて、上記方法で作成したフォトレジスト膜を形成したウエーハを水平に保ち、フォトレジスト膜上に50μLの超純水を滴下して水玉を形成した。次にこのウエーハを徐々に傾斜させ、水玉が転落し始めるウエーハの角度(転落角)と後退接触角を測定した。結果を下記表2に示す。   Using the tilt method contact angle meter DropMaster500 (manufactured by Kyowa Interface Science Co., Ltd.), keep the wafer on which the photoresist film created by the above method is formed horizontally, and drop 50μL of ultrapure water onto the photoresist film. Formed. Next, this wafer was gradually tilted, and the wafer angle (falling angle) and receding contact angle at which the polka dots began to fall were measured. The results are shown in Table 2 below.

Figure 2007297590
Figure 2007297590

転落角が小さいことは、レジスト膜上で水が流動し易いことを示し、後退接触角が大きいことは高速の走査露光においてもレジスト膜上に液滴が残りづらいことを示す。本発明による高分子化合物を配合したレジスト溶液から形成されたフォトレジスト膜(実施例1〜17)は、該高分子化合物を配合しないフォトレジスト膜(比較例1〜3)と比較して後退接触角が大きく、転落角は小さい。この結果から、本発明による高分子化合物を配合することで、フォトレジスト膜の後退接触角を飛躍的に向上させることができ、かつ、転落角は悪化させないことが確認できた。   A small falling angle indicates that water easily flows on the resist film, and a large receding contact angle indicates that it is difficult for droplets to remain on the resist film even in high-speed scanning exposure. The photoresist films (Examples 1 to 17) formed from the resist solution blended with the polymer compound according to the present invention are back contacted as compared with the photoresist films (Comparative Examples 1 to 3) not blended with the polymer compound. The angle is large and the falling angle is small. From this result, it was confirmed that the receding contact angle of the photoresist film can be remarkably improved and the falling angle is not deteriorated by blending the polymer compound according to the present invention.

(レジスト組成物溶出量の測定)
上記で調製したレジスト溶液(実施例2、5、比較例1、2)を各々スピンコート法によってシリコン基板上に塗布し、120℃で60秒間ベークし、厚さ200nmのフォトレジスト膜を作成した。このフォトレジスト膜全面に、ニコン製ArFスキャナーS305Bを用いてオープンフレームにて50mJ/cmのエネルギーを照射した。
(Measurement of resist composition elution amount)
The resist solutions prepared in the above (Examples 2, 5 and Comparative Examples 1 and 2) were each applied onto a silicon substrate by spin coating, and baked at 120 ° C. for 60 seconds to form a 200 nm thick photoresist film. . The entire surface of the photoresist film was irradiated with energy of 50 mJ / cm 2 with an open frame using a Nikon ArF scanner S305B.

次いでこの照射されたフォトレジスト膜上に内径10cmの真円状のテフロン(登録商標)リングを置き、その中に10mLの純水を注意深く注いで、室温にて60秒間レジスト膜と純水を接触させた。
その後、純水を回収し、純水中の光酸発生剤(PAG)の陰イオン成分濃度をAgilent社製LC-MS分析装置にて定量した。
測定した陰イオン濃度から、60秒間のフォトレジスト膜単位面積当たりの陰イオン溶出量を算出した結果を下記表3に示す。
Next, a 10-cm inner diameter Teflon (registered trademark) ring is placed on the irradiated photoresist film, 10 mL of pure water is carefully poured therein, and the resist film and pure water are contacted at room temperature for 60 seconds. I let you.
Then, pure water was collect | recovered and the anion component density | concentration of the photo-acid generator (PAG) in pure water was quantified with the LC-MS analyzer by Agilent.
The results of calculating the amount of anion elution per unit area of the photoresist film for 60 seconds from the measured anion concentration are shown in Table 3 below.

Figure 2007297590
Figure 2007297590

上記表3から明らかなように、本発明による高分子化合物を配合したレジスト溶液から形成されたフォトレジスト膜(実施例2、5)では、光酸発生剤成分のフォトレジスト膜から水への溶出を抑制する効果が認められた。   As is apparent from Table 3 above, in the photoresist films (Examples 2 and 5) formed from the resist solution containing the polymer compound according to the present invention, the photoacid generator component was eluted from the photoresist film into water. The effect which suppresses was recognized.

(高分子化合物の膜内分布の測定)
本発明による高分子化合物のレジスト膜内での分布を調べるため、以下の材料から成る樹脂溶液を調製した。
母材レジスト材料:ベース樹脂2 100質量部
高分子化合物:ポリマー2 6.3質量部
有機溶剤:酢酸1−メトキシイソプロピル 1800質量部
(Measurement of intramolecular distribution of polymer compounds)
In order to investigate the distribution of the polymer compound according to the present invention in the resist film, a resin solution comprising the following materials was prepared.
Base material resist material: 100 parts by mass of base resin 2 Polymer compound: 6.3 parts by mass of polymer 2 Organic solvent: 1800 parts by mass of 1-methoxyisopropyl acetate

上記で調製した樹脂溶液をスピンコート法によってシリコン基板上に塗布し、120℃で60秒間ベークし、厚さ230nmのレジスト膜を作成した。   The resin solution prepared above was applied on a silicon substrate by spin coating, and baked at 120 ° C. for 60 seconds to form a resist film having a thickness of 230 nm.

次いで、この塗布膜をSAICAS(Surface And Interfacial Cutting Analysis System、ダイプラウィンテクス社製)によって長さ200μmにわたって斜め切削した。斜め切削により露出させたレジスト膜内部を、TOF-SIMS(飛行時間型質量分析計、ULVACPHI社製)によりイオンビーム径10μm、測定間隔20μmでライン状に走査し、各測定地点で負イオン質量スペクトルを測定し、各スペクトルから種々のピークのカウント値を読み取り、プロファイルを作成した。その結果を図1に示す。
図1の横軸は測定位置を示す。シリコン基板が剥き出しになった部分が測定位置0μm付近、塗布膜最表層が測定位置200μmである。
Next, this coating film was obliquely cut over a length of 200 μm by SAICAS (Surface And Interfacial Cutting Analysis System, manufactured by Daipura Wintex). The resist film exposed by oblique cutting is scanned in a line shape with TOF-SIMS (time-of-flight mass spectrometer, ULVACPHI) with an ion beam diameter of 10 μm and a measurement interval of 20 μm. Negative ion mass spectra at each measurement point Were measured, the count values of various peaks were read from each spectrum, and a profile was created. The result is shown in FIG.
The horizontal axis in FIG. 1 indicates the measurement position. The exposed portion of the silicon substrate is near the measurement position 0 μm, and the outermost layer of the coating film is the measurement position 200 μm.

フッ素イオンのプロファイルを見ると、塗布膜の最表層から40nmまでの深さで強度が強く、表層部に選択的にフッ素が存在していることがわかった。
塗布した樹脂溶液を構成する材料の中で、フッ素を含むものは高分子化合物であるポリマー2のみであることから、この高分子化合物がフッ素系界面活性剤のように、スピンコートによって製膜後に表面に局在していることが明らかとなった。
このことから、本発明の高分子化合物がレジスト膜に水に対する良好なバリアー性能等を付与していると考えられる。
Looking at the profile of fluorine ions, it was found that the strength was strong at a depth of 40 nm from the outermost layer of the coating film, and fluorine was selectively present in the surface layer portion.
Of the materials constituting the applied resin solution, the only polymer containing fluorine is polymer 2, which is a polymer compound. It became clear that it was localized on the surface.
From this, it is considered that the polymer compound of the present invention imparts good barrier performance against water to the resist film.

尚、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

例えば、上記では本発明のレジスト材料を液浸リソグラフィーで用いる場合を中心に述べたが、液浸でない通常のリソグラフィーにおいても本発明のレジスト材料を当然用いることができる。   For example, in the above description, the case where the resist material of the present invention is used in immersion lithography has been mainly described. However, the resist material of the present invention can naturally be used in normal lithography that is not immersion.

本発明のレジスト材料を用いて形成した塗布膜における負イオンの膜深さ方向のプロファイルである。It is the profile of the film depth direction of the negative ion in the coating film formed using the resist material of this invention.

Claims (11)

少なくとも、下記一般式(1)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料。
Figure 2007297590
(式中、R1、Rは独立して水素原子、炭素数1〜20の直鎖状、分岐状、又は環状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜20の二価の有機基を表す。R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−1、b−1、b−2、b−3は、0<(a−1)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−1)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。)
A resist material comprising at least a polymer compound having a repeating unit represented by the following general formula (1).
Figure 2007297590
(In the formula, R 1 and R 2 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and R 1 and R 2 are bonded to form a ring. In this case, the total carbon number of R 1 and R 2 represents a divalent organic group having 2 to 20. R 3 , R 4 , R 11 and R 14 are independently a hydrogen atom or a methyl group. , R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, part or all of the hydrogen atoms may be substituted with fluorine atoms, and may contain an iminosulfonyl group X 1 , X 2 , X 3 , and X 4 are independently —C (═O) —, —C (═O) —O—, —C (═O) —O—R 6 —, —O—. , —C (═O) —R 6 —C (═O) —, —C (═O) —O—R 6 —C (═O) —O—, wherein R 6 has 1 carbon atom. -10 linear,岐状, or cyclic alkylene group .R 12 may be substituted by fluorine alkylene group or alkanetriyl groups having a cyclic structure having 4 to 12 carbon atoms, R 13 is a hydrogen atom, C 1 -C 10 linear, branched alkyl groups may be substituted by fluorine, attached R 12 and R 13 is may form a ring of 3 to 12 carbon atoms .R 15 Is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, n1 is 1 or 2. a-1, b-1, b-2 , B-3 are 0 <(a-1) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ (b-3) <1, 0 <(a -1) + (b-1) + (b-2) + (b-3) ≦ 1.
少なくとも、下記一般式(2)で示される繰り返し単位を有する高分子化合物を含むものであることを特徴とするレジスト材料。
Figure 2007297590
(式中、R、R、R11、R14は独立して水素原子又はメチル基、Rは炭素数1〜6の直鎖状、分岐状、又は環状のアルキレン基で、1つ以上の水素原子がフッ素原子で置換されていてもよい。Rは炭素数1〜14の直鎖状、分岐状、又は環状のアルキル基であり、一部またはすべての水素原子がフッ素原子で置換されていてもよく、イミノスルホニル基を含んでもよい。Rは少なくとも1つ以上の水素原子がフッ素原子で置換された炭素数1〜10の直鎖状又は分岐状のアルキル基で、RとRが結合して環を形成していても良く、その場合RとRとの炭素数の総和が2〜12の三価の有機基を表す。X、X、X、Xは独立して−C(=O)−、−C(=O)−O−、−C(=O)−O−R−、−O−、−C(=O)−R−C(=O)−、−C(=O)−O−R−C(=O)−O−のいずれかである。Rは炭素数1〜10の直鎖状、分岐状、又は環状のアルキレン基である。R12は炭素数4〜12の環状構造を有するアルキレン基またはアルカントリイル基でフッ素で置換されていても良く、R13は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良く、R12とR13が結合して炭素数3〜12の環を形成していても良い。R15は水素原子、炭素数1〜10の直鎖状、分岐状のアルキル基であり、フッ素で置換されていても良い。n1は1又は2である。a−2、b−1、b−2、b−3は、0<(a−2)≦1、0≦(b−1)<1、0≦(b−2)<1、0≦(b−3)<1、0<(a−2)+(b−1)+(b−2)+(b−3)≦1の範囲を満たす。)
A resist material comprising at least a polymer compound having a repeating unit represented by the following general formula (2).
Figure 2007297590
(In the formula, R 4 , R 7 , R 11 and R 14 are independently a hydrogen atom or a methyl group, R 8 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, one The above hydrogen atoms may be substituted with fluorine atoms, R 5 is a linear, branched or cyclic alkyl group having 1 to 14 carbon atoms, and some or all of the hydrogen atoms are fluorine atoms. R 9 is a linear or branched alkyl group having 1 to 10 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom, and may include an iminosulfonyl group. 8 and R 9 may be bonded to form a ring, and in this case, the total number of carbon atoms of R 8 and R 9 represents a trivalent organic group having 2 to 12. X 1 , X 2 , X 3, X 4 are independently -C (= O) -, - C (= O) -O -, - C (= O) -O-R 6 , -O -, - C (= O) -R 6 -C (= O) -, - C (= O) -O-R 6 -C (= O) is either -O- .R 6 Is a linear, branched, or cyclic alkylene group having 1 to 10 carbon atoms, R 12 may be substituted with an alkylene group or alkanetriyl group having a cyclic structure having 4 to 12 carbon atoms by fluorine. R 13 is a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and R 12 and R 13 are bonded to each other to have 3 to 12 carbon atoms. R 15 may be a hydrogen atom, a linear or branched alkyl group having 1 to 10 carbon atoms, and may be substituted with fluorine, and n1 is 1 or 2. a-2, b-1, b-2, b-3 are 0 <(a-2) ≦ 1, 0 ≦ (b-1) <1, 0 ≦ (b-2) <1, 0 ≦ b-3) satisfies the <1,0 <(a-2) + (b-1) + (b-2) + (b-3) ≦ 1 range.)
上記高分子化合物が炭素数5以上のアルキル基を有さないことを特徴とする請求項1または請求項2に記載のレジスト材料。   The resist material according to claim 1, wherein the polymer compound does not have an alkyl group having 5 or more carbon atoms. 前記レジスト材料が、化学増幅ポジ型レジスト材料であることを特徴とする請求項1乃至請求項3のいずれか1項に記載のレジスト材料。   The resist material according to any one of claims 1 to 3, wherein the resist material is a chemically amplified positive resist material. 前記レジスト材料が、少なくとも酸不安定基を有する繰り返し単位、およびヒドロキシ基および/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることを特徴とする請求項4に記載のレジスト材料。   The resist according to claim 4, wherein the resist material contains a base resin containing at least a repeating unit having an acid labile group and a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring. material. 前記レジスト材料が、さらに、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1乃至請求項5のいずれか1項に記載のレジスト材料。   6. The resist material according to any one of claims 1 to 5, wherein the resist material further contains one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant. The resist material described in 1. 少なくとも、請求項1乃至請求項6のいずれか1項に記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   At least a step of applying the resist material according to any one of claims 1 to 6 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer; A pattern forming method comprising: 前記高エネルギー線を、波長180nm〜250nmの範囲のものとすることを特徴とする請求項7に記載のパターン形成方法。   The pattern forming method according to claim 7, wherein the high energy ray has a wavelength in a range of 180 nm to 250 nm. 前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことを特徴とする請求項7に記載のパターン形成方法。   The pattern forming method according to claim 7, wherein the step of exposing with the high energy beam is performed by liquid immersion exposure using a liquid. 前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項9に記載のパターン形成方法。   In the immersion exposure, an exposure wavelength in a range of 180 to 250 nm is used, a liquid is inserted between the substrate coated with the resist material and a projection lens, and the substrate is exposed through the liquid. The pattern forming method according to claim 9. 前記液体として水を用いることを特徴とする請求項9または請求項10に記載のパターン形成方法。   The pattern forming method according to claim 9, wherein water is used as the liquid.
JP2007005848A 2006-04-04 2007-01-15 Resist material and pattern forming method using the same Active JP4842844B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007005848A JP4842844B2 (en) 2006-04-04 2007-01-15 Resist material and pattern forming method using the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006103016 2006-04-04
JP2006103016 2006-04-04
JP2007005848A JP4842844B2 (en) 2006-04-04 2007-01-15 Resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2007297590A true JP2007297590A (en) 2007-11-15
JP4842844B2 JP4842844B2 (en) 2011-12-21

Family

ID=38767351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007005848A Active JP4842844B2 (en) 2006-04-04 2007-01-15 Resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP4842844B2 (en)

Cited By (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008122932A (en) * 2006-10-17 2008-05-29 Shin Etsu Chem Co Ltd Resist material and patterning process using the same
JP2008134607A (en) * 2006-10-31 2008-06-12 Tokyo Ohka Kogyo Co Ltd Positive resist composition and method for formation of resist pattern
JP2009008824A (en) * 2007-06-27 2009-01-15 Fujifilm Corp Resist composition and pattern forming method using it
EP2033966A2 (en) 2007-09-05 2009-03-11 Shin-Etsu Chemical Co., Ltd. Movel photoacid generators, resist compositons, and patterning processes
EP2081085A1 (en) 2008-01-18 2009-07-22 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
EP2081084A1 (en) 2008-01-18 2009-07-22 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
EP2090931A1 (en) 2008-02-13 2009-08-19 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
JP2009192784A (en) * 2008-02-14 2009-08-27 Shin Etsu Chem Co Ltd Resist material, resist protective film material and pattern forming method
EP2101217A1 (en) 2008-03-14 2009-09-16 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
EP2105794A1 (en) 2008-03-25 2009-09-30 Shin-Etsu Chemical Co., Ltd. Novel photoacid generator, resist composition, and patterning process
JP2009244399A (en) * 2008-03-28 2009-10-22 Fujifilm Corp Positive photosensitive composition and pattern formation method using the same
EP2112554A2 (en) 2008-04-24 2009-10-28 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, and patterning process
EP2144116A1 (en) 2008-07-11 2010-01-13 Shinetsu Chemical Co., Ltd. Chemically-amplified positive resist composition and patterning process thereof
EP2146247A1 (en) 2008-07-11 2010-01-20 Shin-Etsu Chemical Co., Ltd. Resist patterning process and manufacturing photo mask
JP2010039476A (en) * 2008-07-09 2010-02-18 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2010176036A (en) * 2009-01-30 2010-08-12 Jsr Corp Radiation-sensitive resin composition and resist pattern forming method
JP2010197850A (en) * 2009-02-26 2010-09-09 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and method for forming pattern using the composition
JP2010197849A (en) * 2009-02-26 2010-09-09 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and method for forming pattern using the composition
US7879530B2 (en) 2007-09-28 2011-02-01 Shin-Etsu Chemical Co., Ltd. Antireflective coating composition, antireflective coating, and patterning process
US8057985B2 (en) 2008-08-28 2011-11-15 Shin-Etsu Chemical Co., Ltd. Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US8105748B2 (en) 2008-10-17 2012-01-31 Shin-Etsu Chemical Co., Ltd. Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US8114571B2 (en) 2008-05-01 2012-02-14 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
JP2012032544A (en) * 2010-07-29 2012-02-16 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, and resist film and pattern forming method using the same
US8173354B2 (en) 2009-07-08 2012-05-08 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
EP2455811A1 (en) 2010-11-19 2012-05-23 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, patterning process, and sulfonium salt monomer and making method
WO2012133595A1 (en) * 2011-03-31 2012-10-04 Jsr株式会社 Resist pattern formation method, radiation-sensitive resin composition, and resist film
US8283104B2 (en) 2009-02-19 2012-10-09 Shin-Etsu Chemical Co., Ltd. Sulfonate and its derivative, photosensitive acid generator, and resist composition and patterning process using the same
US8313890B2 (en) 2008-12-12 2012-11-20 Shin-Etsu Chemical Co., Ltd. Antireflective coating composition, antireflective coating, and patterning process
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
US8329384B2 (en) 2009-05-25 2012-12-11 Shin-Etsu Chemical Co., Ltd. Resist-modifying composition and pattern forming process
US8367310B2 (en) 2009-02-18 2013-02-05 Shin-Etsu Chemical Co., Ltd. Pattern forming process and resist-modifying composition
US8394570B2 (en) 2008-12-04 2013-03-12 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, acid generator, resist composition, photomask blank, and patterning process
US20130065183A1 (en) * 2011-09-09 2013-03-14 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8426110B2 (en) 2011-01-31 2013-04-23 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition, patterning process, and acid-decomposable keto ester compound
US8426115B2 (en) 2009-08-04 2013-04-23 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8426105B2 (en) 2009-05-25 2013-04-23 Shin-Etsu Chemical Co., Ltd. Resist-modifying composition and pattern forming process
US8440386B2 (en) 2010-03-24 2013-05-14 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, and acetal compound
US8492078B2 (en) 2010-01-20 2013-07-23 Shin-Etsu Chemical Co., Ltd. Patterning process
US8507175B2 (en) 2009-10-16 2013-08-13 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8519073B2 (en) 2006-10-31 2013-08-27 Tokyo Ohka Kogyo Co., Ltd. Compound and polymeric compound
US8535869B2 (en) 2010-08-23 2013-09-17 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US8574816B2 (en) 2011-09-02 2013-11-05 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
JP2013228750A (en) * 2006-10-30 2013-11-07 Rohm & Haas Electronic Materials Llc Composition and method for immersion lithography
US8597869B2 (en) 2010-10-25 2013-12-03 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US8609889B2 (en) 2009-07-02 2013-12-17 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
US8623590B2 (en) 2010-11-02 2014-01-07 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US8632939B2 (en) 2010-02-26 2014-01-21 Shin-Etsu Chemical Co., Ltd. Polymer, chemically amplified positive resist composition and pattern forming process
US8652756B2 (en) 2011-09-06 2014-02-18 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8658346B2 (en) 2009-08-05 2014-02-25 Shin-Etsu Chemical Co., Ltd. Pattern forming process, chemically amplified positive resist composition, and resist-modifying composition
US8691494B2 (en) 2011-04-28 2014-04-08 Shin-Etsu Chemical Co., Ltd. Patterning process
US8691490B2 (en) 2010-02-02 2014-04-08 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, method for producing the polymer, resist composition and patterning process
US8703404B2 (en) 2011-02-09 2014-04-22 Shin-Etsu Chemical Co., Ltd. Patterning process
US8703408B2 (en) 2010-07-06 2014-04-22 Shin-Etsu Chemical Co., Ltd. Patterning process
US8703384B2 (en) 2010-11-25 2014-04-22 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US8722321B2 (en) 2011-04-22 2014-05-13 Shin-Etsu Chemical Co., Ltd. Patterning process
US8735046B2 (en) 2010-11-29 2014-05-27 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8741554B2 (en) 2009-05-26 2014-06-03 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8753805B2 (en) 2011-06-22 2014-06-17 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8778592B2 (en) 2011-02-25 2014-07-15 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8790866B2 (en) 2011-08-26 2014-07-29 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8791290B2 (en) 2010-03-24 2014-07-29 Shin-Etsu Chemical Co., Ltd. Acetal compound, polymer, resist composition, and patterning process
US8808964B2 (en) 2010-08-26 2014-08-19 Shin-Etsu Chemical Co., Ltd. Nitrogen-containing organic compound, chemically amplified positive resist composition, and patterning process
US8822136B2 (en) 2011-10-27 2014-09-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8828647B2 (en) 2011-05-30 2014-09-09 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8865390B2 (en) 2011-09-16 2014-10-21 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8877424B2 (en) 2012-02-10 2014-11-04 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US8895231B2 (en) 2010-09-03 2014-11-25 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8900793B2 (en) 2011-05-30 2014-12-02 Shin-Etsu Chemical Co., Ltd. Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition
US8932803B2 (en) 2013-01-16 2015-01-13 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US8951917B2 (en) 2011-06-28 2015-02-10 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process using the same
US8993222B2 (en) 2013-02-14 2015-03-31 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US8999630B2 (en) 2011-07-14 2015-04-07 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9017931B2 (en) 2012-08-20 2015-04-28 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9017918B2 (en) 2010-06-01 2015-04-28 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, chemically amplified positive resist composition, and patterning process
US9029064B2 (en) 2011-01-14 2015-05-12 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9052603B2 (en) 2013-02-18 2015-06-09 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9069245B2 (en) 2010-04-22 2015-06-30 Shin-Etsu Chemical Co., Ltd. Near-infrared absorptive layer-forming composition and multilayer film
US9069247B2 (en) 2012-02-14 2015-06-30 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist lower layer film-forming composition containing the same, and patterning process
US9075309B2 (en) 2012-02-14 2015-07-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist underlayer film composition containing this, and patterning process
US9081290B2 (en) 2012-06-19 2015-07-14 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9086624B2 (en) 2012-08-10 2015-07-21 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US9091933B2 (en) 2011-11-17 2015-07-28 Shin-Etsu Chemical Co., Ltd. Negative pattern forming process
US9122147B2 (en) 2013-02-15 2015-09-01 Shin-Estu Chemical Co., Ltd. Pattern forming process
US9122152B2 (en) 2012-07-09 2015-09-01 Shin-Etsu Chemicals Co., Ltd. Patterning process and resist composition
US9176382B2 (en) 2013-03-15 2015-11-03 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
US9182668B2 (en) 2013-04-10 2015-11-10 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
US9188866B2 (en) 2013-03-15 2015-11-17 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
US9201304B2 (en) 2013-02-18 2015-12-01 Shin-Etsu Chemical Co., Ltd. Pattern forming process
EP2950143A1 (en) 2014-05-28 2015-12-02 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US9207534B2 (en) 2011-01-14 2015-12-08 Shin-Etsu Chemical Co., Ltd. Nitrogen-containing monomer, polymer, resist composition, and patterning process
US9213235B2 (en) 2013-01-17 2015-12-15 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
US9256127B2 (en) 2014-05-09 2016-02-09 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP2993520A1 (en) 2014-09-04 2016-03-09 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US9316909B2 (en) 2010-07-08 2016-04-19 Shin-Etsu Chemical Co., Ltd. Patterning process
US9315670B2 (en) 2013-02-15 2016-04-19 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US9360753B2 (en) 2011-07-25 2016-06-07 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
EP3032332A2 (en) 2014-12-08 2016-06-15 Shin-Etsu Chemical Co., Ltd. Shrink material and pattern forming process
EP3032333A2 (en) 2014-12-08 2016-06-15 Shin-Etsu Chemical Co., Ltd. Shrink material and pattern forming process
EP3035121A2 (en) 2014-12-18 2016-06-22 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
US9429846B2 (en) 2013-09-26 2016-08-30 Shin-Etsu Chemical Co., Ltd. Pattern forming process and shrink agent
US9519213B2 (en) 2013-03-05 2016-12-13 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9551932B2 (en) 2013-01-28 2017-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
EP3168207A1 (en) 2015-11-10 2017-05-17 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US9709890B2 (en) 2014-09-18 2017-07-18 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
EP3205640A1 (en) 2016-02-10 2017-08-16 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
KR20190075837A (en) 2017-12-21 2019-07-01 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
EP3572876A1 (en) 2018-05-25 2019-11-27 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming process
US10754248B2 (en) 2017-03-22 2020-08-25 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
CN112782934A (en) * 2019-11-07 2021-05-11 信越化学工业株式会社 Resist composition and pattern forming method
KR20210133159A (en) 2020-04-28 2021-11-05 신에쓰 가가꾸 고교 가부시끼가이샤 Iodized aromatic carboxylic acid type pendant-containing polymer, resist composition and patterning process
KR20210133876A (en) 2020-04-28 2021-11-08 신에쓰 가가꾸 고교 가부시끼가이샤 Fluorocarboxylic acid-containing monomer, fluorocarboxylic acid-containing polymer, resist composition and patterning process
KR20220010442A (en) 2020-07-17 2022-01-25 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition and patterning process
KR20220010443A (en) 2020-07-17 2022-01-25 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition and patterning process
EP4276533A1 (en) * 2022-05-10 2023-11-15 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition and resist pattern forming process
US11880136B2 (en) 2020-07-17 2024-01-23 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004271844A (en) * 2003-03-07 2004-09-30 Fuji Photo Film Co Ltd Positive resist composition
JP2005132827A (en) * 2003-10-07 2005-05-26 Shin Etsu Chem Co Ltd Polymerizable fluorine-containing ester compound and method for producing the same
WO2005108444A1 (en) * 2004-05-06 2005-11-17 Jsr Corporation Lactone copolymer and radiation-sensitive resin composition
JP2007025634A (en) * 2005-06-15 2007-02-01 Shin Etsu Chem Co Ltd Resist protective coating material and patterning process
JP2007119678A (en) * 2005-10-31 2007-05-17 Shin Etsu Chem Co Ltd Polymer for resist, resist material, and pattern formation method
JP2007187887A (en) * 2005-12-12 2007-07-26 Tokyo Ohka Kogyo Co Ltd Resist composition for liquid immersion exposure, and method for forming resist pattern
JP2007204385A (en) * 2006-01-31 2007-08-16 Shin Etsu Chem Co Ltd Method for preparing fluoroalcohol, fluorinated monomer, polymer compound, resist material, and method for forming pattern
JP2007249152A (en) * 2006-02-17 2007-09-27 Tokyo Ohka Kogyo Co Ltd Resist composition for immersion lithography and method for forming resist pattern
JP2008081716A (en) * 2006-01-31 2008-04-10 Shin Etsu Chem Co Ltd Polymer, resist protective coating material and patterning process
JP2011042789A (en) * 2010-08-17 2011-03-03 Shin-Etsu Chemical Co Ltd Fluorine-containing monomer, polymer compound, resist material and pattern forming method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004271844A (en) * 2003-03-07 2004-09-30 Fuji Photo Film Co Ltd Positive resist composition
JP2005132827A (en) * 2003-10-07 2005-05-26 Shin Etsu Chem Co Ltd Polymerizable fluorine-containing ester compound and method for producing the same
WO2005108444A1 (en) * 2004-05-06 2005-11-17 Jsr Corporation Lactone copolymer and radiation-sensitive resin composition
JP2007025634A (en) * 2005-06-15 2007-02-01 Shin Etsu Chem Co Ltd Resist protective coating material and patterning process
JP2007119678A (en) * 2005-10-31 2007-05-17 Shin Etsu Chem Co Ltd Polymer for resist, resist material, and pattern formation method
JP2007187887A (en) * 2005-12-12 2007-07-26 Tokyo Ohka Kogyo Co Ltd Resist composition for liquid immersion exposure, and method for forming resist pattern
JP2007204385A (en) * 2006-01-31 2007-08-16 Shin Etsu Chem Co Ltd Method for preparing fluoroalcohol, fluorinated monomer, polymer compound, resist material, and method for forming pattern
JP2008081716A (en) * 2006-01-31 2008-04-10 Shin Etsu Chem Co Ltd Polymer, resist protective coating material and patterning process
JP2007249152A (en) * 2006-02-17 2007-09-27 Tokyo Ohka Kogyo Co Ltd Resist composition for immersion lithography and method for forming resist pattern
JP2011042789A (en) * 2010-08-17 2011-03-03 Shin-Etsu Chemical Co Ltd Fluorine-containing monomer, polymer compound, resist material and pattern forming method

Cited By (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008122932A (en) * 2006-10-17 2008-05-29 Shin Etsu Chem Co Ltd Resist material and patterning process using the same
JP2013228750A (en) * 2006-10-30 2013-11-07 Rohm & Haas Electronic Materials Llc Composition and method for immersion lithography
US8519073B2 (en) 2006-10-31 2013-08-27 Tokyo Ohka Kogyo Co., Ltd. Compound and polymeric compound
JP2008134607A (en) * 2006-10-31 2008-06-12 Tokyo Ohka Kogyo Co Ltd Positive resist composition and method for formation of resist pattern
JP2009008824A (en) * 2007-06-27 2009-01-15 Fujifilm Corp Resist composition and pattern forming method using it
EP2033966A2 (en) 2007-09-05 2009-03-11 Shin-Etsu Chemical Co., Ltd. Movel photoacid generators, resist compositons, and patterning processes
US7670751B2 (en) 2007-09-05 2010-03-02 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
US7879530B2 (en) 2007-09-28 2011-02-01 Shin-Etsu Chemical Co., Ltd. Antireflective coating composition, antireflective coating, and patterning process
EP2081085A1 (en) 2008-01-18 2009-07-22 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
EP2081084A1 (en) 2008-01-18 2009-07-22 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
US8021822B2 (en) 2008-01-18 2011-09-20 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
US7993811B2 (en) 2008-01-18 2011-08-09 Shin-Etsu Chemical Co., Ltd. Positive resist compositions and patterning process
EP2090931A1 (en) 2008-02-13 2009-08-19 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8062828B2 (en) 2008-02-13 2011-11-22 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
KR101329954B1 (en) 2008-02-14 2013-11-14 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition, resist protective coating composition, and patterning process
JP2009192784A (en) * 2008-02-14 2009-08-27 Shin Etsu Chem Co Ltd Resist material, resist protective film material and pattern forming method
EP2101217A1 (en) 2008-03-14 2009-09-16 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist compositon, and patterning process
US8039198B2 (en) 2008-03-14 2011-10-18 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, and patterning process
EP2105794A1 (en) 2008-03-25 2009-09-30 Shin-Etsu Chemical Co., Ltd. Novel photoacid generator, resist composition, and patterning process
US8114570B2 (en) 2008-03-25 2012-02-14 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
JP2009244399A (en) * 2008-03-28 2009-10-22 Fujifilm Corp Positive photosensitive composition and pattern formation method using the same
EP2112554A2 (en) 2008-04-24 2009-10-28 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, and patterning process
US8048610B2 (en) 2008-04-24 2011-11-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, and patterning process
US8114571B2 (en) 2008-05-01 2012-02-14 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
US9046766B2 (en) 2008-07-09 2015-06-02 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using same
JP2010039476A (en) * 2008-07-09 2010-02-18 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
US8110335B2 (en) 2008-07-11 2012-02-07 Shin-Etsu Chemical Co., Ltd. Resist patterning process and manufacturing photo mask
EP2146247A1 (en) 2008-07-11 2010-01-20 Shin-Etsu Chemical Co., Ltd. Resist patterning process and manufacturing photo mask
EP2144116A1 (en) 2008-07-11 2010-01-13 Shinetsu Chemical Co., Ltd. Chemically-amplified positive resist composition and patterning process thereof
US8202677B2 (en) 2008-07-11 2012-06-19 Shin-Etsu Chemical Co., Ltd. Chemically-amplified positive resist composition and patterning process thereof
US8057985B2 (en) 2008-08-28 2011-11-15 Shin-Etsu Chemical Co., Ltd. Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US8105748B2 (en) 2008-10-17 2012-01-31 Shin-Etsu Chemical Co., Ltd. Polymerizable anion-containing sulfonium salt and polymer, resist composition, and patterning process
US8394570B2 (en) 2008-12-04 2013-03-12 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, acid generator, resist composition, photomask blank, and patterning process
US8313890B2 (en) 2008-12-12 2012-11-20 Shin-Etsu Chemical Co., Ltd. Antireflective coating composition, antireflective coating, and patterning process
JP2010176036A (en) * 2009-01-30 2010-08-12 Jsr Corp Radiation-sensitive resin composition and resist pattern forming method
US8367310B2 (en) 2009-02-18 2013-02-05 Shin-Etsu Chemical Co., Ltd. Pattern forming process and resist-modifying composition
US8283104B2 (en) 2009-02-19 2012-10-09 Shin-Etsu Chemical Co., Ltd. Sulfonate and its derivative, photosensitive acid generator, and resist composition and patterning process using the same
JP2010197850A (en) * 2009-02-26 2010-09-09 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and method for forming pattern using the composition
JP2010197849A (en) * 2009-02-26 2010-09-09 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and method for forming pattern using the composition
US8426105B2 (en) 2009-05-25 2013-04-23 Shin-Etsu Chemical Co., Ltd. Resist-modifying composition and pattern forming process
US8329384B2 (en) 2009-05-25 2012-12-11 Shin-Etsu Chemical Co., Ltd. Resist-modifying composition and pattern forming process
US8741554B2 (en) 2009-05-26 2014-06-03 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8609889B2 (en) 2009-07-02 2013-12-17 Shin-Etsu Chemical Co., Ltd. Photoacid generator, resist composition, and patterning process
US8173354B2 (en) 2009-07-08 2012-05-08 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US8741546B2 (en) 2009-08-04 2014-06-03 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8426115B2 (en) 2009-08-04 2013-04-23 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8658346B2 (en) 2009-08-05 2014-02-25 Shin-Etsu Chemical Co., Ltd. Pattern forming process, chemically amplified positive resist composition, and resist-modifying composition
US8507175B2 (en) 2009-10-16 2013-08-13 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8492078B2 (en) 2010-01-20 2013-07-23 Shin-Etsu Chemical Co., Ltd. Patterning process
US8691490B2 (en) 2010-02-02 2014-04-08 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, method for producing the polymer, resist composition and patterning process
US8632939B2 (en) 2010-02-26 2014-01-21 Shin-Etsu Chemical Co., Ltd. Polymer, chemically amplified positive resist composition and pattern forming process
US8791290B2 (en) 2010-03-24 2014-07-29 Shin-Etsu Chemical Co., Ltd. Acetal compound, polymer, resist composition, and patterning process
US8440386B2 (en) 2010-03-24 2013-05-14 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, and acetal compound
US9069245B2 (en) 2010-04-22 2015-06-30 Shin-Etsu Chemical Co., Ltd. Near-infrared absorptive layer-forming composition and multilayer film
US9017918B2 (en) 2010-06-01 2015-04-28 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, chemically amplified positive resist composition, and patterning process
US8703408B2 (en) 2010-07-06 2014-04-22 Shin-Etsu Chemical Co., Ltd. Patterning process
US9316909B2 (en) 2010-07-08 2016-04-19 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2012032544A (en) * 2010-07-29 2012-02-16 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, and resist film and pattern forming method using the same
US9568824B2 (en) 2010-07-29 2017-02-14 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition, resist film therefrom and method of forming pattern therewith
US8535869B2 (en) 2010-08-23 2013-09-17 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US8808964B2 (en) 2010-08-26 2014-08-19 Shin-Etsu Chemical Co., Ltd. Nitrogen-containing organic compound, chemically amplified positive resist composition, and patterning process
US8895231B2 (en) 2010-09-03 2014-11-25 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8597869B2 (en) 2010-10-25 2013-12-03 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US8623590B2 (en) 2010-11-02 2014-01-07 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
US8785105B2 (en) 2010-11-19 2014-07-22 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, patterning process, and sulfonium salt monomer and making method
US9233919B2 (en) 2010-11-19 2016-01-12 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, patterning process, and sulfonium salt monomer and making method
EP2455811A1 (en) 2010-11-19 2012-05-23 Shin-Etsu Chemical Co., Ltd. Sulfonium salt-containing polymer, resist composition, patterning process, and sulfonium salt monomer and making method
US8703384B2 (en) 2010-11-25 2014-04-22 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8735046B2 (en) 2010-11-29 2014-05-27 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US9029064B2 (en) 2011-01-14 2015-05-12 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9207534B2 (en) 2011-01-14 2015-12-08 Shin-Etsu Chemical Co., Ltd. Nitrogen-containing monomer, polymer, resist composition, and patterning process
US8426110B2 (en) 2011-01-31 2013-04-23 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition, patterning process, and acid-decomposable keto ester compound
US8703404B2 (en) 2011-02-09 2014-04-22 Shin-Etsu Chemical Co., Ltd. Patterning process
US8778592B2 (en) 2011-02-25 2014-07-15 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US9046765B2 (en) 2011-03-31 2015-06-02 Jsr Corporation Resist pattern-forming method, resist pattern-forming radiation-sensitive resin composition, and resist film
WO2012133595A1 (en) * 2011-03-31 2012-10-04 Jsr株式会社 Resist pattern formation method, radiation-sensitive resin composition, and resist film
JP5835319B2 (en) * 2011-03-31 2015-12-24 Jsr株式会社 Resist pattern forming method, radiation-sensitive resin composition, and resist film
US8722321B2 (en) 2011-04-22 2014-05-13 Shin-Etsu Chemical Co., Ltd. Patterning process
US8691494B2 (en) 2011-04-28 2014-04-08 Shin-Etsu Chemical Co., Ltd. Patterning process
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US8828647B2 (en) 2011-05-30 2014-09-09 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8900793B2 (en) 2011-05-30 2014-12-02 Shin-Etsu Chemical Co., Ltd. Polymer, chemically amplified resist composition, and patterning process using said chemically amplified resist composition
US8753805B2 (en) 2011-06-22 2014-06-17 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9104105B2 (en) 2011-06-22 2015-08-11 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8951917B2 (en) 2011-06-28 2015-02-10 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process using the same
US8999630B2 (en) 2011-07-14 2015-04-07 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9360753B2 (en) 2011-07-25 2016-06-07 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US8790866B2 (en) 2011-08-26 2014-07-29 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8574816B2 (en) 2011-09-02 2013-11-05 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US8652756B2 (en) 2011-09-06 2014-02-18 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US20130065183A1 (en) * 2011-09-09 2013-03-14 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8865390B2 (en) 2011-09-16 2014-10-21 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8822136B2 (en) 2011-10-27 2014-09-02 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9091933B2 (en) 2011-11-17 2015-07-28 Shin-Etsu Chemical Co., Ltd. Negative pattern forming process
US8877424B2 (en) 2012-02-10 2014-11-04 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US9069247B2 (en) 2012-02-14 2015-06-30 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist lower layer film-forming composition containing the same, and patterning process
US9075309B2 (en) 2012-02-14 2015-07-07 Shin-Etsu Chemical Co., Ltd. Silicon-containing surface modifier, resist underlayer film composition containing this, and patterning process
US9081290B2 (en) 2012-06-19 2015-07-14 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9122152B2 (en) 2012-07-09 2015-09-01 Shin-Etsu Chemicals Co., Ltd. Patterning process and resist composition
US9086624B2 (en) 2012-08-10 2015-07-21 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US9017931B2 (en) 2012-08-20 2015-04-28 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
US8932803B2 (en) 2013-01-16 2015-01-13 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9213235B2 (en) 2013-01-17 2015-12-15 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
US9551932B2 (en) 2013-01-28 2017-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8993222B2 (en) 2013-02-14 2015-03-31 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9122147B2 (en) 2013-02-15 2015-09-01 Shin-Estu Chemical Co., Ltd. Pattern forming process
US9315670B2 (en) 2013-02-15 2016-04-19 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US9201304B2 (en) 2013-02-18 2015-12-01 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9052603B2 (en) 2013-02-18 2015-06-09 Shin-Etsu Chemical Co., Ltd. Pattern forming process
US9519213B2 (en) 2013-03-05 2016-12-13 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US9188866B2 (en) 2013-03-15 2015-11-17 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
US9176382B2 (en) 2013-03-15 2015-11-03 Shin-Etsu Chemical Co., Ltd. Composition for forming titanium-containing resist underlayer film and patterning process
US9182668B2 (en) 2013-04-10 2015-11-10 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
US9429846B2 (en) 2013-09-26 2016-08-30 Shin-Etsu Chemical Co., Ltd. Pattern forming process and shrink agent
US9256127B2 (en) 2014-05-09 2016-02-09 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP2950143A1 (en) 2014-05-28 2015-12-02 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
EP2993520A1 (en) 2014-09-04 2016-03-09 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US10131730B2 (en) 2014-09-04 2018-11-20 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US9709890B2 (en) 2014-09-18 2017-07-18 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
EP3032333A2 (en) 2014-12-08 2016-06-15 Shin-Etsu Chemical Co., Ltd. Shrink material and pattern forming process
EP3032332A2 (en) 2014-12-08 2016-06-15 Shin-Etsu Chemical Co., Ltd. Shrink material and pattern forming process
EP3035121A2 (en) 2014-12-18 2016-06-22 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3168207A1 (en) 2015-11-10 2017-05-17 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3415494A1 (en) 2015-11-10 2018-12-19 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
EP3205640A1 (en) 2016-02-10 2017-08-16 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, resist composition, and patterning process
US10754248B2 (en) 2017-03-22 2020-08-25 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
KR20190075837A (en) 2017-12-21 2019-07-01 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
US10996378B2 (en) 2017-12-21 2021-05-04 Shin-Etsu Chemical Co., Ltd. Antireflective film, method of producing antireflective film, and eyeglass type display
EP3572876A1 (en) 2018-05-25 2019-11-27 Shin-Etsu Chemical Co., Ltd. Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming process
US11693314B2 (en) * 2019-11-07 2023-07-04 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20210200083A1 (en) * 2019-11-07 2021-07-01 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
CN112782934A (en) * 2019-11-07 2021-05-11 信越化学工业株式会社 Resist composition and pattern forming method
KR20210133159A (en) 2020-04-28 2021-11-05 신에쓰 가가꾸 고교 가부시끼가이샤 Iodized aromatic carboxylic acid type pendant-containing polymer, resist composition and patterning process
KR20210133876A (en) 2020-04-28 2021-11-08 신에쓰 가가꾸 고교 가부시끼가이샤 Fluorocarboxylic acid-containing monomer, fluorocarboxylic acid-containing polymer, resist composition and patterning process
US11703760B2 (en) 2020-04-28 2023-07-18 Shin-Etsu Chemical Co., Ltd. Fluorocarboxylic acid-containing monomer, fluorocarboxylic acid-containing polymer, resist composition and patterning process
US11815814B2 (en) 2020-04-28 2023-11-14 Shin-Etsu Chemical Co., Ltd. Iodized aromatic carboxylic acid type pendant-containing polymer, resist composition and patterning process
KR20220010442A (en) 2020-07-17 2022-01-25 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition and patterning process
KR20220010443A (en) 2020-07-17 2022-01-25 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition and patterning process
US11835860B2 (en) 2020-07-17 2023-12-05 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US11880136B2 (en) 2020-07-17 2024-01-23 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
EP4276533A1 (en) * 2022-05-10 2023-11-15 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition and resist pattern forming process

Also Published As

Publication number Publication date
JP4842844B2 (en) 2011-12-21

Similar Documents

Publication Publication Date Title
JP4842844B2 (en) Resist material and pattern forming method using the same
JP4849267B2 (en) Resist material and pattern forming method using the same
JP4993138B2 (en) Resist material and pattern forming method using the same
KR101162798B1 (en) Resist composition and patterning process using the same
JP4288520B2 (en) Resist material and pattern forming method using the same
JP5035560B2 (en) Resist material and pattern forming method using the same
JP4900603B2 (en) Resist material and pattern forming method using the same
JP4296447B2 (en) Positive resist material and pattern forming method
JP5105128B2 (en) Positive resist material and pattern forming method
JP4513990B2 (en) Positive resist material and pattern forming method
JP4849268B2 (en) Resist material and pattern forming method using the same
JP4314496B2 (en) Positive resist material and pattern forming method
JP4314494B2 (en) Positive resist material and pattern forming method
JP4857208B2 (en) Pattern forming method using resist material
JP2008088343A (en) Polymeric compound, resist material, and pattern forming method
JP2008033287A (en) Positive resist composition and patterning process
JP4680944B2 (en) Pattern formation method
JP4753043B2 (en) Pattern formation method
JP4840596B2 (en) Resist material and pattern forming method
JP4296448B2 (en) Positive resist material and pattern forming method
JP4844756B2 (en) Pattern formation method
JP4831360B2 (en) Positive resist composition and pattern forming method
JP4910662B2 (en) Positive resist material and pattern forming method
JP4835869B2 (en) Positive resist composition and pattern forming method
JP2008129389A (en) Positive resist material and pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110810

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110920

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111006

R150 Certificate of patent or registration of utility model

Ref document number: 4842844

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3