JP2005106837A - Photoresist system - Google Patents

Photoresist system Download PDF

Info

Publication number
JP2005106837A
JP2005106837A JP2003139625A JP2003139625A JP2005106837A JP 2005106837 A JP2005106837 A JP 2005106837A JP 2003139625 A JP2003139625 A JP 2003139625A JP 2003139625 A JP2003139625 A JP 2003139625A JP 2005106837 A JP2005106837 A JP 2005106837A
Authority
JP
Japan
Prior art keywords
layer
photoresist
composition
processing
processing composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003139625A
Other languages
Japanese (ja)
Other versions
JP2005106837A5 (en
JP4798938B2 (en
Inventor
N Tyler Gary
エヌ タイラー ゲイリー
Bai Shu Chen
バイ シュ チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Priority to JP2003139625A priority Critical patent/JP4798938B2/en
Publication of JP2005106837A publication Critical patent/JP2005106837A/en
Publication of JP2005106837A5 publication Critical patent/JP2005106837A5/ja
Application granted granted Critical
Publication of JP4798938B2 publication Critical patent/JP4798938B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a photoresist system capable of photo-imaging by using radiation at short wavelengths including exposure radiation at 248 nm or 193 nm or radiation at about 157 nm wavelength by a F<SB>2</SB>excimer laser, and having excellent adhesiveness with a substrate having silicon oxynitride (SiON) or another inorganic surface layer, and to provide a method for forming a finer pattern by using the system. <P>SOLUTION: The method for forming a photoresist relief image includes steps of: applying a coating layer of a processing composition on an inorganic surface layer; applying a coating layer composition of a photoresist on the coating layer of the above processing composition; and exposing the applied photoresist layer to radiation having a pattern and developing the exposed photoresist layer to obtain a relief image of the photoresist. The photoresist system is used in this method. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、例えば248nm、193nm、157nmと言った、300nm未満、200nm未満の短波長で画像形成させるのに好適な新規なフォトレジスト組成物システムに関するものである。本発明のフォトレジストシステムには、有機プロセシング層(processing layer)の上にコーティングしたフォトレジスト層が含まれる。このような多層複合体は、SiON及びその他の無機表面層に顕著な密着性を示す。好適なプロセシング層組成物には、ヘテロ原子を有する置換基、特に1又は複数の、N、O又はS原子を含む、例えばヒドロキシ基のような置換基を有する1種又は複数の成分が含まれている。
【0002】
【従来の技術】
フォトレジストは基板に像を転写するために用いるために使用される感光性膜である。フォトレジストのコーティング層を基板の上に形成させ、次いで、フォトマスクを介して感放射線源で露光させる。このフォトマスクには感放射線不透過の領域と感放射線透過領域とが備えられている。感放射線で露光させると、フォトレジストコーティングに光誘起された化学変換が起こり、それによって、フォトマスクのパターンがフォトレジストでコーティングした基板に転写される。露光をしてからフォトレジストを現像すると、レリーフ像が得られ、それによって基板を選択的に加工することができるようになる。
【0003】
フォトレジストは、ポジ型であってもネガ型であってもよい。ネガ型フォトレジストの場合には通常、感放射線に露光されたコーティング層部分は、フォトレジスト組成物中の光活性を有する化合物と重合性成分との間の反応によって、重合又は架橋を起こす。その結果、コーティングの露光された部分は、非露光部分よりも現像剤溶液に溶けにくくなる。ポジ型フォトレジストの場合には、非露光部分が現像液に比較的溶けにくいまま残るのに対し、露光された部分は現像剤溶液に溶けやすくなる。
【0004】
現在入手可能なフォトレジストは多くの用途に適しているとはいうものの、現行のレジストは、特に、高解像度の1/4ミクロン未満(サブ−クォーターミクロン)や1/10ミクロン未満(サブ−テンス ミクロン)のパターンを形成するような、高性能を要求される用途においては、重大な欠陥を露呈している。特に、フォトレジストのレリーフ像に好ましくないフーティング(footing)を起こしたり、現像領域にいわゆる「スカム」(除去されない残存有機物)が発生する可能性があり、これはその後のリソグラフィ加工に悪影響をおよぼす。
【0005】
従って、300nm以下、例えば248nmの、或いは、更に200nm以下、例えば193nmの露光放射線を含む短波長の放射線を用いて光画像化をすることが可能なフォトレジストへの期待が高まってきている。ごく最近のことであるが、Fエキシマーレーザーによる画像形成、即ち約157nmの波長を有する放射線を用いた画像形成が、より微細なパターンを製造するための方法として検討されるようになってきた。これについては、非特許文献1の総括的な記述を参照されたい。
【0006】
酸窒化ケイ素(SiON)層及びSiコーティングのようなその他の無機物が、半導体デバイスの製造において、例えばエッチストップ(etch stop)層や無機反射防止層として使用されるようになってきている。これについては、例えば特許文献1、特許文献2及び特許文献3を参照されたい。
【0007】
SiON及びその他の無機基板層の上で良好な解像度を与えるような、新規なフォトレジストシステムが得られれば、好ましい。
【0008】
【非特許文献1】
Kunz et al.,SPIE Proceedings(Advances in Resist Technology),vo l.3678,pages 13-23(1999)
【特許文献1】
米国特許第6,124,217号
【特許文献2】
米国特許第6,153,504号
【特許文献3】
米国特許第6,245,682号
【0009】
【発明が解決しようとする課題】
本発明は、300nm以下、例えば248nmの、或いは、200nm以下、例えば193nmの露光放射線を含む短波長の放射線や、更にはFエキシマーレーザーによる、約157nmの波長を有する放射線を用いて光画像化をすることが可能で、且つ酸窒化ケイ素(SiON)やその他の無機表面層を有する基板に対する密着性に優れたフォトレジストシステムと、これを用いた、より微細なパターン形成方法を提供することを目的とする。
【0010】
【課題を解決するための手段】
本発明は、新規なフォトレジストシステムを提供するものであり、このものは、下層にある基板、例えばSiON層や窒化ケイ素層のような無機表面層を有する基板に対する密着性を向上させることができる。
【0011】
より具体的には、本発明のフォトレジストシステムには、基板の上に塗布した有機プロセシング層(又はバリアー層)コーティングと、そのプロセシング層の上に塗布したフォトレジスト層とが含まれる。
【0012】
好ましくはこのプロセシング層には、N、O又はS原子を含む官能基を有する1種又は複数の有機成分を含むが、それらの官能基としては、例えば、アミド、エポキシ、ヒドロキシル、カルボキシル(−COOH)、エステル(例えば−C=OOR、ここでRは置換基を有していてもよい1〜18アルキル)、アルカノイル、例えばアセチルを含んだC1−18アルカノイル、アルコキシ、例えばC1−18アルコキシ、アルキルチオ例えばC1−18アルキルチオ、などが挙げられる。
【0013】
ヒドロキシル又はエポキシ構造を含むプロセシング層が特に好ましい。例えば、プロセシング層には、ヒドロキシ基又はエポキシ基を含む、ポリマー材料又は非ポリマー材料を含んでいるのがよい。より具体的には、ポリマー材料には、ヒドロキシエチルアクリレートや3−ヒドロキシプロピルアクリレートのような、ヒドロキシルアクリレートを重合させた単位を含んでいるのがよい。それとは別に、ヘテロ原子含有成分は、ヒドロキシ官能基と反応し得るヒドロキシ又はアルコキシメチルエーテルのような非ポリマー性原料や、ヒドロキシ官能基を有するステロイド性化合物であってもよい。
【0014】
ここにおいて、密着性と解像度を向上させ得る、プロセシング層のヘテロ原子含有成分(以下、密着性向上材料又は密着性向上成分と呼ぶこともある)として好適なものは、簡単な試験で容易に見分けることができる。即ち、候補となるヘテロ原子含有成分をプロセシング層組成物に添加し、フォトレジストを上にコーティングしたそのプロセシング層で(リソグラフパターニングの向上から判るように)SiON又はSi基板の面に対する密着性が向上したかどうかを、そのヘテロ原子含有原料を含まない同じプロセシング層に同じレジストをコーティングした場合の密着性と比較することで、評価することができる。一般的に言って、本発明のフォトレジストシステム(即ち、下層としてプロセシング層があり、その上にフォトレジストをコーティングしたもの)によるSiON又はその他の無機基板に対する密着性の向上は、像形成し現像した後での上層のレジスト層における解像度によって評価することができる。
【0015】
密着性向上成分若しくは材料又はヘテロ含有成分という用語、又はプロセシング層組成物についてのその他類似の用語は、本明細書においては、プロセシング層の中に取り入れて、フォトレジストシステム(即ち、フォトレジストを上に塗布したプロセシング層)の密着性を明瞭に増大させることができるような材料を意味する。密着性が明瞭に増大しているということは、対照のレジストに比較して解像度が向上していることによって示される(ここでの対照のレジストとは、同一の方法で処理したフォトレジストシステムではあるが、そのプロセシング層に密着性向上成分を含んでいないものである。)。そのような解像度の向上は、密着性向上のための候補成分を含むフォトレジストシステム(試験フォトレジストシステム)と対照のフォトレジストシステムとを、走査型電子顕微鏡(SEM)写真を肉眼でみて比較することにより、調べることができる。このようにすれば、所定のプロセシング層及びフォトレジストシステムのために好適な密着性向上成分を実験的に容易に区別することができる。
【0016】
密着性向上成分の好ましい要素としては、ヒドロキシル基又はエポキシ基を含むもの挙げられる。窒素含有基が窒素含有の環状基であるのも好ましい。好適な窒素含有環状構造に含まれるものとしては、環の全原子数が4〜8で、環の窒素原子数が1〜3の非芳香族環状基が挙げられ、それらの例としては、置換基を有していてもよいアゾール、置換基を有していてもよいテトラゾール、置換基を有していてもよいトリアゾール、置換基を有していてもよいイミダゾール及び置換基を有していてもよいベンゾトリアゾールなどが挙げられる。
【0017】
ある態様においては、本発明のプロセシング層組成物中で使用するための密着性向上成分は、低分子量或いは中間の分子量であるのが好ましく、例えばその分子量は約1,500ダルトン未満、より好ましくはその分子量が約1,000ダルトン未満、好適にはその分子量が約700又は500ダルトン未満である。用途によっては、より分子量の高い密着性向上成分が好ましい場合もあり、そのような密着性向上成分の場合には、分子量が40,000ダルトン未満、より一般的には約1,000から20,000ダルトンである。
【0018】
そのような態様においては、密着性向上成分は非ポリマー性、即ち、多数の繰り返し単位を有していなくてもよい。
【0019】
本発明の他の態様においては、プロセシング層組成物の密着性向上成分は、ポリマー性、例えばエポキシ基のようなくり返し単位を多数含んでいてもよい。本発明のそのような態様においては、密着性向上成分は、1,000又は1,500ダルトンを超えるような比較的高い分子量を持っているのが好ましい。しかしながら、そのようなポリマー性添加物の分子量は、約5,000、10,000又は25,000ダルトンを超えているのが好ましい。
【0020】
本発明者らは、また、その下部にある基板への本発明のフォトレジストシステムの密着性を向上させるためには、プロセシング層の厚みが重要な役割を果たすということを見出した。プロセシング層組成物の厚みに言及する場合、プロセシング層を乾燥させた(実質的に溶媒を除去した)時の層の厚みを言うのであって、例えば、プロセシング層を有機溶媒コーティング層として塗布する場合には、本明細書で言うプロセシング層の厚みは、その塗布したコーティング層を約100℃、110℃又は120℃で、30秒、60秒又はそれ以上の時間加熱して得られる層の厚みのことである。一般には、約110℃で60秒間ベーキングして乾燥プロセシング層とする。
【0021】
プロセシング層は(実施的に溶媒を除去した後での)厚みが少なくとも約10nm、12nm又は15nmであれば好ましいが、厚みが少なくとも約20nm又は25nmあれば更に好ましい。少なくともいくつかの用途では、プロセシング層の厚みが約50nm、80nm或いは100nmを超えるのは、好ましくない。また用途によっては、プロセシング層の厚みが30nm又は40nmよりも厚いのは、それより薄いコーティングの場合よりも好ましくない。多くの用途において、特に好ましいプロセシング層の厚みは、約20nmから約80nmであるが、より好ましくは約20nmから、30nm、40nm又は50nm、70nmである。プロセシング層の厚みは例えば、適当なコーティング層測定機器(例えば、サーモウェーブ(thermowave)又は(ポリメトリックス(Polymetrix)社の干渉計型測定器)を用いて、プロセシングコーティング層について、離れた位置で何点か(例えば、離れた位置を3点以上)を測定し、それを平均することで決めることができる。
【0022】
本発明のプロセシング層組成物は、例えば加熱及び/又は放射線処理によって架橋させることができるのが好ましい。例えば、本発明のプロセシング組成物層には、プロセシング組成物中の1種又は複数の他の成分と架橋することが可能な別の架橋成分を加えておくのが好ましい。特に好ましいのは、プロセシング組成物が別の成分として、樹脂、架橋剤、及び酸源、例えば酸又はより好ましくは熱酸発生剤又はその他の酸発生剤を含む場合である。プロセシング層組成物は、このプロセシング組成物層の上にフォトレジスト層を塗布する前に、架橋させておくのが好ましい。例えば熱酸発生剤の活性化などによって、プロセシング層組成物を熱により架橋させるのが一般に好ましい。プロセシング層組成物のための好適な架橋剤としては、各種のものを使用することができるが、好適な架橋剤はアミン系の物質で、例えばメラミン、グリコウリル(glycourils)、尿素系物質などが挙げられる。
【0023】
本発明のプロセシング層組成物にはまた、一般的に、酸又は熱酸発生剤のような酸源を組成物中に存在させるのに加えて、1種又は複数の光酸発生剤化合物(PAG)が含まれていてもよい。このプロセシング層のPAGは、上に塗布するフォトレジストを放射線露光するまでは、リソグラフ加工においては実質的に安定でなければならず、後に、上に塗布したレジストをパターン化した放射線で露光させた時に活性化され、酸を発生することができるようにする。本発明のプロセシング層組成物において使用するのに一般に好適な光酸発生剤としては、例えば、ジ(4−t−ブチルフェニル)ヨードニウムパーフルオロオクタンスルホネートのようなオニウム塩、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタンのようなハロゲン化光酸発生剤、及び、フォトレジスト組成物と共に使用されるその他の光酸発生剤などが挙げられる。
【0024】
プロセシング層組成物には、フォトレジストシステムで反射防止染料として使用されている、アントラセン又はナフチレンのような多環芳香族成分を含んでなるものが好ましい。しかしながら、プロセシング層組成物は必ずしもそのような成分を有していなければならないという訳ではなく、先に述べたように層の厚みが薄いのが好ましいような場合では、プロセシング層は実質的には反射防止機能には寄与しない。
【0025】
本発明のフォトレジストシステムで使用するフォトレジストは、比較的短波長、例えば300nm未満や200nm未満の波長、具体的には248nm、193nm及び157nmなどで画像形成できるのが好ましい。
【0026】
本発明にはまた、本明細書に開示されたようなフォトレジストでコーティングされた基板も含まれ、そのような基板としては、SiON又はSiコーティングなどのような無機表面コーティングをした基板などが挙げられる。そのような無機コーティング層は、二酸化ケイ素のようなケイ素酸化物でキャップされて(capped)いてもよい。
【0027】
本発明は、また、レリーフ像を形成させるための方法をも提供する。該方法には、例えば、ラインが垂直又は実質的に垂直の側壁を有し、ライン幅が約0.25ミクロン以下、更には約0.10ミクロン以下の、密に詰まった或いは分離されたラインパターンを持つような、高解像度のレリーフ像を形成する方法も含まれる。そのような方法では、本発明のレジストのコーティング層は短波長の放射線で画像形成するのが好ましく、具体的には300nm未満又は200nm未満の放射線、特に248nm、193nm及び157nmの放射線、及び、より高エネルギーの放射線、例えば、波長が100nm未満の、EUV、電子ビーム、イオンビーム又はX線により画像形成する。
【0028】
本発明には更に、本発明のフォトレジスト及びレリーフ像をその上にコーティングした、マイクロエレクトロニクスウェーハのような製造物品も含まれる。また、そのような製造物品を製造する方法も提供されるが、該方法は、通常、本発明のフォトレジストを使用することを含んでいる。
【0029】
本発明のその他の態様については以下に記述する。
【0030】
【発明の実施の形態】
これまで述べてきたように、本発明者らは新規なフォトレジストシステム(有機二重層システム)を提供するが、それは、下層であるプロセシングコーティング層の上に、フォトレジスト層をコーティングするものである。この二重層システムは、マイクロエレクトロニクスデバイスの製造に使用される、問題の多い基板においても、良好なレジスト画像プロファイルを与え、優れた密着性を示す。そのような基板の例をあげれば、例えば窒化ケイ素、酸窒化ケイ素などの無機表面コーティング物や、ケイ素酸化物(特に二酸化ケイ素)でキャップした層などがある。
【0031】
本発明のプロセシング層組成物は、好ましくは有機の組成物であって、マイクロエレクトロニクスウェーハのような基板の上に、例えばスピンコーティングなどによって塗布することができる。プロセシング層の少なくとも1つの成分には、ヘテロ原子含有部分、特にN、O又はS原子を含む1種又は複数の部分が含まれているのが好ましいが、特に好ましいのは、ヒドロキシ、エポキシ又はアルコキシのような酸素を含有する1種又は複数の部分である。窒素含有原料もまた、プロセシング層組成物中に取り入れるには適している。
【0032】
プロセシング層組成物に取り込むのに適したエポキシド化合物としては、飽和化合物であって、例えば、好適には1から約25の炭素原子、より好ましくは3から約18の炭素原子と少なくとも1つのエポキシド部分、例えば1、2、3、4又はそれ以上のエポキシド部分とを有する、置換基を有していてもよいアルキル又はシクロアルキル(脂環式)化合物が挙げられる。これらの化合物にはエポキシに加えて各種の置換基を有していてもよく、それらの例を挙げれば、ハロゲン(F、Cl、Br、I)、特にフッ素;ハロアルキル、特にハロ(C1−10アルキル)、特にフルオロ(C1−10アルキル)、例えば−CF、−CHCFなど;カルボキシ;アルカノイル、例えばホルミル、アセチル、その他C1−12アルカノイル;ヒドロキシ;ニトロ;アルコキシ、例えばC1−12アルコキシ;アルキルチオ、例えばC1−12アルキルチオなどが挙げられる。芳香族化合物、例えばエポキシド置換基を有するフェニル化合物もまた、使用可能である。
【0033】
本発明のプロセシング層組成物において使用するのに好適なエポキシ含有成分を例示すると、ビスフェノールAジグリシジルエーテル、エポキシノボラック、グリシジルポリ(ヒドロキシスチレン)、ポリ(グリシジルアクリレート)、ポリ(グリシジルメタクリレート)などが挙げられる。
【0034】
プロセシング層組成物は架橋性の組成物であることが好ましく、例えば、それ自体で架橋するか、又は組成物中の1種又は複数の成分と架橋するような成分が含まれる。そのようなプロセシング層は熱処理によって架橋させるのが好ましい。 即ち、基板上にプロセシング層のコーティングを塗布してから、このコーティング層を、例えば100℃から250℃で30秒から120秒間熱処理するか、又は架橋が起こるようなその他の温度及び/又は時間で熱処理する。該プロセシング層組成物には、メラミン樹脂又はベンゾグアナミン樹脂のようなアミン系の原料と共に、酸又は熱酸発生剤化合物(即ち、熱処理によって酸を発生する化合物)のような酸発生剤が含まれていることが好ましい。このアミン系原料は、他の組成物成分、例えばヒドロキシ基及び/又はエポキシ基を含む樹脂と架橋することが可能である。
【0035】
また別な方法では、非架橋性のプロセシング層組成物もまた使用可能で、この場合は、その1種又は複数の組成物成分は、基板に組成物を塗布した後でも結合形成反応をほとんど起こさない。
【0036】
プロセシング層組成物は、フォトレジスト組成物の場合と同様に、液状コーティング組成物として配合するのが好ましい。その場合は、1種又は複数のプロセシング層組成物成分を、適当な有機溶媒キャリヤー、例えば乳酸エチル、シクロヘキサノン、2−ヘプタノン、プロピレングリコールメチルエーテルアセテートなどに混合する。こうして配合したプロセシング層組成物を次いで、好ましくはスピンコーティングにより基板の表面に塗布してから、溶媒を除去し、組成物が架橋システム系である場合には、例えば加熱処理によって組成物を架橋させる。塗布するコーティング層の厚みは、例えば、スピンコーティング工程の際の回転速度、液状コーティング組成物を配合する際の固形分含量及び粘度などを調節することによって、前述のような所望の値になるようする。それに続けて、フォトレジスト組成物のコーティング層をプロセシング層の上に塗布すればよい。
【0037】
本発明のレジストシステムで使用されるフォトレジストは、ポジ型であってもネガ型であってもよい。一般にはポジ型レジストが好ましいが、1又は複数の脱ブロック性成分を含む、化学増幅型フォトレジストであれば特に好ましい。
【0038】
化学増幅ポジ型フォトレジストは、光により発生した酸(以下、「光酸」と略す。)に不安定なポリマー成分を含んでいるのが好ましいが、この成分には、光酸に不安定な部分、例えば光酸に不安定なエステル基又はアセタール基を含む1又は複数のくり返し単位が含まれる。特に好適な、光酸に不安定な基は後に示すものである。
【0039】
本発明のレジストシステムで使用されるフォトレジスト樹脂は、使用する露光波長によって適宜変更される。例えば、200nmより長波長、即ち、例えば248nmで画像形成するためのフォトレジストとしては、そのレジスト樹脂には芳香族のくり返し単位、特にフェノール単位を含んでいるのが好ましい。200nm未満、特に193nmで画像形成するフォトレジストの場合には、そのレジスト樹脂には実質的に芳香族基を含まないのが好ましく(例えば、ポリマー全単位のうちの5、4、3、2又は1モル%未満が芳香族)、そしてこの樹脂には、重合させたノルボルニル単位、例えばノルボルニル/アクリレートコポリマーのような脂環式単位を含んでいるのが好ましい。157nmで画像形成するレジストとしては、例えば、テトラフルオロエチレン(TFE)、クロロトリフルオロエチレン、ヘキサフルオロプロピレン、トリフルオロエチレン、フッ化ビニリデン、フッ化ビニルなどのようなフッ素化オレフィンを重合させて得られるものの如きフッ素置換基があるものが好ましい。200nmより長波長、特に248nmで画像形成させるためのフォトレジストとして好適なものについては、米国特許第5,879,856号に開示されている。193nmで画像形成させるためフォトレジストとして好適なものについては、米国特許第6,306,554号に開示されている。157nmで画像形成させるためフォトレジストとして好適なものについては、国際特許WO/0221212A2号に開示されている。これらの特許明細書には、本明細書に開示する樹脂を合成するための好適な方法が開示されている。
【0040】
フォトレジストに用いられる樹脂の光酸に不安定な基としては、t−ブチルエステルのような、光酸に不安定な非環式(脂肪族)エステル、或いは3級脂環式基を有するエステルなどが好ましい。そのような光酸に不安定なエステルは、樹脂の主鎖又は、例えば炭素脂環式基、ヘテロ脂環式基或いはその他のポリマー単位のような他のポリマー単位に直接ペンダントしていてよいし(例えば、光酸に不安定な基が式−C(=O)ORの形でRがt−ブチル基又は他の非環状アルキル基であるか、3級脂環式基で、直接ポリマーの主鎖又は単位に結合している)、或いは、エステル部分がポリマーの主鎖又は他のポリマー単位から、例えば置換基を有していてもよいアルカン結合等によって、離れていてもよい(例えば、−(CH0−2C(=O)OR、ここでRはt−ブチル又は他の非環状アルキル基、又は3級脂環式基)。このような光酸に不安定な基は、適当な位置にフッ素置換基を有していてもよい。
【0041】
光酸に不安定な3級脂環式炭化水素エステル基の好ましい例としては、アダマンチル、エチルフェンシル又はトリシクロデカニル基のような多環状基が挙げられる。本明細書において「3級脂環式エステル基」又は同様な用語に言及した場合は、3級脂環式基の環の炭素が共有結合的にエステル酸素に結合している、即ち、−C(=O)−TR’で、ここのTが脂環式基R’の3級環炭素であるということを意味している。少なくとも、多くのケースで、脂環式構造の3級環炭素がエステルの酸素と共有結合的に結合しているのが好ましい。しかしながら、エステルの酸素に結合している3級炭素はまた、脂環式環に対して環外であってもよく、典型的な例としては、脂環式環が、環外の3級炭素の置換基の1つのような場合である。一般的には、エステル酸素に結合した3級炭素は、脂環式環そのもの、及び/又は例えば炭素が1から約12、より好ましくは炭素が1から約8、更に好ましくは、1、2、3又は4の炭素からなるアルキル基の1つ、2つ又は3つで置換されていてもよい。またこの脂環式基には、芳香族置換基を含まないのが好ましい。この脂環式基は、単環でも多環でもよいが、好ましくは2環又は3環の基である。
【0042】
本発明のポリマーの光酸に不安定なエステル基の脂環式部分(例えば、−C(=O)−TR’のTR’基)は、ある程度嵩高い方が好ましい。そのような嵩高い脂環式基を本発明のコポリマーの中で使用すれば、解像度が向上することが判明した。
【0043】
より具体的には、光酸に不安定なエステル基の脂環式基は、少なくとも約125又は約130立方オングストロームの分子容を持つのが好ましく、少なくとも約135、140、150、155、160、165、170、175、180、185、190、195又は200立方オングストロームの分子容であればより好ましい。少なくともいくつかの用途では、脂環式基の大きさが約220又は250立方オングストロームを超えるのは好ましくない。本明細書で分子容に言及する場合にはそれは、標準的なコンピュータモデルを使用して、最適な化学結合距離と角度から求めた容積である。本明細書に記載した分については、分子容を計算するのに好んで使用したコンピュータプログラムは、アルケミー(Alchemy)2000(トライポス(Tripos)社から販売)である。コンピュータによる分子サイズの計算についての更に詳しい考察については、T.オモテ(Omote)ら、Polymers for Advanced Technologies、第4巻、第277〜287ページを参照されたい。
【0044】
光酸に不安定な単位の3級脂環式基として特に好ましいものとしては、以下のようなものがあるが、ここでの波線はエステル基のカルボキシル酸素に対する結合を表しており、Rは置換基を有していてもよいアルキル、特にメチル、エチルのようなC1−6アルキルであるのが好ましい。
【0045】
【化1】

Figure 2005106837
【0046】
光酸に不安定なポリマーには、脂環式構造を持たない光酸に不安定な基が含まれていてもよい。例えば、本発明のポリマーには、光酸に不安定なエステル単位、例えば光酸に不安定なアルキルエステルを含んでいてもよい。一般的には、光酸に不安定なエステルにおけるカルボキシル酸素(即ち、−C(=O)において下線で示したカルボキシル酸素)が、4級炭素に共有結合的に結合している。分岐を持つ光酸に不安定なエステルが一般には好ましく、例えば、t−ブチルや−C(CHCH(CHなどが好ましい。
【0047】
この点に関して、本発明のレジストシステムのレジストに使用される光酸に不安定なポリマーは、光酸に不安定な相異なった基を含んでいてもよい、即ち、該ポリマーは、エステル部分の置換基が相異なる2種以上のエステル基を含んでいてもよいということで、例えば、1つのエステル基には脂環式基が付き、もう1つのエステルには非環状の基、例えばt−ブチルが付いているか、或いは、該ポリマー中に両エステルと共に光酸に不安定な他の官能基、例えばアセタール、ケタール及び/又はエーテルが含まれていてもよい。
【0048】
これまで述べてきたように、各種のポリマー及び添加物成分は、置換基を有していてもよい。「置換される」置換基は、1又は複数の位置、一般的には1、2又は3つの位置で、1種又は複数の好適な置換基で置換されていてもよいが、そのような置換基としては、例えば、ハロゲン(特にフッ素);シアノ;C1−6アルキル;C1−6アルコキシ;C1−6アルキルチオ;C1−6アルキルスルホニル;C2−6アルケニル;C2−6アルキニル;ヒドロキシル;ニトロ;アルカノイル、即ち、例えばアシル等の例えばC1−6アルカノイルなどが挙げられる。
【0049】
レジスト及びプロセシング層組成物中で使用されるポリマーは、先に引用した特許文献に開示された方法に従って適宜調製することができる。好適な方法の1つは付加反応を用いるもので、例えばフリーラジカル重合があるが、これは、先に述べた各種の単位を構成するために選択したモノマー類を、ラジカル開始剤の存在下、不活性雰囲気下(例えばN又はアルゴン中)、温度を上げて例えば約70℃又はそれ以上で反応させるが、但し反応温度は、使用した個々の反応物質の反応性と、溶媒を使用した場合には反応用の溶媒の沸点によって変動させればよい。反応溶媒として好ましいのは、例えばフッ素化溶媒又は塩素化溶媒のような、ハロゲン化溶媒である。それぞれのシステムのために適した反応温度は、本発明の開示に基づけば、当業者ならば実験的に容易に定めることができる。各種のフリーラジカル開始剤を使用することができる。例えば、アゾビス−2,4−ジメチルペンタンニトリルのようなアゾ化合物が使用できる。過酸化物、過エステル、過酸、過硫酸塩なども使用することができる。
【0050】
本発明のシステムにおけるフォトレジストのレジスト及びプロセシング層組成物を調製するために用いられる好適なモノマーとしては、例えばアクリレートが挙げられる。
【0051】
本発明のシステムに使用されるフォトレジストは、また、光活性成分、好ましくは1種又は複数の光酸発生剤(即ち、PAG)を含有することが出来るが、それは、感放射線による露光でレジストのコーティング層に潜像が形成されるに充分な量が用いられる。157nm、193nm及び248nmで画像形成させるために適したPAGとしては、イミドスルホネートが挙げられるが、これは例えば次式の化合物である。
【0052】
【化2】
Figure 2005106837
【0053】
ここでRは、カンファー、アダマンタン、アルキル(例えばC1−12アルキル)及びパーフルオロアルキル、例えばパーフルオロ(C1−12アルキル)などであり、特にパーフルオロオクタンスルホネート、パーフルオロノナンスルホネートなどが好ましい。 特に好ましいPAGは、N−[(パーフルオロオクタンスルホニル)オキシ]−5−ノルボルネン−2,3−ジカルボキシイミドである。
【0054】
スルホネート化合物もまた好適なPAGであって、特にスルホネート塩は、フォトレジストとプロセシング層組成物の両方で好適に使用できる。193nm及び248nmで画像形成させるのに好適な化合物を2つあげれば、次式のPAG1とPAG2である。
【0055】
【化3】
Figure 2005106837
【0056】
【化4】
Figure 2005106837
【0057】
このようなスルホネート化合物は、欧州特許出願第96118111.2号(公開番号第0783136号)に開示されている方法で調製することができるが、この特許には上のPGA1の合成について詳述されている。
【0058】
上記の2種類のヨードニウム化合物と、先に挙げたカンファースルホネート基とは別のアニオンとの間の錯化合物もまた好適である。具体的には、そのような好適なアニオンとしては、式RSO−で示されるものが挙げられる。ここでRは、アダマンタン、アルキル(例えばC1−12アルキル)及びパーフルオロアルキル、例えばパーフルオロ(C1−12アルキル)などであり、特にパーフルオロオクタンスルホネート、パーフルオロブタンスルホネートなどが好ましい。
【0059】
157nmにおける画像形成のためには、上記のスルホネートアニオン、特にパーフルオロブタンスルホネートのようなパーフルオロアルキルスルホネートと錯体形成させた、トリフェニルスルホニウムPAGが特に好ましい。
【0060】
その他の公知のPAGも、本発明のレジストに使用することができる。特に193nmで画像形成させるためのPAGとしては、一般に、その透過性を向上させるためには、上記のイミドスルホネートのような芳香族基を含まないものが好ましい。
【0061】
本発明のシステムに使用するレジストへの任意の添加物として好ましいものとしては、添加塩基、特に、乳酸テトラブチルアンモニウムヒドロキシドが挙げられ、これによって現像したレジストのレリーフ像の解像度を向上させることができる。その他の好適な添加塩基としては、ジアザビシクロウンデセンやジアザビシクロノネンが挙げられる。該添加塩基は比較的少量で使用するのがよく、例えば、全固形分に対して約0.03〜5重量%でよい。
【0062】
本発明のシステムで用いられるフォトレジストには、また、その他の任意の材料が含まれていてもよい。例えば、その他の任意の添加物としては、アンチストリエーション剤(anti−striation agent)、可塑剤、加速剤などがある。そのような任意の添加剤は、通常フォトレジスト組成物中には低濃度で存在させる。
【0063】
本発明のシステムで使用するフォトレジストは、当業者には容易に調製できるものである。例えば本発明のフォトレジスト組成物は、フォトレジストの成分を適当な溶媒中に溶解させることによって調製することができるが、そのような溶媒としては例えば、2−ヘプタノン、シクロヘキサノン、乳酸エチル、プロピレングリコールモノメチルエーテル及びプロピレングリコールモノメチルエーテルアセテートなどがある。組成物の固形分含量は通常、フォトレジスト組成物の全重量の約2%から20重量%までの間で変化させる。樹脂及び光活性成分は、フィルムコーティング層を形成し、高品質の潜像及びレリーフ像を形成させるのに充分な量を用いなければならない。
【0064】
先に述べたように、下層となるプロセシング層のコーティングは好適には、マイクロプロセッサーを製造するためのシリコンウェーハ又は二酸化ケイ素、SiON又はSiでコーティングしたシリコンウェーハの上や、その他のマイクロエレクトロニクスデバイス基板にスピンコーティングにより塗布することができる。塗布したプロセシング層を次いで、熱処理して溶媒キャリヤーを除去する。この熱処理によって架橋を形成させてもよい。
【0065】
フォトレジストは、スピンコーティング又はその他慣用のコーティング方法によりプロセシング層コーティングの上に塗布される。プロセシング層組成物の場合と同様に、スピンコーティングする場合には、レジストコーティング溶液の固形分含量は所望の厚みの膜が得られるように調節するが、それは、使用する特殊なスピンコーティング機器、溶液の粘度、スピナーの速度、スピンニングに使用できる時間などによって決めることができる。
【0066】
プロセシング組成物層の上にフォトレジストをコーティングした後、レジストコーティング層を適宜加熱により乾燥させて、溶媒を除去し、好ましくは、フォトレジストのコーティング中に少なくとも実質的に溶媒が含まれないようにする。次いで、常法にしたがってマスクを介して画像形成させる。フォトレジストシステムの光活性成分を効果的に活性化させてレジストコーティング層にパターン画像を形成させるのに充分な露光をおこなうが、より具体的には、その露光エネルギーは、露光装置とフォトレジスト組成物の成分によって異なるものの、一般的には約1から100mJ/cmの範囲である。
【0067】
先にも述べたように、レジスト組成物のコーティング層は、短い露光波長、特に300nm未満や200nm未満の露光波長で光活性化するのが好ましい。248nm、193nm及び157nmが好ましい露光波長である。しかしながら、このレジスト組成物は、更に長波長で画像形成させるのにも適している。
【0068】
露光した後に、組成物を含む膜の層を、約70℃から約170℃までの範囲の温度でベーキングするのが好ましい。次いでその膜を現像する。このように露光させたレジスト膜は極性の現像剤を用いてポジ型現像をするが、そのためには水性の塩基性現像剤を使用するのがよく、例えば、水酸化4級アンモニウム溶液例えば、水酸化テトラアルキルアンモニウム溶液、好ましくは0.26Nの水酸化テトラメチルアンモニウム水溶液;又は、各種アミン溶液、例えば、エチルアミン、n−プロピルアミン、ジエチルアミン、ジ−n−プロピルアミン、トリエチルアミン、又はメチルジエチルアミン;アルコールアミン例えばジエタノールアミン、トリエタノールアミン;環状アミン例えば、ピロール、ピリジンなどを含む溶液を使用する。一般的には、現像は当該分野で公知の方法に従う。
【0069】
基板上のフォトレジストコーティングを現像した後では、現像した下の層にある表面についてレジストから露出した領域を選択的に加工することができるようになり、例えば、レジストから露出した基板の領域に、当該分野で公知の方法に従って、化学エッチングやメッキをする。マイクロエレクトロニクス基板を製造、例えば二酸化ケイ素ウェーハを製造するための好適なエッチング剤としては、ガス状エッチング剤、例えばハロゲンプラズマエッチング剤で、例えば塩素系又はフッ素系エッチング剤、プラズマストリームとして用いられるCl又はCF/CHFエッチング剤などが挙げられる。そのような加工の後、レジストを公知のストリッピング手段を用いて加工済み基板から除去する。
【0070】
【実施例】
本明細書において言及したすべての文献は、参考として引用し本明細書に組み入れる。以下の実施例は本発明を説明するためのものであって、本発明を限定するものではない。
【0071】
実施例1:レジストシステムの調製とリソグラフ加工
プロセシング層組成物は、乳酸エチル溶媒中に配合した、ポリマー単位としてヒドロキシエチルアクリレートを有するアクリレートポリマーを混合して調製した。この組成物を、ポラリス(Polaris)2000コーティングトラック上で8インチSiONウェーハにスピンコートし、215℃で60秒間ベーキングすることで、厚みが約25nmの乾燥コーティング層を得た。
【0072】
光酸発生剤化合物であるトリフェニルスルホニウムパーフルオロブタンスルホネート;テトラフルオロエチレン(TFE)、ヘキサフルオロイソプロパノールで置換されたノルボルネン、及びt−ブチルアクリレートからなるモノマーを重合させて得られた光酸に不安定なポリマー;塩基性添加物である乳酸テトラブチルアンモニウム;及び、溶媒の2−ヘプタノンを含むフォトレジスト組成物を、プロセシング組成物層の上にスピンコーティングし、145℃で60秒間ベーキングすることにより溶媒を除去した。こうしてコーティングしたウェーハをエキシテック(Exitech)157nmステッパー(0.60NA、0.70σ)で、試験用のライン&スペースパターンで露光させ、105℃で60秒間露光後ベーキングをしてから、シップレー社(Shipley Company)から市販されている水性アルカリ現像剤を使用して、60秒間をかけて現像した。現像したウェーハは、その画像形成パターンについて、トップダウン走査型電子顕微鏡を使用して評価することができる。
【0073】
実施例2:他のレジストシステム
プロセシング層組成物を以下の成分を混合して調製した。
エポキシノボラックポリマー;架橋剤であるテトラメトキシメチルグリコウリル;p−トルエンスルホン酸(架橋のための酸源);界面活性剤;及び、乳酸エチル、シクロヘキサノン及びプロピレングリコールメチルエーテルを含む溶媒。
【0074】
このプロセシング層組成物を、8インチSiウェーハ上にスピンコーティングにより塗布した。塗布したプロセシング層を90秒間ベーキングして溶媒を除去し、層の架橋を行わせた。厚み約200オングストロームの乾燥した層が得られた。
【0075】
その架橋させたプロセシング層の上に、スピンコーティング法により、下記のフォトレジスト組成物を塗布した。このフォトレジストは以下の原料を混合して調製したものである:
テトラフルオロエチレン、テトラブチルアクリレート及びヒドロキシノルボルネンを重合させて得られたフッ素化ターポリマー(ポリマーは、全レジスト成分の9.434重量%の量で存在):光酸発生剤である、トリフェニルスルホニウムパーフルオロブタンスルホネート(PAGは、全レジスト成分の0.52重量%の量で存在);塩基性添加物(全レジスト成分の0.036重量%の量で存在);界面活性剤(全レジスト成分の0.01重量%の量で存在);及び、溶媒である2−ヘプタノン(全レジスト成分の90重量%の量で存在)。
【0076】
こうして塗布したレジスト層を60秒間ソフトベーキングして、溶媒を除去し;157nmのパターン化した放射線を用い放射線量50mJ/cmで露光し;露光後ベーキングを約105℃で60秒間行ない;そして水性アルカリ現像剤を用いて現像した。高い解像度で100nmライン&スペース(1:1)が得られた。
【0077】
同一のフォトレジストを同様にして加工したが、但し、直接Siウェーハ上に(即ち、下にプロセシング層を使用することなく)塗布した。157nmの波長で同じ放射線量(50mJ/cm)で露光してから現像すると、プロセシング層を使用した上記の結果に比較して、解像度が(特にレリーフ像のフーティングの面で)劣ったレリーフ像が得られた。
【0078】
実施例3:他の更なるレジストシステム
プロセシング層組成物を、以下の成分を混合して調製した:
ヒドロキシメチルメタクリレート及びメチルアクリレートのコポリマー;架橋剤であるテトラメトキシメチルグリコウリル;熱酸発生剤[ナキュア(Nacure)5225、キング社(King Industries)、コネティカット州、ノーウォーク];アジピン酸ジメチル;界面活性剤;及び、メチル-2-ヒドロキシイソブチレートを含む溶媒。
【0079】
このプロセシング層組成物を、8インチSiウェーハ上にスピンコーティングにより塗布した。塗布したプロセシング層を90秒間ベーキングして溶媒を除去し、層の架橋を行わせた。厚み約200オングストロームの乾燥した架橋層が得られた。
【0080】
この架橋させたプロセシング層の上に、スピンコーティング法により、上記実施例2に示したものと同じフォトレジスト組成物を塗布した。
【0081】
こうして塗布したレジスト層を60秒間ソフトベーキングして溶媒を除去し;157nmのパターン化放射線に、放射線量50mJ/cmで露光させ;約105℃で60秒間露光後のベーキングをし;そして水性アルカリ現像剤を用いて現像した。高い解像度で100nmライン&スペース(1:1)が得られた。
【0082】
同一のフォトレジストを同様にして加工したが、但し、直接Siウェーハ上に(即ち、下にプロセシング層を使用することなく)塗布した。157nmの波長で同じ放射線量(50mJ/cm)で露光してから現像すると、プロセシング層を使用した上記の結果に比較して、著しく解像度が劣った(特に基板への密着性が損なわれた)レリーフ像が得られた。
【0083】
本発明についての以上の記述は、単に本発明を説明するためのものであり、特許請求の範囲の記載から明らかな本発明の意図及び範囲から逸脱することなく、変更や修正が可能であることは、言うまでもない。
【0084】
【発明の効果】
本発明は、短い露光波長、特に300nm未満や200nm未満の露光波長、より具体的には、248nm、193nm及び157nmの露光波長で光活性化し得るレジスト組成物を含んでなる、新規なフォトレジストシステムに関するものであり、下層であるプロセシング(又はバリアー)層とその上に塗布したフォトレジスト層とを含んでなる新規なフォトレジストシステムを提供するものである。本発明のシステムは、SiONおよびその他の無機表面層に対して顕著な密着性を示すことができ、且つ、解像度が(特にレリーフ像のフーティングの面で)優れたレリーフ像が得られる点に顕著な効果を奏する。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a novel photoresist composition system suitable for imaging at short wavelengths of less than 300 nm and less than 200 nm, for example 248 nm, 193 nm and 157 nm. The photoresist system of the present invention includes a photoresist layer coated on top of an organic processing layer. Such a multilayer composite exhibits significant adhesion to SiON and other inorganic surface layers. Suitable processing layer compositions include one or more components having substituents having heteroatoms, in particular one or more, containing N, O or S atoms, eg substituents such as hydroxy groups. ing.
[0002]
[Prior art]
A photoresist is a photosensitive film used to transfer an image to a substrate. A coating layer of photoresist is formed on the substrate and then exposed with a radiation sensitive source through a photomask. This photomask is provided with a radiation-sensitive opaque region and a radiation-sensitive transparent region. When exposed to radiation, photoinduced chemical transformations occur in the photoresist coating, thereby transferring the pattern of the photomask to the photoresist-coated substrate. When the photoresist is developed after exposure, a relief image is obtained, whereby the substrate can be selectively processed.
[0003]
The photoresist may be positive or negative. In the case of a negative photoresist, the coating layer portion exposed to radiation is usually polymerized or cross-linked by a reaction between a photoactive compound in the photoresist composition and a polymerizable component. As a result, the exposed portion of the coating is less soluble in the developer solution than the unexposed portion. In the case of a positive photoresist, the non-exposed portion remains relatively insoluble in the developer, whereas the exposed portion is easily dissolved in the developer solution.
[0004]
Although currently available photoresists are suitable for many applications, current resists are particularly high resolution, less than 1/4 micron (sub-quarter micron) or less than 1/10 micron (sub-tense). In applications that require high performance, such as the formation of micron patterns, significant defects are exposed. In particular, undesired footing may occur in the relief image of the photoresist, and so-called “scum” (residual organic matter that cannot be removed) may occur in the development area, which adversely affects subsequent lithographic processing. .
[0005]
Accordingly, there is a growing expectation for photoresists that can be photoimaged using short-wavelength radiation, including exposure radiation of 300 nm or less, such as 248 nm, or even 200 nm or less, such as 193 nm. Very recently, F 2 Image formation using an excimer laser, that is, image formation using radiation having a wavelength of about 157 nm, has been studied as a method for producing a finer pattern. For this, see the general description of Non-Patent Document 1.
[0006]
Silicon oxynitride (SiON) layer and Si 3 N 4 Other inorganic materials such as coatings are increasingly being used in the manufacture of semiconductor devices, for example as an etch stop layer or an inorganic antireflective layer. For this, see, for example, Patent Document 1, Patent Document 2, and Patent Document 3.
[0007]
It would be desirable if a new photoresist system could be obtained that would give good resolution on SiON and other inorganic substrate layers.
[0008]
[Non-Patent Document 1]
Kunz et al., SPIE Proceedings (Advances in Resist Technology), vol. 3678, pages 13-23 (1999)
[Patent Document 1]
US Pat. No. 6,124,217
[Patent Document 2]
US Pat. No. 6,153,504
[Patent Document 3]
US Pat. No. 6,245,682
[0009]
[Problems to be solved by the invention]
The present invention provides short wavelength radiation, including exposure radiation of 300 nm or less, such as 248 nm, or 200 nm or less, such as 193 nm, or even F 2 A photoresist system capable of optical imaging using radiation having a wavelength of about 157 nm by an excimer laser and having excellent adhesion to a substrate having silicon oxynitride (SiON) or other inorganic surface layer; An object of the present invention is to provide a finer pattern forming method using the same.
[0010]
[Means for Solving the Problems]
The present invention provides a novel photoresist system, which can improve adhesion to underlying substrates, for example, substrates having inorganic surface layers such as SiON layers and silicon nitride layers. .
[0011]
More specifically, the photoresist system of the present invention includes an organic processing layer (or barrier layer) coating applied over a substrate and a photoresist layer applied over the processing layer.
[0012]
Preferably, the processing layer comprises one or more organic components having functional groups containing N, O or S atoms, such as amide, epoxy, hydroxyl, carboxyl (—COOH ), Esters (eg -C = OOR, where R is optionally substituted 1-18 alkyl), alkanoyl, eg acetyl-containing C 1-18 Alkanoyl, alkoxy, eg C 1-18 Alkoxy, alkylthio, eg C 1-18 Alkylthio, and the like.
[0013]
Particularly preferred are processing layers comprising hydroxyl or epoxy structures. For example, the processing layer may include a polymeric or non-polymeric material that includes hydroxy groups or epoxy groups. More specifically, the polymer material may contain a unit obtained by polymerizing hydroxyl acrylate, such as hydroxyethyl acrylate or 3-hydroxypropyl acrylate. Alternatively, the heteroatom-containing component may be a non-polymeric raw material such as hydroxy or alkoxy methyl ether that can react with a hydroxy functional group, or a steroidal compound having a hydroxy functional group.
[0014]
Here, a suitable hetero-atom-containing component of the processing layer (hereinafter sometimes referred to as an adhesion improving material or an adhesion improving component) that can improve adhesion and resolution can be easily identified by a simple test. be able to. That is, a candidate heteroatom-containing component is added to the processing layer composition and the processing layer coated with a photoresist on top (as seen from the improvement in lithographic patterning) SiON or Si 3 N 4 Whether or not the adhesion to the surface of the substrate has been improved can be evaluated by comparing the adhesion with the same resist layer coated on the same processing layer not containing the heteroatom-containing raw material. Generally speaking, improved adhesion to SiON or other inorganic substrates by the photoresist system of the present invention (i.e., having a processing layer as the underlayer and coated with photoresist thereon) is imaged and developed. Then, it can be evaluated by the resolution in the upper resist layer.
[0015]
The terms adhesion enhancing component or material or hetero-containing component, or other similar terms for the processing layer composition, are incorporated herein into the processing layer to provide a photoresist system (i.e., overlying the photoresist). Means a material that can clearly increase the adhesion of the processing layer applied to the substrate. A clear increase in adhesion is indicated by an improved resolution compared to the control resist (where the control resist is the same in a photoresist system processed in the same way). Although there is no adhesion improving component in the processing layer). Such an improvement in resolution compares a photoresist system (test photoresist system) containing candidate components for improved adhesion with a control photoresist system using a scanning electron microscope (SEM) photograph with the naked eye. Can be investigated. In this way, it is possible to easily distinguish experimentally suitable adhesion enhancing components for a given processing layer and photoresist system.
[0016]
Preferable elements of the adhesion improving component include those containing a hydroxyl group or an epoxy group. It is also preferred that the nitrogen-containing group is a nitrogen-containing cyclic group. Suitable nitrogen-containing cyclic structures include non-aromatic cyclic groups having from 4 to 8 total ring atoms and from 1 to 3 ring nitrogen atoms, examples of which include substituted An azole that may have a group, a tetrazole that may have a substituent, a triazole that may have a substituent, an imidazole that may have a substituent, and a substituent. And good benzotriazole.
[0017]
In some embodiments, the adhesion enhancing component for use in the processing layer composition of the present invention preferably has a low molecular weight or an intermediate molecular weight, such as a molecular weight of less than about 1,500 daltons, more preferably Its molecular weight is less than about 1,000 daltons, preferably its molecular weight is less than about 700 or 500 daltons. Depending on the application, a higher molecular weight adhesion-improving component may be preferred, and in such an adhesion-improving component, the molecular weight is less than 40,000 daltons, more typically from about 1,000 to 20,000. 000 daltons.
[0018]
In such an embodiment, the adhesion improving component may be non-polymeric, i.e., not have a large number of repeating units.
[0019]
In another embodiment of the present invention, the adhesion-improving component of the processing layer composition may contain a large number of repeating units such as a polymer, for example, an epoxy group. In such embodiments of the present invention, the adhesion enhancing component preferably has a relatively high molecular weight that exceeds 1,000 or 1,500 daltons. However, the molecular weight of such polymeric additives is preferably greater than about 5,000, 10,000 or 25,000 daltons.
[0020]
The inventors have also found that the thickness of the processing layer plays an important role in improving the adhesion of the photoresist system of the present invention to the underlying substrate. When referring to the thickness of the processing layer composition, it means the thickness of the layer when the processing layer is dried (substantially removing the solvent), for example, when the processing layer is applied as an organic solvent coating layer In the present specification, the thickness of the processing layer is the thickness of the layer obtained by heating the applied coating layer at about 100 ° C., 110 ° C. or 120 ° C. for 30 seconds, 60 seconds or longer. That is. In general, baking is performed at about 110 ° C. for 60 seconds to form a dry processing layer.
[0021]
The processing layer preferably has a thickness (after practical solvent removal) of at least about 10 nm, 12 nm or 15 nm, but more preferably has a thickness of at least about 20 nm or 25 nm. For at least some applications, it is undesirable for the thickness of the processing layer to exceed about 50 nm, 80 nm, or 100 nm. Also, depending on the application, it is less preferred that the thickness of the processing layer is greater than 30 nm or 40 nm than in the case of a thinner coating. For many applications, a particularly preferred processing layer thickness is from about 20 nm to about 80 nm, but more preferably from about 20 nm, 30 nm, 40 nm or 50 nm, 70 nm. The thickness of the processing layer can be determined, for example, at a distance from the processing coating layer using a suitable coating layer measuring instrument (eg, thermowave or (Polymetrics interferometer type meter)). It can be determined by measuring a point (for example, 3 or more points apart) and averaging them.
[0022]
It is preferred that the processing layer composition of the present invention can be crosslinked, for example, by heating and / or radiation treatment. For example, it is preferable to add another cross-linking component capable of cross-linking with one or more other components in the processing composition to the processing composition layer of the present invention. Particularly preferred is when the processing composition comprises as separate components a resin, a cross-linking agent, and an acid source such as an acid or more preferably a thermal acid generator or other acid generator. The processing layer composition is preferably cross-linked before applying a photoresist layer on the processing composition layer. It is generally preferred to crosslink the processing layer composition with heat, for example, by activation of a thermal acid generator. Various cross-linking agents can be used for the processing layer composition, and suitable cross-linking agents are amine-based materials such as melamine, glycourils, urea-based materials, and the like. It is done.
[0023]
The processing layer composition of the present invention also generally includes one or more photoacid generator compounds (PAGs) in addition to the presence of an acid source such as an acid or thermal acid generator in the composition. ) May be included. The PAG of this processing layer must be substantially stable in lithographic processing until the photoresist applied thereon is exposed to radiation, and the resist applied above is subsequently exposed to patterned radiation. It is sometimes activated so that it can generate acid. Photoacid generators generally suitable for use in the processing layer composition of the present invention include, for example, onium salts such as di (4-t-butylphenyl) iodonium perfluorooctane sulfonate, 1,1-bis [ Halogenated photoacid generators such as p-chlorophenyl] -2,2,2-trichloroethane, and other photoacid generators used with photoresist compositions.
[0024]
The processing layer composition preferably comprises a polycyclic aromatic component such as anthracene or naphthylene, which is used as an antireflective dye in photoresist systems. However, the processing layer composition does not necessarily have to have such components, and in cases where a thin layer thickness is preferred, as described above, the processing layer is substantially It does not contribute to the antireflection function.
[0025]
The photoresist used in the photoresist system of the present invention is preferably capable of forming an image at a relatively short wavelength, for example, a wavelength of less than 300 nm or less than 200 nm, specifically 248 nm, 193 nm, and 157 nm.
[0026]
The present invention also includes a substrate coated with a photoresist as disclosed herein, such as a SiON or SiN substrate. 3 N 4 Examples include a substrate having an inorganic surface coating such as a coating. Such an inorganic coating layer may be capped with a silicon oxide such as silicon dioxide.
[0027]
The present invention also provides a method for forming a relief image. The method includes, for example, closely packed or separated lines having lines having vertical or substantially vertical sidewalls, and line widths of about 0.25 microns or less, or even about 0.10 microns or less. A method for forming a high-resolution relief image having a pattern is also included. In such methods, the resist coating layer of the present invention is preferably imaged with short wavelength radiation, specifically less than 300 nm or less than 200 nm radiation, especially 248 nm, 193 nm and 157 nm radiation, and more Imaged by high energy radiation, eg, EUV, electron beam, ion beam or X-rays with a wavelength of less than 100 nm.
[0028]
The invention further includes articles of manufacture such as microelectronic wafers having coated thereon the photoresist and relief images of the invention. Also provided is a method of manufacturing such a manufactured article, which typically includes using the photoresist of the present invention.
[0029]
Other aspects of the invention are described below.
[0030]
DETAILED DESCRIPTION OF THE INVENTION
As described above, the present inventors provide a novel photoresist system (organic bilayer system), which coats the photoresist layer on the underlying processing coating layer. . This double layer system provides a good resist image profile and exhibits excellent adhesion, even on problematic substrates used in the manufacture of microelectronic devices. Examples of such substrates include inorganic surface coatings such as silicon nitride and silicon oxynitride, and layers capped with silicon oxide (particularly silicon dioxide).
[0031]
The processing layer composition of the present invention is preferably an organic composition and can be applied to a substrate such as a microelectronic wafer by, for example, spin coating. Preferably, at least one component of the processing layer contains a heteroatom-containing moiety, in particular one or more moieties containing N, O or S atoms, but particularly preferred are hydroxy, epoxy or alkoxy Is one or more parts containing oxygen. Nitrogen-containing raw materials are also suitable for incorporation into the processing layer composition.
[0032]
Suitable epoxide compounds for incorporation into the processing layer composition are saturated compounds, for example, preferably from 1 to about 25 carbon atoms, more preferably from 3 to about 18 carbon atoms and at least one epoxide moiety. For example, an optionally substituted alkyl or cycloalkyl (alicyclic) compound having 1, 2, 3, 4 or more epoxide moieties. These compounds may have various substituents in addition to epoxy, and examples thereof include halogen (F, Cl, Br, I), especially fluorine; haloalkyl, especially halo (C 1-10 Alkyl), especially fluoro (C 1-10 Alkyl), for example -CF 3 , -CH 2 CF 3 Carboxy; alkanoyl such as formyl, acetyl, etc. C 1-12 Alkanoyl; hydroxy; nitro; alkoxy, eg C 1-12 Alkoxy; alkylthio, eg C 1-12 Examples include alkylthio. Aromatic compounds such as phenyl compounds with epoxide substituents can also be used.
[0033]
Examples of suitable epoxy-containing components for use in the processing layer composition of the present invention include bisphenol A diglycidyl ether, epoxy novolac, glycidyl poly (hydroxystyrene), poly (glycidyl acrylate), poly (glycidyl methacrylate), and the like. Can be mentioned.
[0034]
The processing layer composition is preferably a crosslinkable composition, including, for example, a component that crosslinks itself or crosslinks with one or more components in the composition. Such a processing layer is preferably crosslinked by heat treatment. That is, after applying a coating of the processing layer on the substrate, the coating layer is heat treated, for example at 100 ° C. to 250 ° C. for 30 seconds to 120 seconds, or at other temperatures and / or times such that crosslinking occurs. Heat treatment. The processing layer composition includes an acid generator such as an acid or a thermal acid generator compound (that is, a compound that generates an acid by heat treatment) together with an amine-based raw material such as a melamine resin or a benzoguanamine resin. Preferably it is. This amine-based raw material can be crosslinked with other composition components, for example, a resin containing a hydroxy group and / or an epoxy group.
[0035]
Alternatively, non-crosslinkable processing layer compositions can also be used, in which case one or more of the composition components cause a bond-forming reaction almost even after application of the composition to a substrate. Absent.
[0036]
The processing layer composition is preferably formulated as a liquid coating composition as in the case of the photoresist composition. In that case, one or more processing layer composition components are mixed into a suitable organic solvent carrier such as ethyl lactate, cyclohexanone, 2-heptanone, propylene glycol methyl ether acetate, and the like. The processing layer composition thus formulated is then applied to the surface of the substrate, preferably by spin coating, and then the solvent is removed, and if the composition is a crosslinked system, the composition is crosslinked, for example, by heat treatment. . The thickness of the coating layer to be applied is set to the desired value as described above, for example, by adjusting the rotation speed during the spin coating process, the solid content and the viscosity when the liquid coating composition is blended. To do. Following that, a coating layer of a photoresist composition may be applied over the processing layer.
[0037]
The photoresist used in the resist system of the present invention may be positive or negative. In general, a positive resist is preferred, but a chemically amplified photoresist containing one or more deblocking components is particularly preferred.
[0038]
The chemically amplified positive photoresist preferably contains a polymer component unstable to an acid generated by light (hereinafter abbreviated as “photoacid”), but this component is unstable to a photoacid. One or more repeating units comprising a moiety, for example a photoacid labile ester or acetal group, are included. Particularly preferred photoacid-labile groups are those shown below.
[0039]
The photoresist resin used in the resist system of the present invention is appropriately changed depending on the exposure wavelength used. For example, as a photoresist for forming an image with a wavelength longer than 200 nm, that is, for example, 248 nm, the resist resin preferably contains an aromatic repeating unit, particularly a phenol unit. In the case of a photoresist imaged at less than 200 nm, especially 193 nm, the resist resin is preferably substantially free of aromatic groups (eg, 5, 4, 3, 2 or Preferably, less than 1 mole percent is aromatic) and the resin contains polymerized norbornyl units, for example alicyclic units such as norbornyl / acrylate copolymers. As a resist for image formation at 157 nm, for example, it is obtained by polymerizing a fluorinated olefin such as tetrafluoroethylene (TFE), chlorotrifluoroethylene, hexafluoropropylene, trifluoroethylene, vinylidene fluoride, vinyl fluoride and the like. Those having a fluorine substituent such as those obtained are preferred. A suitable photoresist for imaging at wavelengths longer than 200 nm, particularly 248 nm, is disclosed in US Pat. No. 5,879,856. A suitable photoresist for imaging at 193 nm is disclosed in US Pat. No. 6,306,554. A suitable photoresist for image formation at 157 nm is disclosed in International Patent Publication No. WO / 0221212A2. These patent specifications disclose suitable methods for synthesizing the resins disclosed herein.
[0040]
The photoacid-labile group of the resin used in the photoresist is a photoacid-labile acyclic (aliphatic) ester or an ester having a tertiary alicyclic group, such as t-butyl ester. Etc. are preferable. Such photoacid-labile esters may be directly pendant to the main chain of the resin or to other polymer units such as carbon alicyclic groups, heteroalicyclic groups or other polymer units. (For example, a photoacid-labile group of the form -C (= O) OR and R is a t-butyl group or other acyclic alkyl group or a tertiary alicyclic group, Or the ester moiety may be separated from the main chain of the polymer or other polymer unit, for example by an alkane bond which may have a substituent (e.g. -(CH 2 ) 0-2 C (= O) OR, wherein R is t-butyl or other acyclic alkyl group or tertiary alicyclic group). Such a photoacid-labile group may have a fluorine substituent at an appropriate position.
[0041]
Preferable examples of the tertiary alicyclic hydrocarbon ester group unstable to a photoacid include a polycyclic group such as an adamantyl, ethylphensil or tricyclodecanyl group. When reference is made herein to a “tertiary alicyclic ester group” or similar terms, the carbon of the ring of the tertiary alicyclic group is covalently bonded to the ester oxygen, ie, —C (= O) -TR ′ means that T here is the tertiary ring carbon of the alicyclic group R ′. At least in many cases, it is preferred that the tertiary ring carbon of the alicyclic structure be covalently bonded to the ester oxygen. However, the tertiary carbon attached to the oxygen of the ester may also be exocyclic relative to the alicyclic ring; typically, the alicyclic ring is an exocyclic tertiary carbon. This is the case for one of the substituents. In general, the tertiary carbon attached to the ester oxygen is the alicyclic ring itself and / or, for example, from 1 to about 12, more preferably from 1 to about 8, more preferably from 1, 2, It may be substituted with one, two or three of the alkyl groups consisting of 3 or 4 carbons. The alicyclic group preferably does not contain an aromatic substituent. The alicyclic group may be monocyclic or polycyclic, but is preferably a bicyclic or tricyclic group.
[0042]
The alicyclic part of the ester group unstable to the photoacid of the polymer of the present invention (for example, TR ′ group of —C (═O) —TR ′) is preferably somewhat bulky. It has been found that the use of such bulky alicyclic groups in the copolymers of the present invention improves resolution.
[0043]
More specifically, the photoacid-labile ester group alicyclic group preferably has a molecular volume of at least about 125 or about 130 cubic angstroms, and at least about 135, 140, 150, 155, 160, A molecular volume of 165, 170, 175, 180, 185, 190, 195 or 200 cubic angstroms is more preferable. For at least some applications, it is undesirable for the size of the alicyclic group to exceed about 220 or 250 cubic angstroms. Where reference is made herein to molecular volume, it is the volume determined from the optimal chemical bond distance and angle using standard computer models. For the contents described here, the computer program that was used to calculate the molecular volume is Alchemy 2000 (sold by Tripos). For a more detailed discussion of computing molecular size by computer, see T.W. See Omot et al., Polymers for Advanced Technologies, Volume 4, pages 277-287.
[0044]
Particularly preferable examples of the tertiary alicyclic group of the photoacid-labile unit include the following, but the wavy line here represents the bond of the ester group to the carboxyl oxygen, and R is a substituted group. Optionally substituted alkyl, especially C such as methyl, ethyl 1-6 Alkyl is preferred.
[0045]
[Chemical 1]
Figure 2005106837
[0046]
The photoacid-labile polymer may contain a photoacid-labile group that does not have an alicyclic structure. For example, the polymer of the present invention may contain a photoacid-labile ester unit, such as a photoacid-labile alkyl ester. In general, carboxyl oxygens in photoacid-labile esters (ie, —C (═O) O (Underlying carboxylic oxygen) is covalently bonded to the quaternary carbon. Branched photoacid-labile esters are generally preferred, such as t-butyl or -C (CH 3 ) 2 CH (CH 3 ) 2 Etc. are preferable.
[0047]
In this regard, the photoacid-labile polymer used in the resist of the resist system of the present invention may contain different groups that are photoacid-labile, i.e., the polymer comprises an ester moiety. For example, one ester group has an alicyclic group, and the other ester has an acyclic group, for example, t-, so that the substituent may contain two or more different ester groups. Butyl may be attached or the polymer may contain other functional groups that are unstable to photoacids such as acetals, ketals and / or ethers with both esters.
[0048]
As described so far, various polymers and additive components may have a substituent. A “substituted” substituent may be substituted with one or more suitable substituents at one or more positions, generally 1, 2 or 3 positions, but such substitutions Examples of the group include halogen (particularly fluorine); cyano; C 1-6 Alkyl; C 1-6 Alkoxy; C 1-6 Alkylthio; C 1-6 Alkylsulfonyl; C 2-6 Alkenyl; C 2-6 Alkynyl; hydroxyl; nitro; alkanoyl, ie, for example C such as acyl 1-6 Examples include alkanoyl.
[0049]
The polymer used in the resist and processing layer composition can be appropriately prepared according to the methods disclosed in the above-cited patent documents. One suitable method is to use an addition reaction, for example free radical polymerization, in which the monomers selected to constitute the various units described above are combined in the presence of a radical initiator. Under inert atmosphere (eg N 2 Or in argon) at elevated temperatures, for example at about 70 ° C. or higher, where the reaction temperature depends on the reactivity of the individual reactants used and, if a solvent is used, the boiling point of the reaction solvent. It may be changed according to. Preferred as the reaction solvent is a halogenated solvent such as a fluorinated solvent or a chlorinated solvent. Suitable reaction temperatures for each system can be readily determined experimentally by those skilled in the art based on the present disclosure. Various free radical initiators can be used. For example, an azo compound such as azobis-2,4-dimethylpentanenitrile can be used. Peroxides, peresters, peracids, persulfates and the like can also be used.
[0050]
Suitable monomers used to prepare photoresist resist and processing layer compositions in the system of the present invention include, for example, acrylates.
[0051]
The photoresist used in the system of the present invention can also contain a photoactive component, preferably one or more photoacid generators (ie, PAGs), which are exposed to radiation-sensitive exposure. A sufficient amount is used to form a latent image on the coating layer. Suitable PAGs for imaging at 157 nm, 193 nm and 248 nm include imidosulfonates, which are for example compounds of the formula
[0052]
[Chemical 2]
Figure 2005106837
[0053]
Where R is camphor, adamantane, alkyl (eg C 1-12 Alkyl) and perfluoroalkyl such as perfluoro (C 1-12 Alkyl) and the like, and perfluorooctane sulfonate and perfluorononane sulfonate are particularly preferable. A particularly preferred PAG is N-[(perfluorooctanesulfonyl) oxy] -5-norbornene-2,3-dicarboximide.
[0054]
Sulfonate compounds are also suitable PAGs, particularly sulfonate salts that can be suitably used in both photoresists and processing layer compositions. Two compounds suitable for image formation at 193 nm and 248 nm are PAG1 and PAG2 of the following formulas.
[0055]
[Chemical 3]
Figure 2005106837
[0056]
[Formula 4]
Figure 2005106837
[0057]
Such sulfonate compounds can be prepared by the method disclosed in European Patent Application No. 96118111.2 (Publication No. 078136), which details the synthesis of PGA1 above. Yes.
[0058]
A complex compound between the above-mentioned two types of iodonium compounds and an anion other than the above-described camphorsulfonate group is also suitable. Specifically, such suitable anions include the formula RSO 3 What is shown by-. Where R is adamantane, alkyl (eg C 1-12 Alkyl) and perfluoroalkyl such as perfluoro (C 1-12 Alkyl) and the like, and perfluorooctane sulfonate and perfluorobutane sulfonate are particularly preferable.
[0059]
For imaging at 157 nm, triphenylsulfonium PAG complexed with the above sulfonate anions, in particular perfluoroalkyl sulfonates such as perfluorobutane sulfonate, is particularly preferred.
[0060]
Other known PAGs can also be used for the resist of the present invention. In particular, as a PAG for forming an image at 193 nm, in general, a PAG that does not contain an aromatic group such as the above-mentioned imidosulfonate is preferable in order to improve the transparency.
[0061]
Preferred additives for the resist used in the system of the present invention include added bases, particularly tetrabutylammonium lactate, which can improve the resolution of the developed resist relief image. it can. Other suitable added bases include diazabicycloundecene and diazabicyclononene. The added base may be used in a relatively small amount, for example, about 0.03 to 5% by weight based on the total solid content.
[0062]
The photoresist used in the system of the present invention may also contain any other material. For example, other optional additives include an anti-striation agent, a plasticizer, an accelerator, and the like. Such optional additives are usually present in low concentrations in the photoresist composition.
[0063]
The photoresist used in the system of the present invention can be easily prepared by those skilled in the art. For example, the photoresist composition of the present invention can be prepared by dissolving the components of the photoresist in a suitable solvent. Examples of such a solvent include 2-heptanone, cyclohexanone, ethyl lactate, and propylene glycol. Examples include monomethyl ether and propylene glycol monomethyl ether acetate. The solids content of the composition typically varies from about 2% to 20% by weight of the total weight of the photoresist composition. The resin and photoactive component must be used in amounts sufficient to form a film coating layer and to form high quality latent and relief images.
[0064]
As mentioned earlier, the coating of the underlying processing layer is preferably a silicon wafer or silicon dioxide, SiON or Si for producing a microprocessor. 3 N 4 It can be applied by spin coating on silicon wafers coated with or on other microelectronic device substrates. The applied processing layer is then heat treated to remove the solvent carrier. Crosslinking may be formed by this heat treatment.
[0065]
The photoresist is applied over the processing layer coating by spin coating or other conventional coating methods. As with the processing layer composition, when spin coating, the solids content of the resist coating solution is adjusted to obtain a film of the desired thickness, depending on the particular spin coating equipment used, the solution The viscosity can be determined by the spinner speed, the time available for spinning, and the like.
[0066]
After coating the photoresist on the processing composition layer, the resist coating layer is suitably dried by heating to remove the solvent, preferably so that the photoresist coating is at least substantially free of solvent. To do. Next, an image is formed through a mask according to a conventional method. Sufficient exposure is performed to effectively activate the photoactive component of the photoresist system to form a pattern image on the resist coating layer. More specifically, the exposure energy is determined by the exposure apparatus and the photoresist composition. Generally, about 1 to 100 mJ / cm, depending on the components of the product 2 Range.
[0067]
As described above, the coating layer of the resist composition is preferably photoactivated at a short exposure wavelength, particularly at an exposure wavelength of less than 300 nm or less than 200 nm. 248 nm, 193 nm and 157 nm are preferred exposure wavelengths. However, this resist composition is also suitable for forming images at longer wavelengths.
[0068]
After exposure, the film layer containing the composition is preferably baked at a temperature in the range of about 70 ° C to about 170 ° C. The film is then developed. The resist film thus exposed is subjected to positive development using a polar developer. For this purpose, an aqueous basic developer is preferably used, for example, a quaternary ammonium hydroxide solution such as water. Tetraalkylammonium oxide solution, preferably 0.26N tetramethylammonium hydroxide aqueous solution; or various amine solutions such as ethylamine, n-propylamine, diethylamine, di-n-propylamine, triethylamine, or methyldiethylamine; alcohol Solutions containing amines such as diethanolamine, triethanolamine; cyclic amines such as pyrrole, pyridine and the like are used. In general, development follows methods known in the art.
[0069]
After developing the photoresist coating on the substrate, it becomes possible to selectively process the areas exposed from the resist on the surface under the developed layer, for example, in the areas of the substrate exposed from the resist, Chemical etching or plating is performed according to a method known in the art. Suitable etchants for producing microelectronic substrates, for example silicon dioxide wafers, are gaseous etchants, for example halogen plasma etchants, for example chlorine-based or fluorine-based etchants, Cl used as plasma streams. 2 Or CF 4 / CHF 3 An etching agent etc. are mentioned. After such processing, the resist is removed from the processed substrate using known stripping means.
[0070]
【Example】
All documents mentioned herein are incorporated by reference and incorporated herein by reference. The following examples are provided to illustrate the present invention and are not intended to limit the present invention.
[0071]
Example 1: Preparation of resist system and lithographic processing
The processing layer composition was prepared by mixing an acrylate polymer having hydroxyethyl acrylate as a polymer unit blended in an ethyl lactate solvent. This composition was spin coated onto an 8-inch SiON wafer on a Polaris 2000 coating track and baked at 215 ° C. for 60 seconds to obtain a dry coating layer having a thickness of about 25 nm.
[0072]
Triphenylsulfonium perfluorobutane sulfonate, a photoacid generator compound; tetrafluoroethylene (TFE), norbornene substituted with hexafluoroisopropanol, and a photoacid obtained by polymerizing monomers comprising t-butyl acrylate. By spin-coating a photoresist composition comprising a stable polymer; tetrabutylammonium lactate as a basic additive; and the solvent 2-heptanone onto the processing composition layer and baking at 145 ° C. for 60 seconds. The solvent was removed. The thus coated wafer is exposed with an Exitec 157 nm stepper (0.60 NA, 0.70σ) in a test line and space pattern, and after exposure at 105 ° C. for 60 seconds, it is baked before shipping to Shipley. Development was carried out over 60 seconds using an aqueous alkaline developer commercially available from Company. The developed wafer can be evaluated for its imaging pattern using a top-down scanning electron microscope.
[0073]
Example 2: Other resist systems
A processing layer composition was prepared by mixing the following components.
An epoxy novolac polymer; a tetramethoxymethylglycoluril as a cross-linking agent; p-toluenesulfonic acid (acid source for cross-linking); a surfactant; and a solvent containing ethyl lactate, cyclohexanone and propylene glycol methyl ether.
[0074]
This processing layer composition was applied onto an 8-inch Si wafer by spin coating. The applied processing layer was baked for 90 seconds to remove the solvent and allow the layer to crosslink. A dry layer about 200 Å thick was obtained.
[0075]
On the crosslinked processing layer, the following photoresist composition was applied by spin coating. This photoresist is prepared by mixing the following ingredients:
Fluorinated terpolymer obtained by polymerizing tetrafluoroethylene, tetrabutyl acrylate and hydroxynorbornene (polymer is present in an amount of 9.434% by weight of the total resist components): triphenylsulfonium, a photoacid generator Perfluorobutane sulfonate (PAG present in an amount of 0.52% by weight of the total resist component); basic additive (present in an amount of 0.036% by weight of the total resist component); surfactant (total resist component) And 2-heptanone as a solvent (present in an amount of 90% by weight of the total resist components).
[0076]
The applied resist layer is soft baked for 60 seconds to remove the solvent; radiation dose 50 mJ / cm using 157 nm patterned radiation 2 Post-exposure baking at about 105 ° C. for 60 seconds; and development with aqueous alkaline developer. A 100 nm line & space (1: 1) was obtained with high resolution.
[0077]
The same photoresist was processed in the same manner, except that it was coated directly on the Si wafer (ie, without using a processing layer underneath). The same radiation dose at a wavelength of 157 nm (50 mJ / cm 2 ) And then developing, a relief image was obtained that had inferior resolution (especially in terms of the footing of the relief image) compared to the above results using the processing layer.
[0078]
Example 3: Other additional resist systems
A processing layer composition was prepared by mixing the following ingredients:
Copolymers of hydroxymethyl methacrylate and methyl acrylate; cross-linking agent tetramethoxymethyl glycouril; thermal acid generator [Nacure 5225, King Industries, Norwalk, Conn.]; Dimethyl adipate; An agent; and a solvent containing methyl-2-hydroxyisobutyrate.
[0079]
This processing layer composition was applied onto an 8-inch Si wafer by spin coating. The applied processing layer was baked for 90 seconds to remove the solvent and allow the layer to crosslink. A dry cross-linked layer having a thickness of about 200 Å was obtained.
[0080]
On the crosslinked processing layer, the same photoresist composition as that shown in Example 2 was applied by spin coating.
[0081]
The applied resist layer is soft baked for 60 seconds to remove the solvent; 157 nm patterned radiation, radiation dose 50 mJ / cm 2 Post-exposure baking at about 105 ° C. for 60 seconds; and development with aqueous alkaline developer. A 100 nm line & space (1: 1) was obtained with high resolution.
[0082]
The same photoresist was processed in the same manner, except that it was coated directly on the Si wafer (ie, without using a processing layer underneath). The same radiation dose at a wavelength of 157 nm (50 mJ / cm 2 ) And then developing, a relief image was obtained in which the resolution was remarkably inferior (particularly the adhesion to the substrate was impaired) compared to the above results using the processing layer.
[0083]
The above description of the present invention is merely illustrative of the present invention, and changes and modifications can be made without departing from the spirit and scope of the present invention apparent from the description of the scope of claims. Needless to say.
[0084]
【The invention's effect】
The present invention relates to a novel photoresist system comprising a resist composition that can be photoactivated at short exposure wavelengths, particularly exposure wavelengths of less than 300 nm or less than 200 nm, more specifically exposure wavelengths of 248 nm, 193 nm and 157 nm. The present invention provides a novel photoresist system comprising a lower processing (or barrier) layer and a photoresist layer coated thereon. The system of the present invention can exhibit a remarkable adhesion to SiON and other inorganic surface layers, and can obtain a relief image with excellent resolution (especially in terms of footing of the relief image). Has a remarkable effect.

Claims (46)

無機表面層と、該無機表面層の上層の有機プロセシング組成物層、及び該プロセシング組成物層の上層のフォトレジスト組成物層を含んでなる、マイクロエレクトロニクスデバイス基板。  A microelectronic device substrate comprising an inorganic surface layer, an organic processing composition layer as an upper layer of the inorganic surface layer, and a photoresist composition layer as an upper layer of the processing composition layer. 無機表面層が酸窒化ケイ素層である、請求項1に記載の基板。  The substrate according to claim 1, wherein the inorganic surface layer is a silicon oxynitride layer. 無機表面層が窒化ケイ素層である、請求項1に記載の基板。  The substrate according to claim 1, wherein the inorganic surface layer is a silicon nitride layer. 無機表面層がケイ素酸化物でキャップされている、請求項1〜3の何れか1項に記載の基板。  The substrate according to claim 1, wherein the inorganic surface layer is capped with silicon oxide. プロセシング組成物層が、1又は複数の、窒素、酸素又は硫黄原子を有する材料を含んでなる、請求項1〜4の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 4, wherein the processing composition layer comprises one or more materials having nitrogen, oxygen or sulfur atoms. プロセシング組成物層がヒドロキシ基又はエポキシ基を有する材料を含んでなる、請求項1〜5の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 5, wherein the processing composition layer comprises a material having a hydroxy group or an epoxy group. プロセシング組成物層が、1又は複数の、窒素、酸素又は硫黄原子を有する樹脂を含んでなる、請求項1〜6の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 6, wherein the processing composition layer comprises one or more resins having nitrogen, oxygen or sulfur atoms. プロセシング組成物層が架橋されている、請求項1〜7の何れか1項に記載の基板。  The substrate according to claim 1, wherein the processing composition layer is crosslinked. プロセシング組成物層が酸又は酸発生剤化合物を含んでなる、請求項1〜8の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 8, wherein the processing composition layer comprises an acid or an acid generator compound. プロセシング組成物層の厚みが少なくとも約15nmである、請求項1〜9の何れか1項に記載の基板。  10. A substrate according to any one of claims 1 to 9, wherein the thickness of the processing composition layer is at least about 15 nm. プロセシング組成物層の厚みが少なくとも約20nmである、請求項1〜9の何れか1項に記載の基板。  10. A substrate according to any one of claims 1 to 9, wherein the thickness of the processing composition layer is at least about 20 nm. プロセシング組成物層の厚みが少なくとも約25nmである、請求項1〜9の何れか1項に記載の基板。  10. A substrate according to any one of claims 1 to 9, wherein the thickness of the processing composition layer is at least about 25 nm. プロセシング組成物層の厚みが約100nm以下である、請求項10〜12の何れか1項に記載の基板。  The substrate according to any one of claims 10 to 12, wherein the thickness of the processing composition layer is about 100 nm or less. プロセシング組成物層の厚みが約50nm以下である、請求項10〜12の何れか1項に記載の基板。  The substrate according to any one of claims 10 to 12, wherein the thickness of the processing composition layer is about 50 nm or less. フォトレジスト組成物がポジ型の化学増幅型フォトレジストである、請求項1〜14の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 14, wherein the photoresist composition is a positive chemically amplified photoresist. フォトレジスト組成物がネガ型のフォトレジストである、請求項1〜14の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 14, wherein the photoresist composition is a negative photoresist. フォトレジスト組成物が、フェノール系ポリマー、実質的に芳香族基を含まないポリマー、及びフッ素化ポリマーから成る群より選択されるポリマーを含んでなる、請求項1〜16の何れか1項に記載の基板。  17. The photoresist composition of any one of claims 1 to 16, wherein the photoresist composition comprises a polymer selected from the group consisting of phenolic polymers, polymers that are substantially free of aromatic groups, and fluorinated polymers. Board. ポリマーがアクリレートのくり返し単位を含んでなる、請求項17に記載の基板。  The substrate of claim 17 wherein the polymer comprises repeat units of acrylate. ポリマーが光により発生した酸(以下、光酸と略す。)に不安定な基を含んでなる、請求項17又は18に記載の基板。  The substrate according to claim 17 or 18, wherein the polymer comprises an unstable group in an acid generated by light (hereinafter abbreviated as a photoacid). プロセシング層組成物が1種又は複数の有機成分を含んでなる、請求項1〜19の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 19, wherein the processing layer composition comprises one or more organic components. プロセシング層組成物が有機樹脂を含んでなる、請求項1〜20の何れか1項に記載の基板。  The substrate according to any one of claims 1 to 20, wherein the processing layer composition comprises an organic resin. フォトレジストレリーフ像を形成させる方法であって:
プロセシング組成物のコーティング層を無機表面層の上に塗布するステップ;
該プロセシング組成物のコーティング層の上にフォトレジストのコーティング層組成物を塗布するステップ;
塗布したフォトレジスト層をパターンを有する感放射線で露光させ、その露光させたフォトレジスト層を現像してフォトレジストのレリーフ像を得るステップ、を含んでなる方法。
A method of forming a photoresist relief image comprising:
Applying a coating layer of the processing composition on the inorganic surface layer;
Applying a photoresist coating layer composition over the processing composition coating layer;
Exposing the coated photoresist layer with radiation having a pattern and developing the exposed photoresist layer to obtain a relief image of the photoresist.
無機表面層が酸窒化ケイ素層である、請求項22に記載の方法。  23. The method of claim 22, wherein the inorganic surface layer is a silicon oxynitride layer. 無機表面層が窒化ケイ素層である、請求項22に記載の方法。  23. The method of claim 22, wherein the inorganic surface layer is a silicon nitride layer. 無機表面層がケイ素酸化物でキャップされている、請求項22〜24の何れか1項に記載の方法。  25. A method according to any one of claims 22 to 24, wherein the inorganic surface layer is capped with silicon oxide. 塗布したフォトレジスト層を、波長が300nm未満の放射線で露光させる、請求項22〜25の何れか1項に記載の方法。  26. The method according to any one of claims 22 to 25, wherein the coated photoresist layer is exposed with radiation having a wavelength of less than 300 nm. 塗布したフォトレジスト層を、波長が約248nmの放射線で露光させる、請求項22〜25の何れか1項に記載の方法。  26. A method according to any one of claims 22 to 25, wherein the applied photoresist layer is exposed with radiation having a wavelength of about 248 nm. 塗布したフォトレジスト層を、波長が200nm未満の放射線で露光させる、請求項22〜25の何れか1項に記載の方法。  26. The method according to any one of claims 22 to 25, wherein the coated photoresist layer is exposed with radiation having a wavelength of less than 200 nm. 塗布したフォトレジスト層を、波長が約193nm又は157nmの放射線で露光させる、請求項22〜25の何れか1項に記載の方法。  26. A method according to any one of claims 22 to 25, wherein the coated photoresist layer is exposed with radiation having a wavelength of about 193 nm or 157 nm. プロセシング組成物層が、1又は複数の、窒素、酸素又は硫黄原子を有する材料を含んでなる、請求項22〜29の何れか1項に記載の方法。  30. A method according to any one of claims 22 to 29, wherein the processing composition layer comprises one or more materials having nitrogen, oxygen or sulfur atoms. プロセシング組成物層がヒドロキシ基又はエポキシ基を有する材料を含んでなる、請求項22〜30の何れか1項に記載の方法。  31. A method according to any one of claims 22 to 30, wherein the processing composition layer comprises a material having a hydroxy group or an epoxy group. プロセシング組成物層が、1又は複数の、窒素、酸素又は硫黄原子を有する樹脂を含んでなる、請求項22〜31の何れか1項に記載の方法。  32. A method according to any one of claims 22 to 31 wherein the processing composition layer comprises one or more resins having nitrogen, oxygen or sulfur atoms. プロセシング組成物層が架橋されている、請求項22〜32の何れか1項に記載の方法。  33. A method according to any one of claims 22 to 32, wherein the processing composition layer is crosslinked. プロセシング組成物層が酸又は酸発生剤化合物を含んでなる、請求項22〜33の何れか1項に記載の方法。  34. A method according to any one of claims 22 to 33, wherein the processing composition layer comprises an acid or acid generator compound. プロセシング組成物層の厚みが少なくとも約15nmである、請求項22〜34の何れか1項に記載の方法。  35. A method according to any one of claims 22 to 34, wherein the thickness of the processing composition layer is at least about 15 nm. プロセシング組成物層の厚みが少なくとも約20nmである、請求項22〜34の何れか1項に記載の方法。  35. The method of any one of claims 22-34, wherein the thickness of the processing composition layer is at least about 20 nm. プロセシング組成物層の厚みが少なくとも約25nmである、請求項22〜34の何れか1項に記載の方法。  35. A method according to any one of claims 22 to 34, wherein the thickness of the processing composition layer is at least about 25 nm. プロセシング組成物層の厚みが約100nm以下である、請求項35〜37の何れか1項に記載の方法。  38. A method according to any one of claims 35 to 37, wherein the thickness of the processing composition layer is about 100 nm or less. プロセシング組成物層の厚みが約50nm以下である、請求項35〜37の何れか1項に記載の方法。  38. A method according to any one of claims 35 to 37, wherein the thickness of the processing composition layer is about 50 nm or less. フォトレジスト組成物がポジ型の化学増幅型フォトレジストである、請求項22〜39の何れか1項に記載の方法。  40. The method according to any one of claims 22 to 39, wherein the photoresist composition is a positive chemically amplified photoresist. フォトレジスト組成物がネガ型のフォトレジストである、請求項22〜39の何れか1項に記載の方法。  40. The method according to any one of claims 22 to 39, wherein the photoresist composition is a negative photoresist. プロセシング層組成物が1種又は複数の有機成分を含んでなる、請求項22〜41の何れか1項に記載の方法。  42. A method according to any one of claims 22 to 41, wherein the processing layer composition comprises one or more organic components. プロセシング層組成物が有機樹脂を含んでなる、請求項22〜42の何れか1項に記載の方法。  43. A method according to any one of claims 22 to 42, wherein the processing layer composition comprises an organic resin. フォトレジスト組成物が、フェノール系ポリマー、実質的に芳香族基を含まないポリマー、及びフッ素化ポリマーから成る群より選択されるポリマーを含んでなる、請求項22〜43の何れか1項に記載の方法。  44. The photoresist composition of any one of claims 22 to 43, wherein the photoresist composition comprises a polymer selected from the group consisting of phenolic polymers, polymers that are substantially free of aromatic groups, and fluorinated polymers. the method of. ポリマーがアクリレートくり返し単位を含んでなる、請求項44に記載の方法。  45. The method of claim 44, wherein the polymer comprises acrylate repeat units. ポリマーが光酸に不安定な基を含んでなる、請求項44又は45に記載の方法。  46. The method of claim 44 or 45, wherein the polymer comprises photoacid labile groups.
JP2003139625A 2003-04-11 2003-04-11 Photoresist system Expired - Fee Related JP4798938B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003139625A JP4798938B2 (en) 2003-04-11 2003-04-11 Photoresist system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003139625A JP4798938B2 (en) 2003-04-11 2003-04-11 Photoresist system

Publications (3)

Publication Number Publication Date
JP2005106837A true JP2005106837A (en) 2005-04-21
JP2005106837A5 JP2005106837A5 (en) 2006-03-02
JP4798938B2 JP4798938B2 (en) 2011-10-19

Family

ID=34532122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003139625A Expired - Fee Related JP4798938B2 (en) 2003-04-11 2003-04-11 Photoresist system

Country Status (1)

Country Link
JP (1) JP4798938B2 (en)

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0695363A (en) * 1992-09-11 1994-04-08 Toppan Printing Co Ltd Photomask blank, its production and photomask
JPH06118631A (en) * 1991-11-15 1994-04-28 Shipley Co Inc Antihalation composition
JPH06242596A (en) * 1993-02-15 1994-09-02 Toray Ind Inc Substrate with light shielding film and its production
JPH09218500A (en) * 1996-02-14 1997-08-19 Dainippon Printing Co Ltd Manufacture of resist patterns
JPH10111563A (en) * 1996-10-07 1998-04-28 Hitachi Ltd Pattern forming method, production of semiconductor device using same and radiation sensitive composition
JPH10204328A (en) * 1996-06-11 1998-08-04 Shipley Co Llc Antireflective coating composition
JPH11133618A (en) * 1997-02-07 1999-05-21 Shipley Co Llc Antireflection coating composition containing photo-acid producing agent
JPH11263806A (en) * 1998-03-17 1999-09-28 Clariant Japan Kk Light absorbing polymer and application thereof for antireflection film
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction
JP2001048859A (en) * 1999-06-26 2001-02-20 Hyundai Electronics Ind Co Ltd Anti-reflection organic polymer and its production
JP2002236370A (en) * 2001-02-08 2002-08-23 Semiconductor Leading Edge Technologies Inc Antireflection film composition and method for manufacturing semiconductor device
WO2002073307A2 (en) * 2001-03-13 2002-09-19 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
WO2002083415A1 (en) * 2001-04-17 2002-10-24 Brewer Science, Inc. Anti-reflective coating composition with improved spin bowl compatibility
WO2003010601A1 (en) * 2001-07-23 2003-02-06 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
WO2003034152A1 (en) * 2001-10-10 2003-04-24 Nissan Chemical Industries, Ltd. Composition for forming antireflection film for lithography
JP2003122018A (en) * 2001-10-18 2003-04-25 Shin Etsu Chem Co Ltd Surface treating agent for chemically amplifying resist pattern and method for forming pattern
US6610808B2 (en) * 1999-03-12 2003-08-26 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
JP2004519734A (en) * 2001-04-04 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド Silicon-containing acetal protective polymer and photoresist composition thereof

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06118631A (en) * 1991-11-15 1994-04-28 Shipley Co Inc Antihalation composition
JPH0695363A (en) * 1992-09-11 1994-04-08 Toppan Printing Co Ltd Photomask blank, its production and photomask
JPH06242596A (en) * 1993-02-15 1994-09-02 Toray Ind Inc Substrate with light shielding film and its production
JPH09218500A (en) * 1996-02-14 1997-08-19 Dainippon Printing Co Ltd Manufacture of resist patterns
JPH10204328A (en) * 1996-06-11 1998-08-04 Shipley Co Llc Antireflective coating composition
JPH10111563A (en) * 1996-10-07 1998-04-28 Hitachi Ltd Pattern forming method, production of semiconductor device using same and radiation sensitive composition
JPH11133618A (en) * 1997-02-07 1999-05-21 Shipley Co Llc Antireflection coating composition containing photo-acid producing agent
JPH11263806A (en) * 1998-03-17 1999-09-28 Clariant Japan Kk Light absorbing polymer and application thereof for antireflection film
US6610808B2 (en) * 1999-03-12 2003-08-26 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction
JP2001048859A (en) * 1999-06-26 2001-02-20 Hyundai Electronics Ind Co Ltd Anti-reflection organic polymer and its production
JP2002236370A (en) * 2001-02-08 2002-08-23 Semiconductor Leading Edge Technologies Inc Antireflection film composition and method for manufacturing semiconductor device
WO2002073307A2 (en) * 2001-03-13 2002-09-19 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
JP2004534107A (en) * 2001-03-13 2004-11-11 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド Thermoset underlayer for lithographic applications
JP2004519734A (en) * 2001-04-04 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド Silicon-containing acetal protective polymer and photoresist composition thereof
WO2002083415A1 (en) * 2001-04-17 2002-10-24 Brewer Science, Inc. Anti-reflective coating composition with improved spin bowl compatibility
WO2003010601A1 (en) * 2001-07-23 2003-02-06 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
JP2005507090A (en) * 2001-07-23 2005-03-10 アプライド マテリアルズ インコーポレイテッド Method for making an optical imaging high performance photomask
WO2003034152A1 (en) * 2001-10-10 2003-04-24 Nissan Chemical Industries, Ltd. Composition for forming antireflection film for lithography
JP2003122018A (en) * 2001-10-18 2003-04-25 Shin Etsu Chem Co Ltd Surface treating agent for chemically amplifying resist pattern and method for forming pattern

Also Published As

Publication number Publication date
JP4798938B2 (en) 2011-10-19

Similar Documents

Publication Publication Date Title
TWI443457B (en) Compositions comprising base-reactive component and processes for photolithography
JP4616931B2 (en) Photoresist composition
KR101979612B1 (en) Compositions comprising base-reactive component and processes for photolithography
JP6664440B2 (en) Photoresist containing ionic compound
KR101907483B1 (en) Compositions and processes for photolithography
TWI477915B (en) Coating compositions for use with an overcoated photoresist
JP5451962B2 (en) Coating composition for use with overcoated photoresist
TW200937132A (en) Compositions and processes for immersion lithography
TWI483083B (en) Compositions and processes for photolithography
JP2004163877A (en) Novel resin and photoresist composition containing the same
JP2005509177A (en) Photoresist composition for short wavelength image formation
JP6232406B2 (en) Photolithographic method
JP6310870B2 (en) Compositions and photolithographic methods comprising hetero-substituted carbocyclic aryl components
JP2018159941A (en) Overcoat compositions and methods for photolithography
JP2004038141A (en) Photoresist composition
US8012670B2 (en) Photoresist systems
JP4798938B2 (en) Photoresist system
JP2005234584A (en) Photoresist composition

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090327

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091008

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091106

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100602

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100721

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100813

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110131

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees