JP2003203900A - Wafer-processing device and wafer-processing method - Google Patents

Wafer-processing device and wafer-processing method

Info

Publication number
JP2003203900A
JP2003203900A JP2002303299A JP2002303299A JP2003203900A JP 2003203900 A JP2003203900 A JP 2003203900A JP 2002303299 A JP2002303299 A JP 2002303299A JP 2002303299 A JP2002303299 A JP 2002303299A JP 2003203900 A JP2003203900 A JP 2003203900A
Authority
JP
Japan
Prior art keywords
wafer
nozzle
liquid
edge
back surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002303299A
Other languages
Japanese (ja)
Inventor
Shinya Yamazaki
進也 山▲崎▼
Hidemitsu Aoki
秀充 青木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2002303299A priority Critical patent/JP2003203900A/en
Publication of JP2003203900A publication Critical patent/JP2003203900A/en
Pending legal-status Critical Current

Links

Landscapes

  • Application Of Or Painting With Fluid Materials (AREA)
  • Coating Apparatus (AREA)
  • Weting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a device for removing or cleaning a metal film or a contaminated metal which adheres to an edge of a wafer. <P>SOLUTION: This device comprises a wafer-holding mechanism for rotating and simultaneously holding a wafer 10, a surface nozzle 14 for supplying pure water to a central surface of the wafer, an edge nozzle 18 for supplying an etching agent or a cleaning agent to a peripheral surface of the wafer, and a back nozzle 16 for supplying the etching agent or the cleaning agent to the center of the back of the wafer. The surface nozzle 14 and the edge nozzle 18 exist on a surface side of the wafer and inject different liquid chemicals, respectively. A liquid injected from the back nozzle 16 is prevented from infiltrating into the back. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】この発明は、半導体デバイス
の製造工程において用いられるエッチング除去方法およ
び装置に関し、さらには半導体デバイスの製造工程にお
いて用いられる洗浄方法および装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an etching removal method and apparatus used in a semiconductor device manufacturing process, and more particularly to a cleaning method and apparatus used in a semiconductor device manufacturing process.

【0002】[0002]

【従来の技術】ウェハ上に半導体デバイスを作製する場
合に、不要なあるいは不所望な材料をエッチングで除去
したり、ウェハ上あるいはデバイス上に付着した汚染物
を洗浄することが一般に行われている。
2. Description of the Related Art In the case of manufacturing a semiconductor device on a wafer, it is common practice to remove unnecessary or undesired materials by etching and clean contaminants adhering to the wafer or device. .

【0003】このような除去や洗浄において、特にウェ
ハの表面周辺、端面、裏面周辺、裏面に存在する不所望
な材料を除去したり、あるいは汚染物を除去したりする
ことが要求されることがある。ここで、端面とはウェハ
の外周の側面を指し、表面周辺とはウェハ表面でデバイ
ス形成領域と端面との間の領域を指し、裏面周辺とはウ
ェハ裏面で不所望な金属膜が形成された領域を指す。
In such removal and cleaning, it is particularly required to remove undesired materials existing around the front surface, edge surface, back surface periphery, and back surface of the wafer, or to remove contaminants. is there. Here, the end surface refers to the side surface of the outer periphery of the wafer, the front surface periphery refers to a region between the device formation region and the end surface on the wafer surface, and the back surface periphery includes an undesired metal film formed on the back surface of the wafer. Refers to the area.

【0004】例えば配線材料としてAlに代えて導電率
の高いCuが用いられる場合に、Cu配線は、SiO2
膜上に溝を形成し、電界メッキによりCu成膜した後、
化学機械研磨(CMP)により形成されることが多い。
これは、いわゆるダマシン法と呼ばれているCu配線形
成方法である。
For example, when Cu having a high conductivity is used as the wiring material instead of Al, the Cu wiring is made of SiO 2
After forming a groove on the film and forming a Cu film by electroplating,
Often formed by chemical mechanical polishing (CMP).
This is a Cu wiring forming method called a so-called damascene method.

【0005】このようなCu配線の形成は、具体的に
は、SiO2 膜に溝を形成し、Cuの拡散を防止するた
めのバリアメタル(例えば、Ta、TaNなど)をスパ
ッタ成膜し、続いてシードCuをスパッタ成膜し、電解
メッキによりCuを成膜している。電解メッキは、ウェ
ハ表面上にデバイス形成領域を囲むリングを設け、リン
グの内側にメッキ液を注入して行われる。しかし、リン
グからメッキ液が漏れると、リング外、すなわちウェハ
の表面周辺にCuが成膜されてしまう。このようなCu
膜は不所望な材料である。というのは、メッキされたC
uとSiO2 とは密着性が低いので、ウェハ表面周辺の
Cu膜は、その後の成膜工程などにおいて膜の応力など
により膜剥がれが生じ、ラインの汚染を生じるからであ
る。したがって、このようなウェハ表面周辺に形成され
たCu膜は除去しなければならない。
To form such Cu wiring, specifically, a groove is formed in the SiO 2 film and a barrier metal (for example, Ta, TaN, etc.) for preventing Cu diffusion is formed by sputtering. Subsequently, a seed Cu film is formed by sputtering, and a Cu film is formed by electrolytic plating. Electrolytic plating is performed by providing a ring surrounding a device formation region on the wafer surface and injecting a plating solution inside the ring. However, if the plating solution leaks from the ring, Cu is deposited outside the ring, that is, around the surface of the wafer. Such Cu
Membranes are unwanted materials. Because the plated C
This is because the adhesion between u and SiO 2 is low, so that the Cu film around the wafer surface is peeled off due to stress of the film in the subsequent film forming process and the like, resulting in line contamination. Therefore, the Cu film formed around the wafer surface must be removed.

【0006】さらに、CMP後は、ウェハは、研磨屑で
あるCuで汚染される。このようなCuは、その後の加
熱処理によって、Si基板およびSiO2 膜内を拡散
し、デバイス領域に達すると半導体デバイスの性能に悪
影響を及ぼす原因となる。ウェハであるSi基板の表面
周辺、端面、裏面に付着したCuは剥がれにくく、した
がって洗浄で除去しなければならない。
Further, after CMP, the wafer is contaminated with Cu, which is polishing dust. Such Cu diffuses in the Si substrate and the SiO 2 film by the subsequent heat treatment, and when it reaches the device region, it causes a bad influence on the performance of the semiconductor device. Cu adhered to the periphery of the front surface, the end surface, and the back surface of the Si substrate, which is a wafer, is difficult to peel off and therefore must be removed by cleaning.

【0007】以上の工程に用いられるウェハのサイズが
例えば8インチの場合、デバイス形成領域の端からウェ
ハ端面までの距離は例えば約5mmである。デバイス形
成領域を大きくするためには、SiO2 膜をさらにウェ
ハ端面から1.5〜2mmにまで近づけて形成すること
が望ましい。このような場合、シードCuを全面スパッ
タにより成膜すると、シードCuはウェハの表面周辺か
ら端面に回り込んで裏面周辺にまで成膜される。次工程
の電解メッキ時に、リングからメッキ液が漏れて表面周
辺から端面へ回り込んで、Cu膜がシードCu上に形成
される。
When the size of the wafer used in the above process is, for example, 8 inches, the distance from the edge of the device forming region to the wafer end surface is, for example, about 5 mm. In order to increase the device formation area, it is desirable to further form the SiO 2 film closer to the wafer end surface by 1.5 to 2 mm. In such a case, when the seed Cu is deposited by the entire surface sputtering, the seed Cu is deposited around the front surface of the wafer to the end face and even around the back surface. At the time of electrolytic plating in the next step, the plating liquid leaks from the ring and wraps around from the surface periphery to the end face to form a Cu film on the seed Cu.

【0008】このCu膜はシードCuの上に形成される
ので剥がれのおそれはないが、ウェハの端面にCuが付
着されていると、このCuがウェハのキャリアやロボッ
トアームに付着し、搬送系でクロス汚染を生じる。した
がってウェハ端面に付着したCuは除去しておくことが
要求される。
Since this Cu film is formed on the seed Cu, there is no fear of peeling. However, if Cu is attached to the end surface of the wafer, this Cu will attach to the carrier of the wafer or the robot arm and the transfer system It causes cross pollution. Therefore, it is required to remove Cu attached to the wafer end surface.

【0009】デバイス形成領域外の周辺の距離が1.5
〜2mmといったように極めて小さいので、この除去は
制御性が良くなければならない。このことは、CMP後
に、ウェハの表面周辺、端面、裏面に付着した汚染Cu
を洗浄する際にも同様である。
The peripheral distance outside the device formation region is 1.5
Since it is extremely small, such as ~ 2 mm, this removal must be well controlled. This means that after CMP, contamination Cu adhered to the periphery of the wafer, the end surface, and the back surface of the wafer.
The same applies when washing the.

【0010】以上のようにウェハの表面周辺、端面、裏
面の不所望なCu膜、汚染Cuを、除去あるいは洗浄す
るには、以下の従来技術がある。
As described above, there are the following conventional techniques for removing or cleaning the undesired Cu film and the contaminated Cu on the front surface, the end surface, and the back surface of the wafer.

【0011】図25は、従来技術の一例を示す。この従
来技術では、ウェハ10のデバイス形成領域にFPM
(HF/H22 /H2 Oの混合液)耐性のある保護膜
を成膜し、ウェハ全体をエッチング液FPM中に浸漬し
て保護膜形成領域以外を、洗浄するものである。その
後、保護膜12を除去する。
FIG. 25 shows an example of the prior art. In this conventional technique, the FPM is formed in the device formation area of the wafer 10.
(HF / H 2 O 2 / H 2 O mixed solution) A protective film having resistance is formed, and the entire wafer is immersed in the etching solution FPM to clean the area other than the protective film forming area. After that, the protective film 12 is removed.

【0012】図26は、従来技術の他の例を示す。この
従来技術では、ウェハ10の表面を下向きにしてウェハ
を回転させ、ウェハ裏面側にエッチング液FPMを供給
しながら、ウェハ表面側に窒素などの気体を供給する。
窒素などの気体によってウェハ表面を保護しながら、端
面に回り込むFPMを制御してウェハ裏面と、ウェハ表
面周辺を洗浄するものである。
FIG. 26 shows another example of the prior art. In this conventional technique, the wafer is rotated with the front surface of the wafer 10 facing downward, and a gas such as nitrogen is supplied to the front surface of the wafer while supplying the etching solution FPM to the rear surface of the wafer.
While protecting the wafer surface with a gas such as nitrogen, the FPM that wraps around the end surface is controlled to clean the wafer back surface and the wafer surface periphery.

【0013】[0013]

【発明が解決しようとする課題】図25の従来技術は、
ウェハ表面保護膜の成膜においては、保護膜を表面周辺
に成膜させない工夫が必要となり、また保護膜の除去に
おいては、ウェハ表面に形成されている半導体デバイス
配線材料などにダメージを与えないことが必須となる。
レジストを保護膜として処理する場合には、工程数が増
えるという問題が生じ、また、配線材料などにダメージ
を与えることなく保護膜を除去するのは難しい。
The conventional technique of FIG. 25 is as follows.
When forming a wafer surface protective film, it is necessary to devise a method that does not form a protective film around the surface. Also, when removing the protective film, do not damage the semiconductor device wiring material, etc. formed on the wafer surface. Is required.
When the resist is used as a protective film, there is a problem that the number of steps is increased, and it is difficult to remove the protective film without damaging the wiring material or the like.

【0014】図26の従来技術は、ウェハ端面へのFP
Mの回り込みをウェハ回転数とウェハ表面側に供給する
窒素などの気体の流量で制御しており、その制御は困難
である。ウェハ表面(下面)で気体と洗浄液が接する部
分がウェハ外周に沿って波打ってしまい、ある部分では
デバイス形成領域まで洗浄液が到達してデバイス形成面
が腐食されたり、ある部分ではウェハ表面周辺領域が洗
浄できなくて不所望な金属膜が残ってしまうことがあ
る。したがって、デバイス形成領域外の周辺の距離が
1.5〜2.0mmというように小さい場合には、使用
することができない。
In the prior art of FIG. 26, the FP to the wafer end surface is used.
The wraparound of M is controlled by the number of rotations of the wafer and the flow rate of gas such as nitrogen supplied to the front surface side of the wafer, which is difficult to control. The portion of the wafer surface (bottom surface) where the gas contacts the cleaning liquid undulates along the wafer periphery, and the cleaning liquid reaches the device formation area in some areas and corrodes the device formation surface, and in some areas the wafer surface peripheral area However, undesired metal films may remain because they cannot be cleaned. Therefore, when the peripheral distance outside the device formation region is as small as 1.5 to 2.0 mm, it cannot be used.

【0015】本発明の目的は、上記のような従来技術の
問題を解決したエッチング除去方法および装置と、洗浄
方法および装置とを提供することにある。
An object of the present invention is to provide an etching removal method and apparatus, and a cleaning method and apparatus, which solve the above problems of the prior art.

【0016】[0016]

【課題を解決するための手段】本発明のエッチング除去
装置および洗浄装置は、ウェハを保持して回転させる手
段と、液体をウェハ裏面中央に噴出する1つの裏面ノズ
ルと、液体をウェハ表面中央に噴出する1つの表面ノズ
ルとを備えている。
An etching removing apparatus and a cleaning apparatus of the present invention include means for holding and rotating a wafer, one back nozzle for ejecting a liquid to the center of the back surface of the wafer, and liquid for ejecting the liquid to the center of the front surface of the wafer. And one surface nozzle that ejects.

【0017】エッジノズルおよび裏面ノズルからは、エ
ッチング除去または洗浄の用途に応じて、エッチング液
または洗浄液が供給される。また表面ノズルからは、ウ
ェハ表面を保護するための液体が供給される。
An etching solution or a cleaning solution is supplied from the edge nozzle and the back surface nozzle depending on the purpose of etching removal or cleaning. Further, a liquid for protecting the wafer surface is supplied from the surface nozzle.

【0018】本発明の装置は、特に、ダマシン法により
Cu配線を形成する場合に、Cuメッキ液の漏れにより
ウェハ周辺部に形成されメッキCuを除去し、またメッ
キCuを化学機械研磨した後にウェハ周辺部および裏面
に付着した汚染Cuを洗浄するのに特に適している。
The apparatus of the present invention is particularly suitable for removing Cu formed in the peripheral portion of the wafer due to leakage of the Cu plating solution when Cu wiring is formed by the damascene method, and after chemically plating the Cu, the wafer is removed. It is particularly suitable for cleaning the contaminated Cu adhering to the peripheral portion and the back surface.

【0019】特にエッジノズルからは、液体をビーム状
に噴出させるので、表面周辺の不所望な金属膜、汚染金
属を制御性良く除去あるいは洗浄することが可能とな
る。
In particular, since the liquid is ejected in the form of a beam from the edge nozzle, it is possible to control or remove the undesired metal film and the contaminated metal around the surface with good controllability.

【0020】また、ウェハ表面には、表面ノズルから純
水などを供給し、ウェハ表面を保護しているので配線材
料などがダメージを受けることはない。
Further, since pure water or the like is supplied to the surface of the wafer from the surface nozzle to protect the surface of the wafer, the wiring material is not damaged.

【0021】エッチング除去装置の場合には、除去すべ
き金属膜がウェハの表面周辺にのみ存在する場合には、
裏面ノズルを省くこともできる。
In the case of the etching removal apparatus, when the metal film to be removed exists only around the surface of the wafer,
The back nozzle can also be omitted.

【0022】[0022]

【発明の実施の形態】図1は、本発明のエッチング除去
装置および洗浄装置の一実施の形態におけるノズルの取
付け位置を示す図である。エッチング除去装置も洗浄装
置も、基本的には同一構造であるので、以下の説明では
これらを区別することなく説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a view showing a nozzle mounting position in an embodiment of an etching removing apparatus and a cleaning apparatus of the present invention. Since the etching removing device and the cleaning device have basically the same structure, the following description will be made without distinguishing between them.

【0023】この実施の形態では、表面ノズル14と、
裏面ノズル16と、エッジノズル18とを設けた例を示
す。図1(A)はウェハ10の表面から見た平面図、図
1(B)は側面図である。
In this embodiment, the surface nozzle 14 and
An example in which the back nozzle 16 and the edge nozzle 18 are provided is shown. FIG. 1A is a plan view seen from the surface of the wafer 10, and FIG. 1B is a side view.

【0024】表面ノズル14はウェハ表面中央に、裏面
ノズル16はウェハ裏面中央に、エッジノズルはウェハ
表面周辺に、液体を噴出する。
The front surface nozzle 14 ejects liquid to the center of the wafer surface, the back surface nozzle 16 ejects liquid to the center of the wafer back surface, and the edge nozzle ejects liquid to the periphery of the wafer surface.

【0025】これらノズルの取付位置、取付角度は、ウ
ェハサイズに依存するが、150mm、200mm、3
00mmウェハを予定して、位置および角度を設定し
た。
The mounting position and mounting angle of these nozzles depend on the wafer size, but are 150 mm, 200 mm, 3
A 00 mm wafer was planned and the position and angle were set.

【0026】一例として、表面ノズル14のウェハ表面
からの高さH1 は、10〜100mmであり、この実施
例では50mmとした。また、裏面ノズル16のウェハ
裏面からの高さH2 は、10〜100mmであり、この
実施例では50mmとした。また、エッジノズル18の
ウェハ表面からの高さH3 は、5〜50mmであり、こ
の実施例では10mmとした。
As an example, the height H1 of the surface nozzle 14 from the wafer surface is 10 to 100 mm, and in this embodiment, it is 50 mm. The height H 2 of the back nozzle 16 from the back surface of the wafer is 10 to 100 mm, and is 50 mm in this embodiment. Further, the height H 3 of the edge nozzle 18 from the wafer surface is 5 to 50 mm, and in this embodiment, it is 10 mm.

【0027】表面ノズル14の先端からウェハ表面中央
への距離L1 は、70〜200mmであり、この実施例
では120mmとした。また、裏面ノズル16の先端か
らウェハ裏面中央への距離L2 は、70〜200mmで
あり、この実施例では120mmとした。また、エッジ
ノズル18の先端から、ノズル中心線がウェハ表面と交
わる点までの距離L3 は、1〜50mmであり、この実
施例では10mmとした。
The distance L1 from the tip of the front surface nozzle 14 to the center of the wafer surface is 70 to 200 mm, which is 120 mm in this embodiment. The distance L 2 from the tip of the back surface nozzle 16 to the center of the back surface of the wafer is 70 to 200 mm, which is 120 mm in this embodiment. Further, from the tip of the edge nozzle 18, the distance L 3 of the nozzle center line to a point intersecting the wafer surface is 1 to 50 mm, and a 10mm in this embodiment.

【0028】図1(B)のウェハ側面から見て、表面ノ
ズル14がウェハ表面となす角度θ1 は、15〜60゜
であり、この実施例では45゜とした。また、裏面ノズ
ル16がウェハ表面となす角度θ2 は、15〜60゜で
あり、この実施例では45゜とした。また、エッジノズ
ル18がウェハ表面となす角度θ3 は、10〜50゜で
あり、この実施例では35゜とした。
When viewed from the side of the wafer in FIG. 1B, the angle .theta.1 formed by the surface nozzle 14 with the wafer surface is 15 to 60.degree. The angle theta 2 which the back surface nozzle 16 forms with the wafer surface, there ° 15-60 and 45 ° in this embodiment. The angle θ 3 formed by the edge nozzle 18 with the wafer surface is 10 to 50 °, which is 35 ° in this embodiment.

【0029】図1(A)のウェハ表面を上から見て、エ
ッジノズル18の中心線がウェハ表面周辺と交わる点に
おいて、ウェハ回転方向の接線20となす角度θ 4 は、
0〜90゜であり、この実施例では45゜とした。すな
わち、エッジノズル18から噴出された液体がウェハ表
面周辺部から内側方向に流れ込まないように噴出できれ
ばよい。
When the wafer surface in FIG. 1A is viewed from above,
At the point where the center line of the nozzle 18 intersects with the periphery of the wafer surface
Angle θ with the tangent line 20 in the wafer rotation direction Four Is
The angle is 0 to 90 °, and is 45 ° in this embodiment. sand
That is, the liquid ejected from the edge nozzle 18 is exposed on the wafer surface.
Can be ejected from the periphery of the surface so that it does not flow inward
Good.

【0030】なお、表面ノズル14および裏面ノズル1
6から噴出された液体は、ウェハの回転による遠心力で
ウェハ周辺に向かって拡げられるので、表面ノズル14
および裏面ノズル16の液体の噴出状態は、ウェハ中央
に液体を供給すればよいので、液体をビーム状に噴出さ
せる、あるいは液体を散布状態で噴出させるのいずれで
あってもよい。
The front surface nozzle 14 and the rear surface nozzle 1
The liquid ejected from 6 is spread toward the periphery of the wafer by the centrifugal force generated by the rotation of the wafer.
The liquid jetting state of the back nozzle 16 may be either jetting the liquid in the form of a beam or jetting the liquid in a sprayed state, since the liquid may be supplied to the center of the wafer.

【0031】一方、エッジノズル18は、ウェハ表面周
辺に制御性良く液体を接触させることが要求されるの
で、液体を直径が0.5〜2.0mmのビーム状に噴出
させる構造のもの、またはウェハ外周部に沿って扇状に
噴出させる構造のものである。
On the other hand, since the edge nozzle 18 is required to bring the liquid into contact with the periphery of the wafer with good controllability, it has a structure for ejecting the liquid into a beam having a diameter of 0.5 to 2.0 mm, or It has a structure of ejecting in a fan shape along the outer peripheral portion of the wafer.

【0032】以上の例では、エッジノズルを1個として
説明したが、1個に限るものではなく、2個以上設ける
こともできる。
In the above example, one edge nozzle has been described, but the number is not limited to one, and two or more may be provided.

【0033】図2は、本実施の形態において、ウェハ保
持機構の一例を示す図である。(A)は略斜視図、
(B)は側面図である。
FIG. 2 is a diagram showing an example of a wafer holding mechanism in the present embodiment. (A) is a schematic perspective view,
(B) is a side view.

【0034】このウェハ保持機構は、ローラーチャック
方式の保持機構であり、それぞれが回転軸24に連結さ
れた4個のウェハローラー22を有している。ウェハロ
ーラーの周囲側面には、ウェハ10を支持する溝26が
形成されており、これら溝にウェハ10が支持され、ウ
ェハローラー22が回転することによりウェハが回転す
る構造となっている。
This wafer holding mechanism is a roller chuck type holding mechanism, and has four wafer rollers 22 each connected to a rotary shaft 24. Grooves 26 that support the wafer 10 are formed on the peripheral side surface of the wafer roller. The wafer 10 is supported by these grooves, and the wafer roller 22 rotates to rotate the wafer.

【0035】この例ではウェハローラーの個数は4個で
あったが、これに限るものではなく、3〜8個の範囲内
の個数であれば、いかなる個数であってもよい。
In this example, the number of wafer rollers was four, but the number is not limited to this, and any number may be used as long as it is within the range of 3 to 8.

【0036】このローラーチャック方式のウェハ保持機
構は、ウェハの同一箇所の端面がウェハローラーに常時
支持されることがないので、ウェハ全周囲の端面の処理
を行うことが要求される、本発明のエッチング除去方法
および洗浄方法に用いるには好適である。ウェハローラ
ー22と回転軸24の位置は固定されているので、裏面
ノズル16から噴出された液体が回転軸24によって遮
られることがなく、液体をウェハ裏面に無駄なく良好に
接触させることができる。
In this roller chuck type wafer holding mechanism, since the end surface of the same portion of the wafer is not always supported by the wafer roller, it is required to process the end surface of the entire circumference of the wafer. It is suitable for use in etching removal methods and cleaning methods. Since the positions of the wafer roller 22 and the rotation shaft 24 are fixed, the liquid ejected from the back surface nozzle 16 is not blocked by the rotation shaft 24, and the liquid can be brought into good contact with the wafer back surface without waste.

【0037】図3は、ウェハ保持機構の他の例を示す図
である。(A)は略斜視図、(B)は側面図である。
FIG. 3 is a diagram showing another example of the wafer holding mechanism. (A) is a schematic perspective view and (B) is a side view.

【0038】このウェハ保持機構は、ピンチャック方式
の保持機械であり、回転台28に連結された、ウェハを
支持する段差が設けられ4個のピン30を有している。
This wafer holding mechanism is a pin chuck type holding machine and has four pins 30 which are connected to the rotary table 28 and which are provided with a step for supporting the wafer.

【0039】ウェハ10は、ピン30の段差上に支持さ
れ、回転台28が回転する構造となっている。
The wafer 10 is supported on the steps of the pins 30, and the turntable 28 rotates.

【0040】この例ではピンの個数は4個であったが、
これに限るものではなく、3〜8個としてもよく、いか
なる個数であってもよい。
Although the number of pins is four in this example,
The number is not limited to this, and may be 3 to 8 or any number.

【0041】このピンチャック方式のウェハ保持機構
は、ピンがウェハ端面の同一箇所を常時支持することを
避けるために、処理中に一瞬だけピンチャックの保持機
構を少し緩めて、ウェハ回転数を低下させることで、惰
性によりウェハの保持位置がずれる。このウェハ持ち替
え機構により、ウェハのチャック位置が変わる。
In this pin chuck type wafer holding mechanism, in order to prevent the pins from always supporting the same position on the wafer end surface, the pin chuck holding mechanism is slightly loosened for a moment during the process to reduce the number of rotations of the wafer. By doing so, the wafer holding position shifts due to inertia. This wafer holding mechanism changes the wafer chuck position.

【0042】あるいは、ウェハの回転を一旦停止して、
ハンドラなどによりウェハを持ち上げて、チャック位置
を変えても良い。
Alternatively, once the rotation of the wafer is stopped,
The chuck position may be changed by lifting the wafer with a handler or the like.

【0043】あるいは、停止状態でチャックの位置が異
なる2つのウェハ保持機構を設け、第1のウェハ保持機
構での処理が終了したのち、第2のウェハ保持機構に移
し替えることでもチャック位置を変更することができ
る。
Alternatively, the chuck position can be changed by providing two wafer holding mechanisms having different chuck positions in the stopped state and transferring the wafer to the second wafer holding mechanism after the processing in the first wafer holding mechanism is completed. can do.

【0044】図4は、ウェハ保持機構のさらに他の例を
示す図である。(A)は略斜視図、(B)は側面図であ
る。
FIG. 4 is a view showing still another example of the wafer holding mechanism. (A) is a schematic perspective view and (B) is a side view.

【0045】このウェハ保持機構は、図3と同様にピン
チャック方式の保持機械であるが、ウェハの持ち替え手
段が、図3とは異なっている。
This wafer holding mechanism is a pin chuck type holding machine as in FIG. 3, but the wafer holding means is different from that in FIG.

【0046】このウェハ保持機構は、4個のピンaと、
4個のピンbとを交互に配置し、処理の前半はピンaに
てウェハ10を支持し、処理の後半はピンbにてウェハ
10を支持することによって、ウェハ端面へのピン接触
部を変えることができる。
This wafer holding mechanism has four pins a,
By arranging four pins b alternately, the wafer 10 is supported by the pin a in the first half of the process, and the wafer 10 is supported by the pin b in the latter half of the process, so that the pin contact portion to the wafer end face is formed. Can be changed.

【0047】この例では、ピンの個数の総計は8個であ
るば、ピンa、bをそれぞれ3個ずつとすることもでき
る。
In this example, if the total number of pins is eight, it is possible to use three pins a and three pins.

【0048】以上、ウェハ保持機構の3つの例を説明し
たが、ローラーチャック方式とピンチャック方式の併用
方式も可能である。この場合には、ピンチャック機構自
体には、図3、図4で説明したようなウェハ持ち替え手
段は不要であり、ウェハを持ち替える場合には、ピンチ
ャックを解放し、ウェハローラーでウェハを回転し、前
とは異なる位置をピンチャックするようにすればよい。
Although three examples of the wafer holding mechanism have been described above, a combined method of a roller chuck system and a pin chuck system is also possible. In this case, the pin chuck mechanism itself does not require the wafer holding means as described in FIGS. 3 and 4, and when holding the wafer, the pin chuck is released and the wafer is rotated by the wafer roller. It suffices to pinch the position different from the previous one.

【0049】以上で半導体デバイスのエッチング除去装
置および洗浄装置の構造について説明した。
The structures of the semiconductor device etching removal apparatus and the cleaning apparatus have been described above.

【0050】以下、本発明のエッチング除去方法および
洗浄方法の実施例を、Cu配線を形成する場合について
説明するが、用いるエッチング除去装置および洗浄装置
は、図1〜図4において説明したいずれの装置をも使用
することができる。
An embodiment of the etching removing method and the cleaning method of the present invention will be described below for the case of forming a Cu wiring. The etching removing apparatus and the cleaning apparatus used are any of those described in FIGS. Can also be used.

【0051】[0051]

【実施例1】図5は、実施例1の工程フローを示す。図
6〜図11は、各工程における、デバイス形成領域を一
部含んだウェハのエッジ部分の断面図を示す。
Example 1 FIG. 5 shows a process flow of Example 1. 6 to 11 are cross-sectional views of the edge portion of the wafer including a part of the device formation region in each step.

【0052】以下、各工程順に説明する。The steps will be described below in order.

【0053】(1)配線溝形成 図6に示すように、ウェハを構成するSi基板32上の
デバイス形成領域上に酸化膜(SiO2 )34を成膜
し、配線溝36を形成する。この例では、デバイス形成
領域の端からウェハ端面までのウェハ表面周辺部の距離
は約5mmとする。
(1) Formation of Wiring Groove As shown in FIG. 6, an oxide film (SiO 2 ) 34 is formed on the device forming region on the Si substrate 32 forming the wafer to form a wiring groove 36. In this example, the distance from the edge of the device formation region to the wafer end surface is about 5 mm in the peripheral portion of the wafer surface.

【0054】(2)バイアメタル、シードCu成膜 図7に示すように、Cuの拡散を防止するためのバリア
メタル(例えば、Ta、TaNなど)38をスパッタ成
膜し、続いてシードCu40をスパッタ成膜する。ここ
で、バリアメタル38やシードCu40をスパッタ成膜
するとき、ウェハ表面周辺部をシールドリングで覆い、
これらのスパッタ膜が形成されないようにしている。
(2) Via metal and seed Cu film formation As shown in FIG. 7, a barrier metal (for example, Ta, TaN, etc.) 38 for preventing Cu diffusion is formed by sputtering, and then a seed Cu 40 is formed. Sputter film formation. Here, when the barrier metal 38 and the seed Cu 40 are formed by sputtering, the periphery of the wafer surface is covered with a shield ring,
These sputtered films are prevented from being formed.

【0055】(3)メッキCu成膜 図8に示すように、図示しないがOリングを設けて、O
リング内にメッキ液を注入して電解メッキによりメッキ
Cu42を成膜する。このとき、従来技術で説明したよ
うに、Oリングの外部へメッキ液が漏れ、酸化膜34上
にメッキCu44が成長する。このメッキCu44は剥
がしやすく、ライン汚染の原因となるので除去されなけ
ればならない。
(3) Plating Cu film formation As shown in FIG.
A plating solution is injected into the ring to form a plated Cu 42 by electrolytic plating. At this time, as described in the related art, the plating liquid leaks to the outside of the O-ring, and the plated Cu 44 grows on the oxide film 34. The plated Cu 44 is easy to peel off and causes line contamination, so it must be removed.

【0056】(4)不所望なメッキCuのエッチング除
去 この工程では、本発明の前述したエッチング除去装置の
ウェハ保持機構にウェハを保持する。
(4) Etching Removal of Undesired Plating Cu In this step, the wafer is held by the wafer holding mechanism of the above-described etching removing apparatus of the present invention.

【0057】表面ノズル14から、Cuをエッチングし
ない溶液、例えば純水または有機酸水溶液(0.001
%〜5%のシュウ酸、クエン酸、マロン酸等)を噴出
し、ウェハ表面の中央部に供給する。この実施例では、
純水を供給するものとする。
From the surface nozzle 14, a solution that does not etch Cu, such as pure water or an organic acid aqueous solution (0.001
% To 5% oxalic acid, citric acid, malonic acid, etc.) are jetted and supplied to the central portion of the wafer surface. In this example,
Pure water shall be supplied.

【0058】同時に、エッジノズル18から、Cuをエ
ッチングするが下地のSiO2 はエッチングしにくいC
u/SiO2 選択比の大きい溶液を噴出し、ウェハの表
面周辺に供給する。
At the same time, Cu is etched from the edge nozzle 18, but the underlying SiO 2 is difficult to etch C
A solution with a high u / SiO 2 selectivity is jetted and supplied to the periphery of the wafer surface.

【0059】Cuをエッチングする溶液としては、H2
2 を含む酸またはアルカリ溶液が好ましく、例えばF
PM(HF/H22 /H2 O)、SPM(H2SO4
/H22 /H2 O)、HPM(HCl/H22 /H
2 O)、硝酸過酸化水素水(HNO3 /H22 /H2
O)、APM(NH4 OH/H22 /H 2 O)、濃硝
酸などがある。
As a solution for etching Cu, H2 
O2 Acid or alkaline solutions containing
PM (HF / H2 O2 / H2 O), SPM (H2SOFour 
/ H2 O2 / H2 O), HPM (HCl / H2 O2 / H
2 O), nitric acid hydrogen peroxide solution (HNO3 / H2 O2 / H2 
O), APM (NHFour OH / H2 O2 / H 2 O), concentrated glass
Acid, etc.

【0060】これら溶液において、Cu/SiO2 のエ
ッチング選択比が大きい組成は、以下のとおりである。
In these solutions, the composition having a high Cu / SiO 2 etching selection ratio is as follows.

【0061】HF:H22 :H2 O=1〜10:1〜
20:100 H2 SO4 /H22 /H2 O=1〜10:1〜20:
100 HCl/H22 /H2 O=1〜10:1〜20:10
0 HNO3 /H22 /H2 O=1〜10:1〜20:1
00 NH4 OH/H22 /H2 O=1〜10:1〜20:
100 濃硝酸(30%〜80%) 図12に、一例としてCu/SiO2 エッチング選択比
のFPM組成比依存性を示す。図12によれば、Cu/
SiO2 選択比は、組成比1:10:100で約250
と最も大きいことがわかる。
HF: H 2 O 2 : H 2 O = 1 to 10: 1
20: 100 H 2 SO 4 / H 2 O 2 / H 2 O = 1 to 10: 1 to 20:
100 HCl / H 2 O 2 / H 2 O = 1 to 10: 1 to 20:10
0 HNO 3 / H 2 O 2 / H 2 O = 1 to 10: 1 to 20: 1
00 NH 4 OH / H 2 O 2 / H 2 O = 1~10: 1~20:
100 Concentrated nitric acid (30% to 80%) FIG. 12 shows the FPM composition ratio dependence of the Cu / SiO 2 etching selection ratio as an example. According to FIG. 12, Cu /
The SiO 2 selection ratio is about 250 at a composition ratio of 1: 10: 100.
It turns out that it is the largest.

【0062】この実施例では、エッジノズルからの供給
する溶液として、FPMを用いるものとする。
In this embodiment, FPM is used as the solution supplied from the edge nozzle.

【0063】エッチング除去装置においてウェハを回転
させながら、表面ノズル14より純水を、エッジノズル
18からFPMを噴出する。
While the wafer is being rotated in the etching removal apparatus, pure water is ejected from the surface nozzle 14 and FPM is ejected from the edge nozzle 18.

【0064】表面ノズル14より噴出された純水、およ
びエッジノズル18より噴出されたFPMは、ウェハの
回転による遠心力によってウェハ外周に向かって流れる
ため、ウェハ中央に向かってFPMが流れ込むことが防
止される。また、ウェハ表面のデバイス形成領域は純水
で覆われているため、ウェハ回転によるFPMの跳ね返
りが発生した場合でもデバイス形成領域のウェハ表面を
保護することができる。よって、ウェハ表面に形成され
ているメッキCu42および酸化膜34へのダメージを
避けることができる。
The pure water ejected from the surface nozzle 14 and the FPM ejected from the edge nozzle 18 flow toward the outer periphery of the wafer by the centrifugal force due to the rotation of the wafer, so that the FPM does not flow toward the center of the wafer. To be done. Further, since the device forming region on the wafer surface is covered with pure water, the wafer surface on the device forming region can be protected even when the FPM bounces due to the rotation of the wafer. Therefore, it is possible to avoid damage to the plated Cu 42 and the oxide film 34 formed on the wafer surface.

【0065】また、エッジノズル18からは、FPMが
ビーム状となって噴出されるので、FPMがウェハと接
触する位置を正確に調整できるので、不所望なメッキC
u44を制御性良くエッチング除去できる。不所望なメ
ッキCu44が除去された状態を、図9に示す。
Further, since the FPM is ejected in the form of a beam from the edge nozzle 18, the position where the FPM comes into contact with the wafer can be accurately adjusted.
u44 can be removed by etching with good controllability. FIG. 9 shows a state where the unwanted plating Cu 44 is removed.

【0066】(5)Cuアニール アニールを行って、メッキCu42の膜質を改善する。(5) Cu annealing Annealing is performed to improve the film quality of the plated Cu42.

【0067】(6)Cu−CMP 化学機械研磨を、酸化膜34の表面まで行って、メッキ
Cu42、シードCu40、バリアメタル38を除去し
て、図10に示すようにCu配線46を形成する。CM
Pにより研磨屑であるCuがシリコン基板32のウェハ
の表面周辺、端面、裏面に付着する。
(6) Cu-CMP chemical mechanical polishing is performed up to the surface of the oxide film 34 to remove the plating Cu 42, the seed Cu 40 and the barrier metal 38, and form the Cu wiring 46 as shown in FIG. CM
Due to P, Cu, which is polishing dust, adheres to the periphery of the wafer, the end surface, and the back surface of the silicon substrate 32.

【0068】(7)汚染Cuの除去 この工程では、本発明の前述した洗浄装置のウェハ保持
機構にウェハを保持する。
(7) Removal of Contaminated Cu In this step, the wafer is held by the wafer holding mechanism of the above-mentioned cleaning device of the present invention.

【0069】表面ノズル14から純水を噴出し、ウェハ
表面の中央部に供給する。同時に、エッジノズル18お
よび裏面ノズル16からFPMを噴出する。表面ノズル
14から噴出した純水、およびエッジノズル18および
裏面ノズル16から噴出されたFPMは、ウェハの回転
によりウェハ端面に向かって流れる。このとき、デバイ
ス形成領域のメッキCu42および酸化膜34は、純水
で保護されているので、これらにダメージを与えること
なく、ウェハの表面周辺、端面、裏面に付着した汚染C
uは、FPMに溶解され、Si基板表面から除去され
る。すなわち洗浄される。図11は、以上の洗浄処理に
より、汚染Cuが除去された状態を示す。
Pure water is jetted from the surface nozzle 14 and supplied to the central portion of the wafer surface. At the same time, the FPM is ejected from the edge nozzle 18 and the back surface nozzle 16. The pure water jetted from the front surface nozzle 14 and the FPM jetted from the edge nozzle 18 and the back surface nozzle 16 flow toward the wafer end surface as the wafer rotates. At this time, since the plating Cu 42 and the oxide film 34 in the device formation region are protected by pure water, the contamination C adhered to the periphery of the wafer, the end face, and the back face is not damaged.
u is dissolved in FPM and removed from the Si substrate surface. That is, it is washed. FIG. 11 shows a state in which the contaminated Cu is removed by the above cleaning process.

【0070】洗浄液としては、エッチング液と同様に、
FPM以外に、汚染Cuの除去性の良いH22 を含む
酸またはアルカリ溶液が好ましく、例えばSPM(H2
SO4 /H22 /H2 O)、HPM(HCl/H2
2 /H2 O)、硝酸過酸化水素水(HNO3 /H22
/H2 O)、APM(NH4 OH/H22 /H2
O)、濃硝酸などがある。
As the cleaning liquid, similar to the etching liquid,
In addition to FPM, an acid or alkaline solution containing H 2 O 2 having a good removal property of contaminated Cu is preferable, and for example, SPM (H 2
SO 4 / H 2 O 2 / H 2 O), HPM (HCl / H 2 O
2 / H 2 O), nitric acid hydrogen peroxide solution (HNO 3 / H 2 O 2
/ H 2 O), APM (NH 4 OH / H 2 O 2 / H 2
O) and concentrated nitric acid.

【0071】また、表面ノズル14から供給する保護の
ための液体は、純水以外にも、Cuを溶解しない有機酸
水溶液(0.001%〜5%のシュウ酸、クエン酸、マ
ロン酸等)とすることもできる。
The protective liquid supplied from the surface nozzle 14 is not only pure water but also an aqueous solution of an organic acid that does not dissolve Cu (0.001% to 5% oxalic acid, citric acid, malonic acid, etc.). Can also be

【0072】[0072]

【実施例2】実施例2は、有効なデバイス形成領域を大
きくするために、実施例1よりもデバイス形成領域をさ
らにウェハ端面に近づけた状態でCu配線を形成する場
合である。工程フローは、図5に示したフローと同じで
ある。図13〜図18は、各工程における、デバイス形
成領域を一部含んだウェハのエッジ部分の断面図を示
す。
Second Embodiment A second embodiment is a case where Cu wiring is formed in a state where the device formation region is closer to the wafer end face than in the first embodiment in order to increase the effective device formation region. The process flow is the same as the flow shown in FIG. 13 to 18 are cross-sectional views of the edge portion of the wafer including a part of the device formation region in each step.

【0073】以下、各工程順に説明する。The steps will be described below in order.

【0074】(1)配線溝形成 図13に示すように、ウェハを構成するSi基板32上
のデバイス形成領域上に酸化膜(SiO2 )34を成膜
し、配線溝36を形成する。この例では、デバイス形成
領域の端からウェハ端面までの距離は約2mmとする。
(1) Formation of Wiring Groove As shown in FIG. 13, an oxide film (SiO 2 ) 34 is formed on the device forming region on the Si substrate 32 forming the wafer to form a wiring groove 36. In this example, the distance from the edge of the device formation region to the wafer end surface is about 2 mm.

【0075】(2)バイアメタル、シードCu成膜 図14に示すように、Cuの拡散を防止するためのバリ
アメタル(例えば、Ta、TaNなど)38をスパッタ
成膜し、続いてシードCu40をスパッタ成膜する。こ
の場合、実施例1とは異なり、シールドリングなしにス
パッタしたり、ウェハの直径より若干小さい直径の載置
台においてスパッタすることで、ウェハ裏面周辺領域ま
で成膜することができる。前述したように、デバイス形
成領域の端からウェハ端面までの距離は非常に短いの
で、シードCu40はウェハの表面周辺から端面さらに
は裏面周辺にまで回り込んで成膜される。このようなシ
ードCuは、後の加熱工程でデバイス領域に拡散し悪影
響を与えるし、またクロス汚染の原因にもなるので除去
されなければならない。
(2) Via Metal and Seed Cu Film Formation As shown in FIG. 14, a barrier metal (for example, Ta, TaN, etc.) 38 for preventing Cu diffusion is formed by sputtering, and then a seed Cu 40 is formed. Sputter film formation. In this case, unlike Example 1, sputtering can be performed without a shield ring, or sputtering can be performed on a mounting table having a diameter slightly smaller than the diameter of the wafer to form a film up to the peripheral area of the back surface of the wafer. As described above, since the distance from the end of the device forming region to the wafer end surface is very short, the seed Cu 40 is formed so as to wrap around from the front surface of the wafer to the end surface and even the back surface. Such seed Cu must be removed because it diffuses into the device region in a later heating step and adversely affects it and also causes cross contamination.

【0076】(3)メッキCu成膜 図15に示すように、図示しないがOリングを設けて、
Oリング内にメッキ液を注入して電解メッキによりメッ
キCu42を成膜する。このとき、メッキ液が漏れ、シ
ードCu40上にメッキCu44が成長する。このよう
なメッキCuは、クロス汚染を生じるので除去されなけ
ればならない。
(3) Plating Cu film formation As shown in FIG. 15, an O ring (not shown) is provided,
A plating solution is injected into the O-ring to form a plated Cu 42 by electrolytic plating. At this time, the plating liquid leaks and the plating Cu 44 grows on the seed Cu 40. Such plated Cu causes cross contamination and must be removed.

【0077】(4)不所望なシードCuおよびメッキC
uのエッチング除去 この工程では、本発明の前述したエッチング除去装置の
ウェハ保持機構にウェハを保持する。ウェハを回転させ
ながら、表面ノズル14から純水を噴出し、ウェハ表面
の中央部に供給する。同時に、エッジノズル18から、
FPMをウェハの表面周辺に供給し、裏面ノズル16か
らFPMをウェハ裏面中央にFPMを供給して、ウェハ
の表面周辺、端面、裏面周辺のシードCu40およびメ
ッキCu44をエッチング除去する。除去された状態
を、図16に示す。
(4) Undesired seed Cu and plating C
Etching removal of u In this step, the wafer is held in the wafer holding mechanism of the above-described etching removing apparatus of the present invention. While rotating the wafer, pure water is jetted from the surface nozzle 14 and supplied to the central portion of the wafer surface. At the same time, from the edge nozzle 18,
The FPM is supplied to the periphery of the front surface of the wafer, the FPM is supplied from the rear surface nozzle 16 to the center of the rear surface of the wafer, and the seed Cu 40 and the plating Cu 44 around the front surface, the end surface, and the rear surface of the wafer are etched away. The removed state is shown in FIG.

【0078】(5)Cuアニール アニールを行って、メッキCu42の膜質を改善する。(5) Cu annealing Annealing is performed to improve the film quality of the plated Cu42.

【0079】(6)Cu−CMP 化学機械研磨を、酸化膜34の表面まで行って、メッキ
Cu42、シードCu40、バリアメタル38を除去し
て、図17に示すようにCu配線46を形成する。CM
Pにより研磨屑であるCu48がシリコン基板32のウ
ェハの表面周辺、端面、裏面に付着する。
(6) Cu-CMP chemical mechanical polishing is performed up to the surface of the oxide film 34 to remove the plating Cu 42, the seed Cu 40, and the barrier metal 38, and form the Cu wiring 46 as shown in FIG. CM
Due to P, Cu 48, which is polishing dust, adheres to the periphery of the wafer, the end surface, and the back surface of the silicon substrate 32.

【0080】(7)汚染Cuの除去 この工程では、本発明の前述した洗浄装置のウェハ保持
機構にウェハを保持する。
(7) Removal of Contaminated Cu In this step, the wafer is held by the wafer holding mechanism of the above-mentioned cleaning device of the present invention.

【0081】表面ノズル14から純水を噴出し、ウェハ
表面の中央部に供給する。ここで、純水を噴出する時
に、一時的に有機酸を流して、デバイス形成領域の表面
に付着した汚染Cuを洗浄することが望ましい。同時
に、エッジノズル18および裏面ノズル16からFPM
を噴出する。表面ノズル14から噴出した純水およびエ
ッジノズル18および裏面ノズル16から噴出されたF
PMは、ウェハの回転によりウェハ端面に向かって流れ
る。
Pure water is jetted from the surface nozzle 14 and supplied to the central portion of the wafer surface. Here, it is desirable that the organic acid is allowed to flow temporarily when the pure water is ejected to clean the contaminated Cu adhering to the surface of the device formation region. At the same time, the FPM from the edge nozzle 18 and the back surface nozzle 16
Gush out. Pure water ejected from the front surface nozzle 14 and F ejected from the edge nozzle 18 and the back surface nozzle 16.
The PM flows toward the wafer end surface due to the rotation of the wafer.

【0082】ウェハの表面周辺、端面、裏面に付着した
汚染Cu48は、FPMに溶解され、Si基板表面から
除去される。すなわち洗浄される。図18は、以上の洗
浄処理により、汚染Cuが除去された状態を示す。な
お、CMP後のウェハは、従来と同様、別工程でウェハ
全体を洗浄液に浸漬して洗浄してもよいし、ブラシ洗浄
してもよい。
Contamination Cu 48 adhering to the periphery of the front surface, the end surface and the back surface of the wafer is dissolved in FPM and removed from the surface of the Si substrate. That is, it is washed. FIG. 18 shows a state where the contaminated Cu is removed by the above cleaning process. The wafer after CMP may be washed by immersing the entire wafer in a cleaning liquid in a separate step, or may be brush-cleaned, as in the conventional case.

【0083】[0083]

【実施例3】実施例3は、実施例2において、バリアメ
タルのスパッタ成膜の際に、バリアメタルがウェハの表
面周辺から端面へ回り込んで形成された場合である。工
程フローは、図5に示したフローと同じである。図19
〜図24は、各工程における、デバイス形成領域を一部
含んだウェハのエッジ部分の断面図を示す。
Third Embodiment A third embodiment is a case in which the barrier metal is formed so as to wrap around from the surface periphery of the wafer to the end face during the sputter deposition of the barrier metal in the second embodiment. The process flow is the same as the flow shown in FIG. FIG. 19
24A to 24C are cross-sectional views of the edge portion of the wafer including a part of the device formation region in each step.

【0084】以下、各工程順に説明する。The steps will be described below in order.

【0085】(1)配線溝形成 図19に示すように、ウェハを構成するSi基板32上
のデバイス形成領域上に酸化膜(SiO2 )34を成膜
し、配線溝36を形成する。
(1) Formation of Wiring Groove As shown in FIG. 19, an oxide film (SiO 2 ) 34 is formed on the device forming region on the Si substrate 32 forming the wafer to form a wiring groove 36.

【0086】(2)バイアメタル、シードCu成膜 図20に示すように、Cuの拡散を防止するためのバリ
アメタル38としてTaをスパッタ成膜し、続いてシー
ドCu40をスパッタ成膜する。この場合、バリアメタ
ル38およびシードCu40が共に、ウェハの表面周辺
から端面、さらには裏面周辺に回り込んで成膜されてい
る。
(2) Via Metal and Seed Cu Film Forming As shown in FIG. 20, Ta is sputtered as a barrier metal 38 for preventing diffusion of Cu, and then seed Cu 40 is sputtered. In this case, both the barrier metal 38 and the seed Cu 40 are formed so as to wrap around from the periphery of the front surface of the wafer to the end face and further to the periphery of the back surface.

【0087】(3)メッキCu成膜 図21に示すように、図示しないがOリングを設けて、
Oリング内にメッキ液を注入して電解メッキによりメッ
キCu42を成膜する。このとき、メッキ液が漏れ、シ
ードCu40上にメッキCu44が成長する。
(3) Film formation of plated Cu As shown in FIG. 21, an O ring (not shown) is provided,
A plating solution is injected into the O-ring to form a plated Cu 42 by electrolytic plating. At this time, the plating liquid leaks and the plating Cu 44 grows on the seed Cu 40.

【0088】(4)不所望なシードCu、メッキCuお
よびTaのエッチング除去 この工程では、本発明の前述したエッチング除去装置の
ウェハ保持機構にウェハを保持する。ウェハを回転させ
ながら、表面ノズル14から純水を噴出し、ウェハ表面
の中央部に供給する。同時に、エッジノズル18から、
FPMをウェハの表面周辺に供給し、裏面ノズル16か
らFPMをウェハ裏面中央にFPMを供給して、ウェハ
の表面周辺、端面、裏面周辺のCuをエッチング除去す
る。
(4) Removal of Undesired Seed Cu, Plating Cu and Ta by Etching In this step, the wafer is held by the wafer holding mechanism of the above-described etching removing apparatus of the present invention. While rotating the wafer, pure water is jetted from the surface nozzle 14 and supplied to the central portion of the wafer surface. At the same time, from the edge nozzle 18,
FPM is supplied to the periphery of the front surface of the wafer, FPM is supplied from the rear surface nozzle 16 to the center of the rear surface of the wafer, and Cu around the front surface, end surface, and rear surface of the wafer is removed by etching.

【0089】続いて、表面ノズル14からは純水を、エ
ッジノズル18および裏面ノズル16からHF溶液を噴
出し、バリアメタル38であるTaを除去する。Cuお
よびTaが除去された状態を図22に示す。
Subsequently, pure water is ejected from the front surface nozzle 14 and HF solution is ejected from the edge nozzle 18 and the back surface nozzle 16 to remove Ta which is the barrier metal 38. FIG. 22 shows a state in which Cu and Ta are removed.

【0090】(5)Cuアニール アニールを行って、メッキCu42の膜質を改善する。(5) Cu annealing Annealing is performed to improve the film quality of the plated Cu42.

【0091】(6)Cu−CMP 化学機械研磨を、酸化膜34の表面まで行って、メッキ
Cu、シードCu、バリアメタルを除去して、図23に
示すようにCu配線46を形成する。CMPにより研磨
屑であるCu48がシリコン基板32のウェハの表面周
辺、端面、裏面に付着する。
(6) Cu-CMP chemical mechanical polishing is performed up to the surface of the oxide film 34 to remove the plating Cu, the seed Cu and the barrier metal, and the Cu wiring 46 is formed as shown in FIG. Cu48, which is polishing dust, adheres to the periphery of the wafer, the end surface, and the back surface of the silicon substrate 32 by CMP.

【0092】(7)汚染Cuの除去 この工程では、本発明の前述した洗浄装置のウェハ保持
機構にウェハを保持する。
(7) Removal of Contaminated Cu In this step, the wafer is held by the wafer holding mechanism of the above-mentioned cleaning device of the present invention.

【0093】表面ノズル14から純水を噴出し、ウェハ
表面の中央部に供給する。同時に、エッジノズル18お
よび裏面ノズル16からFPMを噴出する。表面ノズル
14から噴出した純水およびエッジノズル18および裏
面ノズル16から噴出されたFPMは、ウェハの回転に
よりウェハ端面に向かって流れる。
Pure water is jetted from the surface nozzle 14 and supplied to the central portion of the wafer surface. At the same time, the FPM is ejected from the edge nozzle 18 and the back surface nozzle 16. The pure water ejected from the front surface nozzle 14 and the FPM ejected from the edge nozzle 18 and the back surface nozzle 16 flow toward the wafer end surface as the wafer rotates.

【0094】ウェハの表面周辺、端面、裏面に付着した
汚染Cuは、FPMに溶解され、Si基板表面から除去
される。すなわち洗浄される。図24は、以上の洗浄処
理により、汚染Cuが除去された状態を示す。
Contamination Cu adhering to the periphery of the front surface, the end surface and the back surface of the wafer is dissolved in FPM and removed from the surface of the Si substrate. That is, it is washed. FIG. 24 shows a state where the contaminated Cu is removed by the above cleaning process.

【0095】以上の説明では、酸化膜上にCu配線を形
成する例をもとに説明したが、本発明は、絶縁膜上にP
t、Ir、IrOなどの金属配線や金属電極を形成する
場合、あるいは、BST(チタン酸ストロンチューム・
バリウム)やPZT(チタン酸ジルコニウム鉛)などの
強誘電体膜を形成する場合にも適用できる。
Although the above description is based on the example in which the Cu wiring is formed on the oxide film, the present invention is not limited to the case where P is formed on the insulating film.
When forming metal wiring or metal electrode such as t, Ir, IrO, or BST (strontium titanate
It can also be applied when forming a ferroelectric film such as barium) or PZT (lead zirconium titanate).

【0096】[0096]

【発明の効果】本発明によれば、回転しているウェハの
表面には、表面周辺に処理液をノズルにより供給すると
同時に、ウェハ中央部に処理液の影響を受けないように
保護するための純水などの液をノズルにより供給してい
るので、処理液がウェハの表面中央部に向かって流れ込
むことはない。したがって、半導体デバイス配線材料な
どへのダメージを与えること無く、ウェハの表面周辺、
端面、およびウェハ裏面に存在する金属汚染および金属
膜を効果的に除去することが可能となる。
According to the present invention, on the surface of a rotating wafer, a processing solution is supplied to the periphery of the surface by a nozzle, and at the same time, the central part of the wafer is protected from being affected by the processing solution. Since the liquid such as pure water is supplied by the nozzle, the processing liquid does not flow toward the central portion of the surface of the wafer. Therefore, without damaging the semiconductor device wiring material, etc. around the surface of the wafer,
It is possible to effectively remove the metal contamination and the metal film existing on the end surface and the back surface of the wafer.

【0097】また、ウェハの表面周辺への処理液は、ノ
ズルでビーム状として供給されるので、ウェハ周辺にお
ける処理液の接触位置の精度を高めることができるの
で、ウェハ端面にできるだけ近づけてデバイス形成領域
を確保することが可能となる。
Further, since the processing liquid around the surface of the wafer is supplied in the form of a beam by the nozzle, the precision of the contact position of the processing liquid around the wafer can be improved. Therefore, the device is formed as close to the wafer end face as possible. It becomes possible to secure an area.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のエッチング除去装置または洗浄装置の
一実施の形態におけるノズルの取付け位置を示す図であ
る。
FIG. 1 is a view showing a nozzle mounting position in an embodiment of an etching removing apparatus or a cleaning apparatus of the present invention.

【図2】ウェハ保持機構の一例を示す図である。FIG. 2 is a diagram showing an example of a wafer holding mechanism.

【図3】ウェハ保持機構の他の例を示す図である。FIG. 3 is a diagram showing another example of a wafer holding mechanism.

【図4】ウェハ保持機構のさらに他の例を示す図であ
る。
FIG. 4 is a view showing still another example of the wafer holding mechanism.

【図5】実施例の工程フロー図である。FIG. 5 is a process flow chart of an example.

【図6】実施例1の各工程における、デバイス形成領域
を一部含んだウェハのエッジ部分の断面図である。
FIG. 6 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the first embodiment.

【図7】実施例1の各工程における、デバイス形成領域
を一部含んだウェハのエッジ部分の断面図である。
FIG. 7 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the first embodiment.

【図8】実施例1の各工程における、デバイス形成領域
を一部含んだウェハのエッジ部分の断面図である。
FIG. 8 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the first embodiment.

【図9】実施例1の各工程における、デバイス形成領域
を一部含んだウェハのエッジ部分の断面図である。
FIG. 9 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the first embodiment.

【図10】実施例1の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 10 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the first embodiment.

【図11】実施例1の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 11 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the first embodiment.

【図12】Cu/SiO2 エッチング選択比のFPM組
成比依存性を示す図である。
FIG. 12 is a diagram showing FPM composition ratio dependence of Cu / SiO 2 etching selection ratio.

【図13】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 13 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the second embodiment.

【図14】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 14 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the second embodiment.

【図15】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 15 is a sectional view of the edge portion of the wafer including a part of the device formation region in each step of the second embodiment.

【図16】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 16 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the second embodiment.

【図17】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 17 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the second embodiment.

【図18】実施例2の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 18 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the second embodiment.

【図19】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 19 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the third embodiment.

【図20】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 20 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the third embodiment.

【図21】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 21 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the third embodiment.

【図22】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 22 is a cross-sectional view of the edge portion of the wafer including a device formation region in each step of the third embodiment.

【図23】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 23 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the third embodiment.

【図24】実施例3の各工程における、デバイス形成領
域を一部含んだウェハのエッジ部分の断面図である。
FIG. 24 is a cross-sectional view of the edge portion of the wafer including a part of the device formation region in each step of the third embodiment.

【図25】従来技術の一例を示す図である。FIG. 25 is a diagram showing an example of a conventional technique.

【図26】従来技術の他の例を示す図である。FIG. 26 is a diagram showing another example of the conventional technique.

【符号の説明】[Explanation of symbols]

14 表面ノズル 16 裏面ノズル 18 エッジノズル 22 >ウェハローラー 30 ピン 32 Si基板 34 酸化膜 36 配線溝 38 バリアメタル 40 シードCu 42、44 メッキCu 48 汚染Cu 14 Surface nozzle 16 Back nozzle 18 edge nozzle 22> Wafer roller 30 pin 32 Si substrate 34 Oxide film 36 wiring groove 38 Barrier metal 40 seed Cu 42,44 plated Cu 48 Contamination Cu

フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) B05D 7/00 H01L 21/304 643A H01L 21/304 643 21/306 J Fターム(参考) 4D075 AC01 AC64 AE21 BB66Y DA08 DA35 DC22 EA05 EB01 4F042 AA07 BA08 CC04 CC09 DA01 EB08 EB09 EB17 5F043 AA26 BB18 DD02 EE07 EE08 EE35 FF10 GG02 GG04 Front page continuation (51) Int.Cl. 7 identification code FI theme code (reference) B05D 7/00 H01L 21/304 643A H01L 21/304 643 21/306 JF term (reference) 4D075 AC01 AC64 AE21 BB66Y DA08 DA35 DC22 EA05 EB01 4F042 AA07 BA08 CC04 CC09 DA01 EB08 EB09 EB17 5F043 AA26 BB18 DD02 EE07 EE08 EE35 FF10 GG02 GG04

Claims (18)

【特許請求の範囲】[Claims] 【請求項1】ウェハ上の不所望な金属膜をエッチング除
去するウェハ処理装置において、ウェハを保持して回転
させる手段と、デバイス形成領域内に形成された膜と反
応しない第1の液体をウェハ表面中央に噴出する表面ノ
ズルと、デバイス形成領域外のウェハ表面周辺に存在す
る不所望な金属膜を除去するための第2の液体をウェハ
表面周辺に噴出する1つ以上のエッジノズルとを備え、
前記表面ノズルと前記エッジノズルとは、ウェハ表面側
に設けられたことを特徴とするウェハ処理装置。
1. A wafer processing apparatus for etching and removing an undesired metal film on a wafer, wherein a means for holding and rotating the wafer and a first liquid which does not react with the film formed in a device formation region are used for the wafer. A surface nozzle ejecting to the center of the surface, and one or more edge nozzles ejecting a second liquid to remove the undesired metal film existing around the wafer surface outside the device formation region to the wafer surface periphery. ,
The wafer processing apparatus, wherein the front surface nozzle and the edge nozzle are provided on the front surface side of the wafer.
【請求項2】ウェハ上に付着した汚染金属を洗浄するウ
ェハ処理装置において、ウェハを保持して回転させる手
段と、デバイス形成領域内に形成された膜と反応しない
第1の液体を表面ノズルからウェハ表面中央に噴出する
表面ノズルと、付着した汚染金属を洗浄するための第2
の液体を、ウェハ表面周辺に噴出する1つ以上のエッジ
ノズルとを備え、前記表面ノズルと前記エッジノズルと
は、ウェハ表面側に設けられたことを特徴とするウェハ
処理装置。
2. In a wafer processing apparatus for cleaning a contaminant metal adhered on a wafer, a means for holding and rotating the wafer and a first liquid which does not react with a film formed in a device formation area are discharged from a surface nozzle. A surface nozzle that ejects to the center of the wafer surface and a second nozzle for cleaning adhered contaminant metal
1. A wafer processing apparatus comprising: one or more edge nozzles for ejecting the above liquid to the periphery of the wafer surface, wherein the surface nozzle and the edge nozzle are provided on the wafer surface side.
【請求項3】ウェハ裏面に付着した金属膜または汚染金
属をエッチングまたは洗浄する第3の液体をウェハ裏面
に噴出する裏面ノズルをさらに備えることを特徴とする
請求項1または2に記載のウェハ処理装置。
3. The wafer processing according to claim 1, further comprising a back surface nozzle for ejecting a third liquid for etching or cleaning a metal film or a contaminated metal attached to the back surface of the wafer onto the back surface of the wafer. apparatus.
【請求項4】表面にデバイス形成領域を有するウェハを
保持して回転させる手段と、第1の液体をウェハ表面の
デバイス形成領域内に噴出する表面ノズルと、前記第1
の液体とは種類の異なる第2の液体をデバイス形成領域
外のウェハ表面周辺に噴出する1つ以上のエッジノズル
とを備え、前記表面ノズルと前記エッジノズルとは、ウ
ェハ表面側に設けられたことを特徴とするウェハ処理装
置。
4. A means for holding and rotating a wafer having a device formation area on its surface, a surface nozzle for ejecting a first liquid into the device formation area on the wafer surface, and said first nozzle.
A second liquid of a different type from the above liquid, and one or more edge nozzles for ejecting the liquid around the wafer surface outside the device formation region. The surface nozzle and the edge nozzle are provided on the wafer surface side. A wafer processing apparatus characterized by the above.
【請求項5】前記第2の液体と同じ種類の第3の液体を
ウェハ裏面に噴出する裏面ノズルをさらに備えることを
特徴とする請求項4に記載のウェハ処理装置。
5. The wafer processing apparatus according to claim 4, further comprising a back surface nozzle for ejecting a third liquid of the same type as the second liquid onto the back surface of the wafer.
【請求項6】エッジノズルから第2の液体を噴出する方
向は、ウェハの回転方向、またはウェハ接線より外側を
向いていることを特徴とする請求項1〜5のいずれかに
記載のウェハ処理装置。
6. The wafer processing according to claim 1, wherein a direction of ejecting the second liquid from the edge nozzle is a rotation direction of the wafer or an outside of a tangent line of the wafer. apparatus.
【請求項7】前記エッジノズルの先端から、ノズルの中
心軸がウェハ表面周辺と交わる点までの距離は、1〜5
0mmであり、ウェハの上面から見て、前記エッジノズ
ルの中心軸と、前記エッジノズルの中心軸がウェハ表面
周辺と交わる点において、ウェハ回転方向の接線とのな
す角度は、0〜90゜である、ことを特徴とする請求項
1〜6のいずれかに記載のウェハ処理装置。
7. The distance from the tip of the edge nozzle to the point where the central axis of the nozzle intersects with the periphery of the wafer surface is 1-5.
The angle between the central axis of the edge nozzle and the tangent to the wafer rotation direction at the point where the central axis of the edge nozzle intersects with the periphery of the wafer surface when viewed from the top surface of the wafer is 0 to 90 °. The wafer processing apparatus according to any one of claims 1 to 6, wherein:
【請求項8】前記裏面ノズルの先端からウェハ裏面中央
までの距離は、70〜200mmであり、前記裏面ノズ
ルの中心軸がウェハ表面となす角度は、15〜60゜で
あることを特徴とする請求項3または5に記載のウェハ
処理装置。
8. The distance from the tip of the back surface nozzle to the center of the wafer back surface is 70 to 200 mm, and the angle formed by the central axis of the back surface nozzle and the wafer surface is 15 to 60 °. The wafer processing apparatus according to claim 3 or 5.
【請求項9】前記表面ノズルの先端からウェハ表面まで
の距離は、70〜200mmであり、前記表面ノズルの
中心軸がウェハ表面となす角度は、15〜60゜であ
る、ことを特徴とする請求項1〜8のいずれかに記載の
ウェハ処理装置。
9. The distance from the tip of the surface nozzle to the wafer surface is 70 to 200 mm, and the angle formed by the central axis of the surface nozzle and the wafer surface is 15 to 60 °. The wafer processing apparatus according to claim 1.
【請求項10】前記エッジノズルは、ビーム状に第2の
液体を噴出することを特徴とする請求項1〜9のいずれ
かに記載のウェハ処理装置。
10. The wafer processing apparatus according to claim 1, wherein the edge nozzle ejects the second liquid in a beam shape.
【請求項11】前記ウェハを保持して回転させる手段
は、複数のローラを有するローラチャック方式によるも
のであることを特徴とする請求項1〜10のいずれかに
記載のウェハ処理装置。
11. The wafer processing apparatus according to claim 1, wherein the means for holding and rotating the wafer is of a roller chuck system having a plurality of rollers.
【請求項12】ウェハ表面中央とウェハ表面周辺からな
るウェハ表面に金属膜を形成する工程と、ウェハ表面周
辺の金属膜をエッチング除去する工程とを有するウェハ
処理方法において、ウェハを回転しつつ、金属膜と反応
しない第1の液体をウェハ表面中央に、ウェハ表面側に
設けられた表面ノズルから噴出すると同時に、金属膜を
エッチングする第2の液体を、ウェハ表面側に設けられ
たエッジノズルからウェハ表面周辺に噴出することによ
り、ウェハ表面周辺に形成された金属膜をエッチング除
去することを特徴とするウェハ処理方法。
12. A wafer processing method comprising: a step of forming a metal film on a wafer surface consisting of a wafer surface center and a wafer surface periphery; and a step of etching and removing the metal film around the wafer surface, while rotating the wafer. A first liquid that does not react with the metal film is ejected to the center of the wafer surface from a surface nozzle provided on the wafer surface side, and at the same time, a second liquid that etches the metal film is ejected from an edge nozzle provided on the wafer surface side. A wafer processing method, characterized in that a metal film formed on the periphery of the wafer surface is removed by etching by ejecting the metal film around the wafer surface.
【請求項13】ウェハ上に存在する不所望な金属膜をエ
ッチング除去するウェハ処理方法において、ウェハを回
転しつつ、金属膜と反応しない第1の液体をウェハ表面
中央に、ウェハ表面側に設けられた表面ノズルから噴出
すると同時に、金属膜をエッチングする第2の液体を、
ウェハ表面側に設けられたエッジノズルからウェハ表面
周辺に噴出し、金属膜をエッチングする第3の液体を、
ウェハ裏面側に設けられた裏面ノズルからウェハ裏面に
噴出することによって、ウェハの表面周辺、端面、裏面
に存在する不所望な金属膜をエッチング除去することを
特徴とするウェハ処理方法。
13. A wafer processing method for etching and removing an undesired metal film existing on a wafer, wherein a first liquid which does not react with the metal film is provided at the center of the wafer surface and on the wafer surface side while rotating the wafer. The second liquid that etches the metal film at the same time as being ejected from the surface nozzle
A third liquid that ejects from the edge nozzle provided on the wafer surface side to the periphery of the wafer surface and etches the metal film,
A wafer processing method characterized in that an undesired metal film existing on the periphery, end face, and back surface of a wafer is removed by etching by jetting from a back surface nozzle provided on the back surface side of the wafer to the back surface of the wafer.
【請求項14】ウェハの表面周辺、端面および裏面に付
着した汚染金属を洗浄するウェハ処理方法において、ウ
ェハを回転しつつ、ウェハ表面側に設けられた表面ノズ
ルからウェハ表面中央に汚染金属と反応しない第1の液
体を噴出することによってデバイス形成領域内の汚染金
属を洗浄する第1の工程と、第1の工程と同時に、ウェ
ハ表面側に設けられたエッジノズルからウェハ表面周辺
に汚染金属と反応する第2の液体を噴出し、ウェハ裏面
側に設けられた裏面ノズルからウェハ裏面中央に汚染金
属と反応する第3の液体を噴出し、ウェハの表面周辺、
端面、裏面に付着した汚染金属を洗浄する第2の工程
と、を有することを特徴とするウェハ処理方法。
14. A wafer processing method for cleaning contaminated metal adhered to the periphery, end face and back surface of a wafer, wherein the contaminated metal reacts from a front nozzle provided on the front surface of the wafer to a center of the front surface of the wafer while rotating the wafer. The first step of cleaning the contaminated metal in the device formation region by ejecting the first liquid, and simultaneously with the first step, the contaminated metal around the wafer surface from the edge nozzle provided on the wafer surface side. A second liquid that reacts is ejected, and a third liquid that reacts with a contaminant metal is ejected from the back surface nozzle provided on the back surface side of the wafer to the center of the back surface of the wafer, around the front surface of the wafer,
A second step of cleaning the contaminated metal adhering to the end surface and the back surface, and a wafer processing method.
【請求項15】洗浄する前記第1の工程は、ウェハをC
MPした後に行うことを特徴とする請求項14に記載の
ウェハ処理方法。
15. The first step of cleaning comprises cleaning the wafer with C
15. The wafer processing method according to claim 14, which is performed after MP is performed.
【請求項16】エッジノズルから前記第2の液体をウェ
ハの回転方向、またはウェハ接線より外側に噴出してエ
ッチングまたは洗浄することを特徴とする請求項12〜
15のいずれかに記載のウェハ処理方法。
16. The method according to claim 12, wherein the second liquid is jetted from the edge nozzle in the rotating direction of the wafer or outside the tangent line to the wafer for etching or cleaning.
16. The wafer processing method according to any one of 15.
【請求項17】前記不所望な金属膜がCu膜である場合
に、前記第2の液体と第3の液体は、H 22 を含む酸
またはアルカリ溶液であることを特徴とする請求項12
〜16のいずれかに記載のウェハ処理方法。
17. The case where the undesired metal film is a Cu film
And the second liquid and the third liquid are H 2O2 Acid containing
Or an alkaline solution.
17. The wafer processing method according to any one of to 16.
【請求項18】前記ウェハを保持して回転させる工程
は、複数のローラを有するローラチャックにより回転さ
せて処理することを特徴とする請求項12〜17のいず
れかに記載のウェハ処理方法。
18. The wafer processing method according to claim 12, wherein the step of holding and rotating the wafer is performed by rotating the wafer by a roller chuck having a plurality of rollers.
JP2002303299A 2002-10-17 2002-10-17 Wafer-processing device and wafer-processing method Pending JP2003203900A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002303299A JP2003203900A (en) 2002-10-17 2002-10-17 Wafer-processing device and wafer-processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002303299A JP2003203900A (en) 2002-10-17 2002-10-17 Wafer-processing device and wafer-processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP06889899A Division JP3395696B2 (en) 1999-03-15 1999-03-15 Wafer processing apparatus and wafer processing method

Publications (1)

Publication Number Publication Date
JP2003203900A true JP2003203900A (en) 2003-07-18

Family

ID=27655737

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002303299A Pending JP2003203900A (en) 2002-10-17 2002-10-17 Wafer-processing device and wafer-processing method

Country Status (1)

Country Link
JP (1) JP2003203900A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218545A (en) * 2007-03-01 2008-09-18 Sumco Corp Single-wafer etching device for wafer
JP2013125872A (en) * 2011-12-15 2013-06-24 Disco Abrasive Syst Ltd Tool cutting device
US10256318B2 (en) 2016-04-26 2019-04-09 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device to prevent defects
WO2020012777A1 (en) * 2018-07-13 2020-01-16 株式会社Screenホールディングス Substrate processing device and substrate processing method
CN110767573A (en) * 2018-07-27 2020-02-07 奇景光电股份有限公司 Cleaning system, cleaning device and cleaning method
JP2020047855A (en) * 2018-09-20 2020-03-26 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
CN112864013A (en) * 2021-01-18 2021-05-28 长鑫存储技术有限公司 Semiconductor device processing method
CN116798921A (en) * 2023-08-24 2023-09-22 山东汉旗科技有限公司 Wafer horizontal cleaning device

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218545A (en) * 2007-03-01 2008-09-18 Sumco Corp Single-wafer etching device for wafer
JP2013125872A (en) * 2011-12-15 2013-06-24 Disco Abrasive Syst Ltd Tool cutting device
US10256318B2 (en) 2016-04-26 2019-04-09 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device to prevent defects
WO2020012777A1 (en) * 2018-07-13 2020-01-16 株式会社Screenホールディングス Substrate processing device and substrate processing method
CN110767573A (en) * 2018-07-27 2020-02-07 奇景光电股份有限公司 Cleaning system, cleaning device and cleaning method
CN110767573B (en) * 2018-07-27 2023-09-22 奇景光电股份有限公司 Cleaning system, cleaning device and cleaning method
WO2020059229A1 (en) * 2018-09-20 2020-03-26 株式会社Screenホールディングス Substrate processing method and substrate processing device
TWI741350B (en) * 2018-09-20 2021-10-01 日商斯庫林集團股份有限公司 Substrate processing method and substrate processing apparatus
JP7117956B2 (en) 2018-09-20 2022-08-15 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP2020047855A (en) * 2018-09-20 2020-03-26 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
CN112864013A (en) * 2021-01-18 2021-05-28 长鑫存储技术有限公司 Semiconductor device processing method
CN112864013B (en) * 2021-01-18 2023-10-03 长鑫存储技术有限公司 Semiconductor device processing method
CN116798921A (en) * 2023-08-24 2023-09-22 山东汉旗科技有限公司 Wafer horizontal cleaning device
CN116798921B (en) * 2023-08-24 2023-11-21 山东汉旗科技有限公司 Wafer horizontal cleaning device

Similar Documents

Publication Publication Date Title
JP3395696B2 (en) Wafer processing apparatus and wafer processing method
EP1055463B1 (en) Apparatus and method for plating a metal plating layer onto a surface of a seed layer of a wafer
US6558478B1 (en) Method of and apparatus for cleaning substrate
US6770151B1 (en) Drying a substrate using a combination of substrate processing technologies
JP2004006672A (en) Substrate processing method and apparatus
JP2003115474A (en) Substrate processor and processing method
US6921494B2 (en) Backside etching in a scrubber
JP2009081247A (en) Method of etching ruthenium film
JP3953265B2 (en) Substrate cleaning method and apparatus
WO2000074128A1 (en) Method of manufacturing semiconductor device and manufacturing apparatus
JP2003124180A (en) Substrate processor
KR100322439B1 (en) Etching and cleaning methods and etching and cleaning apparatuses used therefor
JP2003203900A (en) Wafer-processing device and wafer-processing method
JP3664605B2 (en) Wafer polishing method, cleaning method and processing method
JP3413726B2 (en) Wafer cleaning method
US7067015B2 (en) Modified clean chemistry and megasonic nozzle for removing backside CMP slurries
JPH03272140A (en) Chemical treater for semiconductor substrate
JP2009021617A (en) Substrate processing method
KR100858240B1 (en) Substrate spin apparatus
JPH08144075A (en) Removal of foreign matter on metal and device therefor
JP3903879B2 (en) Method for etching semiconductor substrate
JP2005032915A (en) Method and device for treating substrate
KR100840127B1 (en) The Cleaning System for Wafer Backside And The Cleaning Method using same
JP3810572B2 (en) Substrate cleaning method and apparatus
JP2004055839A (en) Apparatus and method for processing substrate

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050920

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051117

A131 Notification of reasons for refusal

Effective date: 20051213

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060404