JP2002530844A - Method for anisotropically etching aluminum and its alloys without leaving a residue - Google Patents

Method for anisotropically etching aluminum and its alloys without leaving a residue

Info

Publication number
JP2002530844A
JP2002530844A JP2000582617A JP2000582617A JP2002530844A JP 2002530844 A JP2002530844 A JP 2002530844A JP 2000582617 A JP2000582617 A JP 2000582617A JP 2000582617 A JP2000582617 A JP 2000582617A JP 2002530844 A JP2002530844 A JP 2002530844A
Authority
JP
Japan
Prior art keywords
etching
gas
aluminum
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000582617A
Other languages
Japanese (ja)
Inventor
ティモシー アール ウェッブ
サヴィサ ナンジャングード
マーレーン リー
ジェフリ− ストロークス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002530844A publication Critical patent/JP2002530844A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 本発明は、塩素含有ガス及び炭化水素含有ガスを含む源ガスから生成されたプラズマを使用し、本質的に残渣を残さずにアルミニウムまたはアルミニウム合金を異方性エッチングするための方法である。エッチングは、プラズマ生成源及び基体バイアス手段のための分離した電力制御を設けてある処理装置内において行われる。エッチングは、高密度プラズマ(少なくとも、1011-/cm)及び低基体バイアス(約200Vより低い)を使用して遂行される。本発明の方法は、受入れ可能なエッチング速度及び良好なエッチングプロファイルを提供しながら、マスキング層の寿命を長くする。本発明の方法は、高い(即ち、約0.5%より高い)合金含量を有するアルミニウム合金をエッチングするのに特に有用である。また本発明の方法は、大きい開放面積(即ち、ウェーハの表面積の約65%より大きい開放面積)を有する基体上に堆積さえたアルミニウムまたはアルミニウム合金層を本質的に残渣を残すことなくエッチングするのに特に有用である。 (57) Abstract: The present invention provides a method for anisotropically etching aluminum or an aluminum alloy using a plasma generated from a source gas containing a chlorine-containing gas and a hydrocarbon-containing gas without leaving any residue. This is the method. The etching is performed in a processing apparatus provided with separate power controls for the plasma source and the substrate biasing means. Etching is performed using a high-density plasma (at least 10 11 e / cm 3 ) and a low substrate bias (less than about 200 V). The method of the present invention prolongs the life of the masking layer while providing an acceptable etch rate and good etch profile. The method of the present invention is particularly useful for etching aluminum alloys having a high (ie, greater than about 0.5%) alloy content. Also, the method of the present invention etches an aluminum or aluminum alloy layer deposited on a substrate having a large open area (i.e., an open area greater than about 65% of the surface area of the wafer) essentially without residue. Especially useful for:

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】 (発明の分野) 本発明は、残渣を残さずにアルミニウム及びその合金を異方性エッチングする
ための方法に関する。
[0001] The present invention relates to a method for anisotropically etching aluminum and its alloys without leaving any residue.

【0002】 (従来の技術) 当分野においては、アルミニウム及びアルミニウム合金をエッチングするため
のいろいろな方法が開示されている。これらの方法の幾つかを以下に説明する。
[0002] Various methods for etching aluminum and aluminum alloys are disclosed in the art. Some of these methods are described below.

【0003】 1986年10月21日付Nawataらの米国特許第4,618,398号は、三塩化ホウ素、塩素
、及び炭化水素のエッチャント源ガス混合体をプラズマに変換し、アルミニウム
またはその合金をエッチングする乾式エッチング方法を開示している。この発明
によれば、低いプラズマRF電力密度を用いて高速でアルミニウムまたはその合
金を異方性エッチングすることができる。
US Pat. No. 4,618,398 to Nawata et al., Issued Oct. 21, 1986, discloses a dry etching method for converting an etchant source gas mixture of boron trichloride, chlorine and hydrocarbons into a plasma and etching aluminum or its alloys. Is disclosed. According to the present invention, aluminum or its alloy can be anisotropically etched at high speed using low plasma RF power density.

【0004】 1994年1月11日付Frankの米国特許第5,277,750号は、エッチングマスクを使用
して半導体集積回路内のアルミニウムまたはアルミニウム合金を含む金属化層を
異方性乾式エッチングするための方法を開示している。エッチングは、通常の状
態の下では揮発性であるヨウ素化合物を含む厳格に異方的に攻撃的なエッチング
ガス混合体を用いて遂行され、導体ラインの正確に限定された垂直プロファイル
を形成させる。
US Pat. No. 5,277,750 to Frank, Jan. 11, 1994, discloses a method for anisotropically dry etching a metallized layer containing aluminum or aluminum alloy in a semiconductor integrated circuit using an etching mask. are doing. The etching is performed using a strictly anisotropically aggressive etching gas mixture containing an iodine compound that is volatile under normal conditions, resulting in the formation of a precisely defined vertical profile of the conductor lines.

【0005】 1994年3月29日付Hayasakaらの米国特許第5,298,112号は、半導体デバイスを
製造するために使用する材料を含む反応チャンバ内において、ハロゲン元素を含
むガス及び水素元素を含むガスを使用して、またはフッ素を含むガス、酸素を含
むガス、及び塩素を含むガスを使用して、乾式アッシングによって処理される複
合材料を除去するための方法及び装置を開示している。
US Pat. No. 5,298,112 to Hayasaka et al., Mar. 29, 1994, uses a gas containing a halogen element and a gas containing a hydrogen element in a reaction chamber containing materials used to manufacture semiconductor devices. Disclosed are methods and apparatus for removing composite materials processed by dry ashing using a gas containing fluorine, a gas containing oxygen, and a gas containing chlorine.

【0006】 1998年7月14日付Maらの米国特許第5,779,926号には、基体上にエッチャント
残渣を形成することなく、基体上の多重成分アルミニウム合金をエッチングする
方法が開示されている。この方法においては、基体はプラズマ発生器及びプラズ
マ電極を備えた処理チャンバ内に配置される。プロセスガスは、(i)解離した
Cl+プラズマイオン及び非解離Cl2 +プラズマイオンを形成するためのイオン化
可能な塩素含有ガスと、(ii)この塩素含有ガスの解離を高めることができる不
活性ガスとを、それらの体積流れ比がVrになるように処理チャンバ内に導入す
る。プロセスガスはイオン化されてプラズマイオンが形成され、プラズマイオン
は、(i)第1の電力レベルのRF電流をプラズマ発生器に印加し、(ii)第2
の電力レベルのRF電流をプラズマ電極に印加することによって、基体上に活動
的に衝突させられる。プロセスガスの体積流れ比Vr、及び第1の電力レベルと
第2の電力レベルとの電力比Prの組合わせは、塩素含有エッチャントガスをイ
オン化させて少なくとも約0.6:1の数比の解離Cl+プラズマイオン及び非解離
Cl2 +プラズマイオンを形成させるように選択される。非解離Cl2 +イオンに対す
る解離Cl+イオンの量を増加させると、基体上にエッチャント残渣を形成するこ
となく、少なくとも約500nm/分のエッチング速度で基体上の多重成分合金が
エッチングされる。
US Pat. No. 5,779,926 to Ma et al., Jul. 14, 1998, discloses a method for etching a multi-component aluminum alloy on a substrate without forming etchant residues on the substrate. In this method, a substrate is placed in a processing chamber with a plasma generator and a plasma electrode. The process gas comprises (i) an ionizable chlorine-containing gas for forming dissociated Cl + plasma ions and non-dissociated Cl 2 + plasma ions, and (ii) an inert gas capable of enhancing the dissociation of the chlorine-containing gas. Gases are introduced into the processing chamber such that their volume flow ratio is Vr . The process gas is ionized to form plasma ions, wherein the plasma ions (i) apply an RF current at a first power level to a plasma generator;
By applying an RF current at a power level to the plasma electrode, it is actively bombarded on the substrate. The combination of power ratio P r of the volume flow ratio V r of the process gas, and a first power level and the second power level, a chlorine-containing etchant gas at least about by ionizing 0.6: dissociation of 1 number ratio It is selected to form Cl + plasma ions and undissociated Cl 2 + plasma ions. Increasing the amount of dissociation Cl + ions to non-dissociated Cl 2 + ions, without forming etchant residue on the substrate, multi-component alloy on the substrate is etched at least about 500 nm / min etch rate.

【0007】 当分野においては、アルミニウムまたはその合金をエッチングする場合、受入
れ可能なエッチングプロファイルを得るためにエッチングされる側壁をパッシベ
ート(不動態化)し、マスクを通してフィーチャを連続的に垂直エッチング中に
被エッチングフィーチャ壁が入射反応性種によって更にエッチングされないよう
に保護することが重要であることは公知である。パッシベート用のフィルムは、
エッチングによってフィーチャ側壁が形成される際に、それらの上に保護フィル
ムを形成するように反応するガス状化合物(即ち、側壁パッシベート用物質)に
よって形成される。窒素(N2)ガスは、広く使用されている側壁パッシベート
用物質である。窒素パッシベーションによれば受入れ可能なエッチングプロファ
イルが得られるが、窒素ガスを使用すると、除去が困難な窒化シリコンまたは窒
化銅(アルミニウム・銅合金をエッチングする場合)のような望ましくない残渣
が生成され得る。もしその場所に残れば、これらの残渣は金属相互接続ラインを
橋絡し、デバイスに電気的な問題(例えば、短絡)を生じさせる。
In the art, when etching aluminum or its alloys, the sidewalls to be etched are passivated to obtain an acceptable etching profile, and the features are continuously exposed through a mask during vertical etching. It is known that it is important to protect the feature wall being etched from being further etched by incident reactive species. The film for passivation is
As feature sidewalls are formed by etching, they are formed by gaseous compounds (ie, sidewall passivating materials) that react to form a protective film thereon. Nitrogen (N 2 ) gas is a widely used material for sidewall passivation. Nitrogen passivation provides an acceptable etch profile, but the use of nitrogen gas can create undesirable residues such as silicon nitride or copper nitride (when etching aluminum-copper alloys) that are difficult to remove. . If left in place, these residues bridge the metal interconnect lines and cause electrical problems (eg, short circuits) in the device.

【0008】 側壁パッシベート用物質として炭化水素(CH4のような)が使用されてきた
。しかしながら、典型的なプラズマエッチングチャンバにおいて、パッシベート
用物質として炭化水素を使用すると、アルミニウムのエッチング速度は受入れら
れないレベルまで遅くなる(即ち、約5,000Å /分より低くなる)。容量結合型
または誘導結合型エッチングチャンバにおけるアルミニウムのエッチング速度を
改善するためにエッチング中に高電力密度(即ち、約5mW/cm2、または約
1mA/cm2)を使用すると、イオンが基体の表面に衝撃を加えてマスク層並
びにアルミニウム層の急速なエッチングが達成される。もしこれを補償するため
にマスク層の厚みを増加させれば、被エッチングフィーチャを所望のプロファイ
ルにすることが困難になる。
[0008] Hydrocarbons (such as CH 4 ) have been used as sidewall passivation materials. However, in typical plasma etch chambers, the use of hydrocarbons as passivating materials slows the aluminum etch rate to unacceptable levels (ie, less than about 5,000 ° / min). The use of high power densities (ie, about 5 mW / cm 2 , or about 1 mA / cm 2 ) during etching to improve the etch rate of aluminum in a capacitively or inductively coupled etching chamber may result in ions being deposited on the surface of the substrate. A rapid etching of the mask layer as well as the aluminum layer is achieved. If the thickness of the mask layer is increased to compensate for this, it becomes difficult to bring the features to be etched into the desired profile.

【0009】 マスキング層よりもアルミニウムに対して受入れ可能な選択性を与えて所望の
エッチングプロファイルを達成し、そして受入れ可能なアルミニウムエッチング
速度を得ながら、本質的に残渣を残さない被エッチング表面が得られるようなア
ルミニウム及びアルミニウム合金をエッチングするための方法を提供することが
望れている。
A desired etched profile is achieved by providing an acceptable selectivity for aluminum over the masking layer and achieving an acceptable aluminum etch rate while leaving essentially no residue on the etched surface. It would be desirable to provide a method for etching aluminum and aluminum alloys as described.

【0010】 (発明の概要) 典型的なプラズマ処理装置においては、プラズマ生成源のための電力は、基体
バイアス手段のための電力と共通の制御の下にある。例えば、平行板プラズマチ
ャンバにおいては、プラズマ源電力を増加させると、基体をバイアスする基体ペ
デスタルへの電力も自動的に増加する。
SUMMARY OF THE INVENTION In a typical plasma processing apparatus, the power for the plasma source is under common control with the power for the substrate biasing means. For example, in a parallel plate plasma chamber, increasing the plasma source power automatically increases the power to the substrate pedestal, which biases the substrate.

【0011】 我々は、エッチングプロセス中に基体デバイスの破損を回避しながら、周りの
エッチングマスキング材料よりもアルミニウムに高い選択性を与えるために、基
体バイアスデバイスへの電力制御から分離させたプラズマ源電力制御を有するプ
ラズマ生成装置を使用した。更に、我々は、上述したような分離した電力制御を
使用すると、アルミニウムに対するエッチング速度を満足させながら、エッチン
グプロセス内に炭化水素含有材料を添加できることを発見した。
[0011] We have provided a plasma source power isolated from power control to a substrate bias device to provide higher selectivity to aluminum over the surrounding etch masking material while avoiding damage to the substrate device during the etching process. A plasma generator with control was used. In addition, we have discovered that using a separate power control as described above, hydrocarbon-containing materials can be added into the etching process while satisfying the etch rate for aluminum.

【0012】 我々は、プラズマ生成源及び基体のバイアスに使用されるデバイスのための分
離した電力制御装置の使用と、プラズマ源ガスまたは基体エッチング用処理チャ
ンバ(または、両方)の何れかへの炭化水素の添加とを組合わせることにより、
隣接するマスキング材料よりもアルミニウムに対するエッチングの選択性と、被
エッチングアルミニウムフィーチャの側壁の保護(即ち、側壁をパッシベーショ
ンする)とを同時に改善できることを発見した。炭化水素からなる材料が存在す
る結果として被エッチングフィーチャ側壁上に形成されるポリマーは、異方性エ
ッチング状態の下でエッチングされるアルミニウム側壁を保護するのに十分であ
る。
We use a separate power controller for the device used to bias the plasma source and the substrate, and carbonize either the plasma source gas or the processing chamber for substrate etching (or both). By combining with the addition of hydrogen,
It has been discovered that the etch selectivity for aluminum over the adjacent masking material and the protection of the sidewall of the etched aluminum feature (ie, passivating the sidewall) can be improved simultaneously. The polymer formed on the feature sidewalls to be etched as a result of the presence of the hydrocarbon material is sufficient to protect the aluminum sidewalls etched under anisotropic etching conditions.

【0013】 プラズマ生成源への電力を増加させることによって、フィーチャ表面上に存在
する反応性種の数を大幅に増加させることができる。基体バイアスを別個に制御
することによって、全ての水平フィーチャ表面に重いイオン衝撃を生じさせるこ
となく異方性エッチング状態を発生させるようにこのバイアスをセットすること
ができる。その結果、エッチャント種化合物(イオン衝撃以外の)が隣接するマ
スキング材料よりもアルミニウムに対する選択性を制御し、異方性エッチング状
態を発生させるための十分な基体バイアスが達成される。フィーチャ表面におけ
るプラズマ種に炭化水素を同時に添加することによって、フィーチャの側壁上と
底内とに薄いポリマーの層が堆積される。異方性エッチング中にフィーチャの側
壁はエッチャント種に曝されないので、薄いポリマーの層が側壁表面をエッチン
グされないように保護するのに役立つが、フィーチャの底のポリマー層は除去さ
れる(水平表面、即ちフィールド表面上と、フィーチャの底内に堆積された薄い
ポリマーの層は、異方性エッチング中に除去される)。
By increasing the power to the plasma source, the number of reactive species present on the feature surface can be significantly increased. By separately controlling the substrate bias, the bias can be set to produce an anisotropic etch without causing heavy ion bombardment on all horizontal feature surfaces. The result is that the etchant seed compound (other than ion bombardment) controls the selectivity for aluminum over the adjacent masking material and achieves sufficient substrate bias to generate an anisotropic etch. By simultaneously adding hydrocarbons to the plasma species at the feature surface, a thin layer of polymer is deposited on the sidewalls and within the bottom of the feature. During the anisotropic etch, the sidewalls of the features are not exposed to the etchant species, thus helping to protect the thin polymer layer from etching the sidewall surface, while removing the polymer layer at the bottom of the feature (horizontal surface, That is, the thin polymer layer deposited on the field surface and within the bottom of the feature is removed during the anisotropic etch).

【0014】 本発明の方法は、塩素含有ガス及び炭化水素含有ガスからなるプラズマ源ガス
から生成されたプラズマを使用して、アルミニウムまたはアルミニウム合金を異
方的にエッチングすることを含む。エッチングは、プラズマ生成源及び基体バイ
アス手段のための分離した電力制御を有する処理装置内で遂行される。
The method of the present invention involves anisotropically etching aluminum or an aluminum alloy using a plasma generated from a plasma source gas comprising a chlorine-containing gas and a hydrocarbon-containing gas. The etching is performed in a processing apparatus having separate power controls for the plasma source and the substrate biasing means.

【0015】 好ましくは、塩素含有ガスは、Cl2、HCl、BCl3、CCl4、SiCl4、CH
Cl3、CCl22、CHCl2F、及びそれらの組合わせからなるグループから選
択される。より好ましくは、塩素含有ガスはフッ素を含まない。最も好ましくは
、塩素含有ガスはCl2である。
Preferably, the chlorine containing gas is Cl 2 , HCl, BCl 3 , CCl 4 , SiCl 4 , CH
Cl 3, CCl 2 F 2, CHCl 2 F, and is selected from the group consisting of combinations. More preferably, the chlorine containing gas does not contain fluorine. Most preferably, the chlorine-containing gas is Cl 2.

【0016】 炭化水素含有ガスは、Cxyの化学式を有していることが好ましい。但し、y
が約1から12までの範囲にある場合、xは典型的には約1から約5までの範囲で
ある。より好ましくは、xは1から3までの範囲であり、yは1から6までの範
囲である。最も好ましくは、炭化水素含有ガスはCH4である。
Preferably, the hydrocarbon-containing gas has a chemical formula of C x H y . Where y
When is in the range of about 1 to 12, x typically ranges from about 1 to about 5. More preferably, x ranges from 1 to 3 and y ranges from 1 to 6. Most preferably, the hydrocarbon-containing gas is CH 4.

【0017】 プラズマ源ガス内の塩素:炭素の原子比は、好ましくは約5:1から約200:
1までの範囲であり、より好ましくは約10:1から20:1までの範囲である。炭
化水素内の水素:炭素の原子比は、好ましくは約1:1から約4:1までの範囲
である。
The chlorine: carbon atomic ratio in the plasma source gas is preferably from about 5: 1 to about 200:
1, and more preferably in the range of about 10: 1 to 20: 1. The atomic ratio of hydrogen to carbon in the hydrocarbon preferably ranges from about 1: 1 to about 4: 1.

【0018】 プラズマ源ガスは、エッチングプロファイル制御を援助する添加ガスを更に含
むことができる。添加ガスは、好ましくは、BCl3、N2、CF4、C26、C4
8、CHF3、CH22、CHCl3、CHCl2F、CCl22、C2Cl24、C
BrF3、CBr22、O2、及びそれらの組合わせからなるグループから選択する
。しかしながら、他の類似添加ガスをプロファイル制御のために使用することが
できる。より好ましくは、添加ガスは酸素を含まない。最も好ましくは、添加ガ
スはBCl3である。
[0018] The plasma source gas may further include an additive gas that assists in controlling the etching profile. The additive gas is preferably BCl 3 , N 2 , CF 4 , C 2 F 6 , C 4
F 8 , CHF 3 , CH 2 F 2 , CHCl 3 , CHCl 2 F, CCl 2 F 2 , C 2 Cl 2 F 4 , C
It is selected from the group consisting of BrF 3 , CBr 2 F 2 , O 2 , and combinations thereof. However, other similar additive gases can be used for profile control. More preferably, the additive gas does not contain oxygen. Most preferably, the additive gas is BCl 3.

【0019】 プラズマ源ガスは、典型的には、アルゴン、ヘリウム、キセノン、クリプトン
、及びそれらの組合わせからなるグループから選択された非比反応性の希釈ガス
を含むが、低価格の故にアルゴンが好ましい。
The plasma source gas typically includes a non-reactive diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof, but because of its low cost, argon is preferable.

【0020】 プラズマの電子密度は、好ましくは少なくとも1011-/cm3であり、最も好
ましくは約1012-/cm3である。基体バイアスは、好ましくは約−200Vより
低い。最も好ましくは、基体バイアスは約−50Vから約−150Vの範囲である。
The electron density of the plasma is preferably at least 10 11 e / cm 3 , and most preferably about 10 12 e / cm 3 . The substrate bias is preferably below about -200V. Most preferably, the substrate bias ranges from about -50V to about -150V.

【0021】 本発明の方法は、純粋なアルミニウムより多くの望ましくない残渣を発生する
傾向があるアルミニウム・銅及びアルミニウム・銅・シリコン合金のようなアル
ミニウム合金のエッチングにとって特に有用である。
The method of the present invention is particularly useful for etching aluminum alloys, such as aluminum-copper and aluminum-copper-silicon alloys, which tend to generate more undesirable residues than pure aluminum.

【0022】 本発明の方法は、大きい開放面積を有する(即ち、開放面積が、ウェーハの表
面積の約65%より大きいような)基体上に堆積されたアルミニウムまたはアルミ
ニウム合金層を、本質的に残渣を残さずにエッチングするのに特に有用である。
The method of the present invention removes an aluminum or aluminum alloy layer deposited on a substrate having a large open area (ie, such that the open area is greater than about 65% of the surface area of the wafer) by essentially removing residue. It is particularly useful for etching without leaving any residue.

【0023】 (実施の形態) 我々は、半導体デバイス内の相互接続構造及びコンタクトを含むアルミニウム
及びアルミニウム合金の、本質的に残渣を残さない改善されたエッチング方法を
発見した。この方法自体、及び本発明の方法を遂行するための好ましい処理パラ
メタを以下に詳細に説明する。
Embodiments We have discovered an improved residue-free method for etching aluminum and aluminum alloys, including interconnect structures and contacts, in semiconductor devices. The method itself and preferred processing parameters for performing the method of the present invention are described in detail below.

【0024】 I.定義 詳細な説明の前に、本明細書及び特許請求の範囲において使用される単数形の
表現は、文脈から明らかに理解できる場合を除いて複数の指示対象を含むことを
理解されたい。
I. Before defining detailed description, the singular forms used in this specification and claims, should be understood to include a plurality of referents unless the can clearly understood from the context.

【0025】 本発明の説明に特に重要な特定の用語を以下に定義する。Certain terms that are particularly important in describing the present invention are defined below.

【0026】 用語「アルミニウム合金」は、半導体産業において典型的に使用される種類の
アルミニウムの合金である。これらの合金は、限定するものではないが、例えば
アルミニウム・銅合金、及びアルミニウム・銅・シリコン合金を含む。典型的に
は、合金のアルミニウム含量は90%またはそれ以上である。
The term “aluminum alloy” is an alloy of aluminum of the type typically used in the semiconductor industry. These alloys include, but are not limited to, for example, aluminum-copper alloys and aluminum-copper-silicon alloys. Typically, the aluminum content of the alloy is 90% or higher.

【0027】 用語「異方性エッチング」は、同一の速度で全ての方向に進行しないエッチン
グのことをいう。もしエッチングが1方向だけに(例えば、垂直方向だけに)進
行すれば、そのエッチングプロセスは完全に異方性であるという。
The term “anisotropic etching” refers to etching that does not proceed in all directions at the same rate. If the etching proceeds in only one direction (eg, only in the vertical direction), the etching process is said to be completely anisotropic.

【0028】 用語「アスペクト比」は、一般的に、特定のフィーチャの幅寸法に対する高さ
寸法に比のことである。フィーチャが1つより多くの幅を有している場合には、
最小幅を使用してアスペクト比が計算される。
The term “aspect ratio” generally refers to the ratio of the height dimension to the width dimension of a particular feature. If a feature has more than one width,
The aspect ratio is calculated using the minimum width.

【0029】 用語「バイアス電力」は、基体表面上に負の電圧を発生させるために基体支持
プラテンに印加される電力のことである。典型的には、この負電圧は、イオン衝
撃エネルギ、及び基体に向かうイオンの指向性を制御するために使用される。
The term “bias power” refers to the power applied to a substrate support platen to generate a negative voltage on the substrate surface. Typically, this negative voltage is used to control the ion bombardment energy and the directivity of the ions towards the substrate.

【0030】 本明細書において使用する用語「塩素」は、Cl2並びに反応性エッチャント種
を発生することができる他の塩素含有化合物を含むものとする。
The term “chlorine” as used herein is intended to include Cl 2 as well as other chlorine-containing compounds capable of generating a reactive etchant species.

【0031】 用語「分離した(デカップルされた)プラズマ源」は、プラズマ源生成、及び
基体バイアスデバイスへの電力入力のための分離した制御を有するプラズマ生成
装置のことをいう。典型的には、プラズマ源電力コントローラは、プラズマを生
成するために、及びプラズマ密度を決定するために使用される誘導結合RF電力
の供給を制御し、バイアス電力コントローラは、半導体基体表面上の直流バイア
ス電圧を生成するために使用されるRF電力の供給を制御する。バイアス電圧は
、基体表面上のイオン衝撃エネルギに影響を与える。分離したプラズマ源は、典
型的には、源及びバイアスの互いに他に対する影響を分離する(切り離す)ため
の方策を組み入れている。カリフォルニア州サンタクララのApplied Materials
社から入手可能な、分離したプラズマ源及びバイアス電力制御を含むENDURA(登
録商標)金属堆積システム及びCENTURA(登録商標)金属エッチングシステムを
“DPS”システムと呼ぶ。他の製造者から入手可能な類似装置は、異なる命名
法で呼ぶことができる。
The term “separated (decoupled) plasma source” refers to a plasma generator having separate control for plasma source generation and power input to a substrate biasing device. Typically, the plasma source power controller controls the supply of inductively coupled RF power used to generate the plasma and determine the plasma density, and the bias power controller controls the direct current on the semiconductor substrate surface. Controls the supply of RF power used to generate the bias voltage. The bias voltage affects the ion bombardment energy on the substrate surface. Separate plasma sources typically incorporate strategies to separate the effects of the source and bias on each other. Applied Materials in Santa Clara, California
The ENDURA® metal deposition and CENTURA® metal etching systems available from the company, including a separate plasma source and bias power control, are referred to as “DPS” systems. Similar devices available from other manufacturers can be referred to by different nomenclature.

【0032】 用語「エッチングプロファイル」は、限定するものではないが、一般的にはエ
ッチングされたアルミニウムラインの側壁の断面プロファイルのことをいう。多
くの場合エッチングプロファイルは、側壁と、下に位置する基体とがなす角度で
表される。角度が90°である場合、側壁は基体に対して直角である。一般的には
これが好ましい。角度が90°より大きい(正)場合には、そのラインの側壁はテ
ーパーが付いているという(即ち、そのラインは、それが基体に接触しているそ
のベースにおける幅の方が広い)。角度が90°より小さい(負)場合には、ライ
ンの側壁は逆行(レトログレード)またはアンダーカットしているという(即ち
、そのラインは、その上面におけるよりもそのベースにおける幅の方が狭い)。
図3は、正及び負角度ライン側壁プロファイルを示している。
The term “etch profile” generally, but not exclusively, refers to the cross-sectional profile of the sidewalls of an etched aluminum line. Often, the etch profile is described by the angle between the sidewall and the underlying substrate. If the angle is 90 °, the sidewall is perpendicular to the substrate. This is generally preferred. If the angle is greater than 90 ° (positive), the side wall of the line is tapered (ie, the line is wider at its base where it contacts the substrate). If the angle is less than 90 ° (negative), the side wall of the line is said to be retrograde or undercut (ie, the line is narrower at its base than at its top surface). .
FIG. 3 shows the positive and negative angle line sidewall profiles.

【0033】 用語「エッチングプロファイルマイクロローディング」とは、同一基体上の稠
密なラインのアレイの平均エッチングプロファイル角度と、孤立したラインの平
均エッチングプロファイル角度との差のことである。例えば、もし稠密なライン
のアレイの平均エッチングプロファイルアングルが90°であり、同一基体上の孤
立したラインの平均エッチングプロファイルアングルが85°であれば、エッチン
グプロファイルマイクロローディングは、5°(即ち、90°−85°=5°)であ
る。
The term “etch profile microloading” refers to the difference between the average etch profile angle of an array of dense lines on the same substrate and the average etch profile angle of an isolated line. For example, if the average etch profile angle of an array of dense lines is 90 ° and the average etch profile angle of an isolated line on the same substrate is 85 °, the etch profile microloading is 5 ° (ie, 90 °). ° -85 ° = 5 °).

【0034】 用語「フィーチャ」は、限定するものではないが、相互接続、コンタクト、バ
イア、トレンチ、及び基体表面のトポグラフィを形成している他の構造のことを
いう。
The term “feature” refers to, but is not limited to, interconnects, contacts, vias, trenches, and other structures forming a topography in a substrate surface.

【0035】 用語「フィーチャサイズ」は、フィーチャの最小寸法をいう。The term “feature size” refers to the smallest dimension of a feature.

【0036】 用語「高密度プラズマ」は、限定するものではないが、少なくとも1011-
cm3の電子密度を有するプラズマのことである。
The term “dense plasma” includes, but is not limited to, at least 10 11 e /
Plasma having an electron density of cm 3 .

【0037】 用語「炭化水素」は、一般式Cxyを有する水素及び炭素含有化合物のことで
ある。ここに、xは好ましくは約1から約5までの範囲であり、yは好ましくは
約1から12までの範囲である。
The term “hydrocarbon” refers to hydrogen and carbon containing compounds having the general formula C x H y . Here, x preferably ranges from about 1 to about 5, and y preferably ranges from about 1 to 12.

【0038】 用語「イオン衝撃」は、限定するものではないが、イオン(及び、イオンと共
に存在する他の励起された原子種)による基体表面の物理的衝撃のことをいう。
イオン衝撃は基体表面から原子を除去するために使用されることが多く、原子除
去を達成するために物理的運動量転送が使用される。
The term “ion bombardment” refers to, but is not limited to, the physical bombardment of a substrate surface by ions (and other excited atomic species present with the ions).
Ion bombardment is often used to remove atoms from a substrate surface, and physical momentum transfer is used to achieve atom removal.

【0039】 用語「開放面積」は、開口が形成されている基体の面積のことである(例えば
、基体はパターン化され、エッチングされてコンタクト、バイア、トレンチ等が
形成されている)。大きい開放面積を有する基体は、開口が基体表面の高いパー
センテージ(即ち、約65%より多く)にわたって形成されているような基体であ
る。
The term “open area” refers to the area of a substrate in which an opening is formed (eg, the substrate is patterned and etched to form contacts, vias, trenches, etc.). A substrate having a large open area is one in which the openings are formed over a high percentage of the substrate surface (ie, greater than about 65%).

【0040】 用語「酸化物損失」は、典型的には基体と拡散バリヤー層との間にサンドウィ
ッチされている酸化シリコン層が消失することである。
The term “oxide loss” is the disappearance of a silicon oxide layer that is typically sandwiched between a substrate and a diffusion barrier layer.

【0041】 用語「プラズマ」は、本質的に同数の正及び負の電荷と、異なる数の非イオン
化ガス粒子とを含む部分的にイオン化されたガスのことをいう。
The term “plasma” refers to a partially ionized gas that contains essentially the same number of positive and negative charges and a different number of non-ionized gas particles.

【0042】 用語「側壁パッシベーション」は、マスクを通してフィーチャを連続垂直エッ
チング中に、被エッチングフィーチャの側壁を入射反応性種によるさらなるエッ
チングから保護することをいう。
The term “sidewall passivation” refers to protecting the sidewalls of a feature to be etched from further etching by incident reactive species during continuous vertical etching of the feature through a mask.

【0043】 用語「源電力」は、エッチングチャンバ内において直接的にであるか、または
マイクロ波プラズマ発生器の場合のように遠隔的にであるかには無関係に、プラ
ズマイオン及び中性物質を生成するために使用される電力のことである。
The term “source power” refers to plasma ions and neutrals, whether directly in the etch chamber or remotely, as in a microwave plasma generator. It is the power used to generate.

【0044】 II.本発明を実現するための装置 プラズマ生成源及び基体バイアス手段への電力のための分離した制御を有する
装置が、第11回国際プラズマ処理シンポジウム(1996年5月7日)の議事録にYa
n Yeらによって記述されており、Electrochemical Society 会報(Vol. 96-12,
pp. 222-233, 1996)で刊行されている。
II. Apparatus for Realizing the Present Invention An apparatus with separate control for power to the plasma source and substrate bias means has been included in the minutes of the 11th International Plasma Processing Symposium (May 7, 1996).
n Ye et al., Electrochemical Society Bulletin (Vol. 96-12,
pp. 222-233, 1996).

【0045】 図1は、本発明を実現するのに使用できるエッチング処理装置、Applied Mate
rialsのCENTURA(登録商標)エッチングシステム(カリフォルニア州サンタクラ
ラのApplied Materials, Inc.)の概要断面図である。CENTURAエッチングシステ
ムは、200mmウェーハを処理するように設計された単一ウェーハ・多重チャン
バ設計を使用する完全に自動化された半導体製造システムである。図1に示すよ
うに、CENTURAエッチングシステムは、分離したプラズマ源(DPS)チャンバ
102、高度ストリップ及びパッシベーション(ASP)チャンバ104、ウェ
ーハ配向チャンバ106、冷却チャンバ108、及び独立的に動作するロードロ
ックチャンバ110を含んでいる。後述する例1及び2において説明する実験は
、System 2982 CENTURAエッチングシステムを使用して遂行した。System 2982は
、それが1つのASPチャンバだけを含んでいる点が、図1に示す汎用CENTURA
エッチングシステムとは異なっている。
FIG. 1 shows an etching apparatus, Applied Mate, which can be used to realize the present invention.
1 is a schematic cross-sectional view of rials' CENTURA® etching system (Applied Materials, Inc. of Santa Clara, CA). The CENTURA etching system is a fully automated semiconductor manufacturing system that uses a single wafer, multi-chamber design designed to process 200 mm wafers. As shown in FIG. 1, the CENTURA etching system includes a separate plasma source (DPS) chamber 102, an advanced strip and passivation (ASP) chamber 104, a wafer orientation chamber 106, a cooling chamber 108, and an independently operating load lock chamber. 110. The experiments described in Examples 1 and 2 below were performed using a System 2982 CENTURA etching system. The System 2982 is different from the general-purpose CENTURA shown in Figure 1 in that it contains only one ASP chamber.
It is different from the etching system.

【0046】 図2aは、CENTURAエッチングシステムに使用されている型の個々の金属エッ
チングDPSチャンバ102の詳細図である。金属エッチングDPSチャンバ1
02は、セラミックドーム202、標準単極静電チャック(ESC)204、及
び1.0インチフォーカスリング206を含む。ドーム202は、処理中、粒子の
形成を制御するために一定の温度に維持される。ガスは、ガスを均一に分配する
ために4つのセラミックガス注入ノズル208を介してチャンバ内に導入される
。チャンバ圧は、独特なプランジャ型絞り弁212を有する閉ループ圧力制御シ
ステム210によって制御される。
FIG. 2 a is a detailed view of an individual metal etch DPS chamber 102 of the type used in a CENTURA etch system. Metal etching DPS chamber 1
02 includes a ceramic dome 202, a standard monopolar electrostatic chuck (ESC) 204, and a 1.0 inch focus ring 206. The dome 202 is maintained at a constant temperature during processing to control particle formation. Gas is introduced into the chamber via four ceramic gas injection nozzles 208 to evenly distribute the gas. Chamber pressure is controlled by a closed loop pressure control system 210 having a unique plunger-type throttle valve 212.

【0047】 DPSエッチングチャンバ102は約2MHzに同調している周波数を使用す
る誘導プラズマ源を用い、高密度プラズマ(即ち、少なくとも1011-/cm3
電子密度を有する)を生成し、維持する。ウェーハは、11.56MHzのRF電源
を用いてバイアスされる。プラズマ源を切り離すことによりイオンエネルギ及び
イオン密度を独立制御することが可能になり、源及びバイアス電力、圧力、及び
金属エッチングガス物質の変化に対して広い処理ウィンドウを有する高度に均一
なプラズマ(<5%変動)が得られる。
The DPS etch chamber 102 uses an inductive plasma source using a frequency tuned to about 2 MHz to generate and maintain a high density plasma (ie, having an electron density of at least 10 11 e / cm 3 ). I do. The wafer is biased using a 11.56 MHz RF power supply. Separating the plasma source allows independent control of ion energy and ion density, and a highly uniform plasma (<<1>) with a wide processing window for changes in source and bias power, pressure, and metal etch gas material. 5% variation).

【0048】 図2bは、個々の金属エッチングDPSチャンバ102の縦断面図である。エ
ッチングプロセス中には基体225は処理チャンバ102内に配置され、静電チ
ャック273によって所定位置に保持されている。静電チャック273は、独立
的に制御されるプラズマ電極(RF)電源270に接続されている陰極プラズマ
電極257の上に位置している。チャンバの壁263は、電気的に接地されて陽
極プラズマ電極258を形成している。プラズマ源ガスは、基体225の上の周
縁に配置されているガスディストリビュータ265によって処理チャンバ102
全体に分配される。プラズマイオンは、独立的に制御されるプラズマ発生器(R
F)電源268に接続されている誘導コイルプラズマ発生器255にRF電流を
印加することによって、プラズマ源ガスから形成される。陰極電極257は、電
源270を介して陰極電極257にRF電圧を印加することによって陽極電極2
58に対して電気的にバイアスされるので、チャンバ102内に形成されたプラ
ズマイオンは基体225に向かって引き付けられ、基体225上に活動的に衝突
する。使用済みのプロセスガス及びエッチャント副産物は、排気システム274
を通して処理チャンバ102から排気される。チャンバ102内の圧力を制御す
るために、排気システム内には絞り弁276が設けられている。金属エッチング
DPSチャンバ102の詳細に関しては、1998年7月14日付Maらの米国特許第5,
779,926号を参照されたい。
FIG. 2 b is a longitudinal sectional view of an individual metal etching DPS chamber 102. During the etching process, the substrate 225 is placed in the processing chamber 102 and is held in place by the electrostatic chuck 273. The electrostatic chuck 273 is located on a cathode plasma electrode 257 that is connected to an independently controlled plasma electrode (RF) power supply 270. Chamber wall 263 is electrically grounded to form anode plasma electrode 258. The plasma source gas is supplied to the processing chamber 102 by a gas distributor 265 located on the periphery of the substrate 225.
Distributed throughout. The plasma ions are independently controlled by a plasma generator (R
F) formed from a plasma source gas by applying an RF current to an induction coil plasma generator 255 connected to a power supply 268; The cathode electrode 257 is connected to the anode electrode 257 by applying an RF voltage to the cathode electrode 257 via the power supply 270.
Being electrically biased with respect to 58, plasma ions formed within chamber 102 are attracted toward substrate 225 and actively bombard substrate 225. Spent process gas and etchant by-products are pumped into the exhaust system 274
Exhausted from the processing chamber 102 through the A throttle valve 276 is provided in the exhaust system to control the pressure in the chamber 102. For details of the metal etch DPS chamber 102, see Ma et al., U.S. Pat.
See 779,926.

【0049】 後述する例3、4、及び5において説明する実験は、Applied MaterialsのSys
tem 5084 基本型エッチング処理システムを使用して遂行した。System 5084は、
System 2982 CENTURAエッチングシステムに極めて類似した単一ウェーハ・多重
チャンバ設計を使用する完全に自動化された半導体製造システムであるが、150
mmウェーハを処理するように設計されていることが異なる。System 5084は、
中央ロードロックチャンバに取付けられている3つの処理チャンバ(2つの基本
型DPSチャンバと、1つのASPチャンバ)を支持している。System 5084は
、Applied MaterialsのSystem 2982装置と類似の技法で同一の機能を遂行する。
The experiments described in Examples 3, 4, and 5 described below were performed by Applied Materials' Sys.
Performed using a tem 5084 basic etching system. System 5084 is
System 2982 A fully automated semiconductor manufacturing system that uses a single-wafer, multi-chamber design very similar to the CENTURA etching system,
The difference is that they are designed to process mm wafers. System 5084 is
It supports three processing chambers (two basic DPS chambers and one ASP chamber) attached to the central load lock chamber. The System 5084 performs the same function in a similar technique as the Applied Materials System 2982 device.

【0050】 III.残渣を残さずにアルミニウム及びその合金を異方性エッチングする方法 本発明の方法は、独立的に制御されるプラズマ生成源及び基体バイアス手段と
、反応性の塩素含有種、及び炭化水素含有ガスを含むプラズマ源ガスから生成さ
れたプラズマとの組合わせを使用してアルミニウムまたはアルミニウム合金を異
方的にエッチングすることを含む。エッチング処理チャンバは、プラズマイオン
含量を増加させるためにRF結合された内部コイルを使用して、または使用せず
に、外部的に、または局部的に生成されるプラズマ源を使用することができる。
III. Method for anisotropically etching aluminum and its alloys without leaving a residue The method of the present invention comprises an independently controlled plasma source and substrate biasing means, a reactive chlorine-containing species, and a hydrocarbon-containing gas. Anisotropically etching aluminum or aluminum alloy using a combination with a plasma generated from a plasma source gas comprising the same. The etch processing chamber can use an externally or locally generated plasma source, with or without an RF-coupled internal coil to increase the plasma ion content.

【0051】 塩素含有種は、典型的には、好ましくはCl2、HCl、BCl3、CCl4、SiC
l4、CHCl3、CCl22、CHCl2F、及びそれらの組合わせからなるグルー
プから選択されたガスから生成される。より好ましくは、塩素含有種はフッ素を
含まないガスから生成される。最も好ましくは、塩素含有種はCl2から生成され
る。
The chlorine containing species is typically preferably Cl 2 , HCl, BCl 3 , CCl 4 , SiC
l 4, CHCl 3, CCl 2 F 2, CHCl 2 F, and is generated from the selected gas from the group consisting of combinations. More preferably, the chlorine containing species is produced from a gas that does not contain fluorine. Most preferably, the chlorine-containing species is generated from Cl 2.

【0052】 被エッチングアルミニウムフィーチャ表面の側壁をパッシベートするために使
用される炭化水素含有ガスが、プラズマ源ガスを構成する他のガスに典型的に添
加される。炭化水素含有ガスは、Cxyの化学式を有していることが好ましい。
但し、yが約1から12までの範囲にある場合、xは典型的には約1から約5まで
の範囲である。より好ましくは、xは1から3までの範囲であり、yは1から6
までの範囲である。最も好ましくは、炭化水素含有ガスはCH4である。
The hydrocarbon-containing gas used to passivate the sidewalls of the aluminum feature to be etched is typically added to the other gases that make up the plasma source gas. Hydrocarbon-containing gas preferably has a chemical formula of C x H y.
However, when y is in the range of about 1 to 12, x is typically in the range of about 1 to about 5. More preferably, x ranges from 1 to 3 and y ranges from 1 to 6
Range. Most preferably, the hydrocarbon-containing gas is CH 4.

【0053】 使用した用語「側壁パッシベーション」とは、マスクを通してフィーチャを連
続垂直エッチング中に、被エッチングフィーチャ側壁を入射反応性種によるさら
なるエッチングから保護することをいう。更に、プラズマ源ガス内の塩素、炭素
、及び水素の相対比を調整することによって、エッチングを完了した後のフィー
チャ表面全体をパッシベートする付加的な恩恵を得ることができ、それによって
それ以後の処理及びデバイス使用中の耐食性が改善される。塩素または塩素含有
ガス、及び炭化水素含有ガスは、プラズマ源ガス内の塩素:炭素の原子比が約5
:1から約200:1までの範囲となるような、より好ましくは約10:1から20:
1までの範囲となるような相対量で供給することが好ましい。炭化水素内の水素
:炭素の原子比は、好ましくは約1:1から約4:1までの範囲である。
The term “sidewall passivation” used refers to protecting the etched feature sidewalls from further etching by incident reactive species during continuous vertical etching of the features through the mask. Further, by adjusting the relative ratio of chlorine, carbon, and hydrogen in the plasma source gas, the additional benefit of passivating the entire feature surface after completing the etch can be obtained, thereby further processing. And the corrosion resistance during use of the device is improved. The chlorine or chlorine containing gas and the hydrocarbon containing gas have a chlorine: carbon atomic ratio of about 5 in the plasma source gas.
: 1 to about 200: 1, more preferably about 10: 1 to 20:
It is preferable to supply in a relative amount so as to be in the range up to 1. The atomic ratio of hydrogen to carbon in the hydrocarbon preferably ranges from about 1: 1 to about 4: 1.

【0054】 プラズマ源ガスは、エッチングプロファイル制御を援助する添加ガスを更に含
むことができる。添加ガスは、好ましくは、BCl3、N2、CF4、C26、C4
8、CHF3、CH22、CHCl3、CHCl2F、CCl22、C2Cl24、C
BrF3、CBr22、O2、及びそれらの組合わせからなるグループから選択する
。しかしながら、他の類似添加ガスをプロファイル制御のために使用することが
できる。より好ましくは、添加ガスは酸素を含まない。プラズマ源ガス内に酸素
が存在すると、フォトレジストマスキング材料に対してアルミニウムを優先的に
エッチングするプラズマ源ガスの選択性が低下し、フォトレジストマスキング層
に望ましくないエッチング速度がもたらされ得る。最も好ましい添加ガスはBC
l3である。
The plasma source gas may further include an additive gas that assists in controlling an etching profile. The additive gas is preferably BCl 3 , N 2 , CF 4 , C 2 F 6 , C 4
F 8 , CHF 3 , CH 2 F 2 , CHCl 3 , CHCl 2 F, CCl 2 F 2 , C 2 Cl 2 F 4 , C
It is selected from the group consisting of BrF 3 , CBr 2 F 2 , O 2 , and combinations thereof. However, other similar additive gases can be used for profile control. More preferably, the additive gas does not contain oxygen. The presence of oxygen in the plasma source gas can reduce the selectivity of the plasma source gas to preferentially etch aluminum relative to the photoresist masking material, resulting in an undesirable etch rate of the photoresist masking layer. The most preferred additive gas is BC
l is 3 .

【0055】 プラズマ源ガスは、典型的には、アルゴン、ヘリウム、キセノン、クリプトン
、及びそれらの組合わせからなるグループから選択された非比反応性希釈ガスを
含むが、低価格の故にアルゴンが好ましい。
The plasma source gas typically includes a non-reactive diluent gas selected from the group consisting of argon, helium, xenon, krypton, and combinations thereof, but argon is preferred because of its low cost. .

【0056】 エッチングは、プラズマ源電力を、基体バイアス電力とは分離して制御される
処理装置を使用して遂行される。その概要は、前記II項において説明済みである
。プラズマの電子密度は、好ましくは少なくとも1011-/cm3であり、より好
ましくは約1012-/cm3である。
The etching is performed using a processing apparatus in which the plasma source power is controlled separately from the substrate bias power. Its summary has been described in section II above. The electron density of the plasma is preferably at least 10 11 e - a / cm 3, more preferably about 10 12 e - a / cm 3.

【0057】 エッチングのマスキング層の表面に衝撃を加えるイオンの量を減少させながら
異方性エッチングを遂行させるために、基体バイアス電力は注意深く制御する。
上述した装置では、バイアス電力は、好ましくは約300Wより低く、より好まし
くは約200Wより低く、最も好ましくは約100Wより低い。
The substrate bias power is carefully controlled in order to perform anisotropic etching while reducing the amount of ions bombarding the surface of the etching masking layer.
In the device described above, the bias power is preferably lower than about 300 W, more preferably lower than about 200 W, and most preferably lower than about 100 W.

【0058】 以下の表1は、図1及び2に示し、II項において説明したApplied Materials
のSystem 2982 CENTURAエッチングシステムを使用して、本発明の方法による本
質的に残渣を残さずにアルミニウム及び/またはその合金をエッチングするため
の好ましい処理条件を示している。 表 1. アルミニウム及びその合金のための好ましい処理条件 * 基体温度は、典型的にはペデスタル温度より約40-50℃高い。例えば、ペデス
タル温度が約50℃であれば、基体温度は典型的には約90-100℃になる。
Table 1 below shows the Applied Materials shown in FIGS. 1 and 2 and described in section II.
3 shows preferred processing conditions for etching aluminum and / or its alloys with essentially no residue according to the method of the present invention using the System 2982 CENTURA etching system of the present invention. Table 1. Preferred processing conditions for aluminum and its alloys * The substrate temperature is typically about 40-50 ° C above the pedestal temperature. For example, if the pedestal temperature is about 50 ° C, the substrate temperature will typically be about 90-100 ° C.

【0059】例 1 Cl2及びBCl3のエッチャント種混合体を一定に保ち、窒素及びメタンをいろ
いろな量でプラズマ源ガスに添加してアルミニウム合金のエッチング速度を比較
する実験を行った。
Example 1 An experiment was performed to compare the etch rates of aluminum alloys while keeping the etchant species mixture of Cl 2 and BCl 3 constant and adding various amounts of nitrogen and methane to the plasma source gas.

【0060】 処理作業は、図1及び2に示し、区分IIにおいて説明したApplied Materials
のSystem 2982エッチング処理装置を使用して遂行した。
The processing operations are described in Applied Materials shown in FIGS. 1 and 2 and described in Section II.
This was performed using a System 2982 etching system.

【0061】 この検討に使用されたフィルムスタックは、シリコンウェーハ基体上で、上か
ら下へ、1.8μmのiラインフォトレジスト(カリフォルニア州フリーモントの
TFI製)、450Å のTiN ARC(反射防止被膜)、11,500Å のAl−0.5%
Cu、700Å のTiバリヤー層、及び1.12μmの酸化シリコンであった。
The film stack used in this study was a 1.8 μm i-line photoresist (manufactured by TFI, Fremont, Calif.), 450 ° TiN ARC (anti-reflective coating) on a silicon wafer substrate, from top to bottom. , 11,500Å Al-0.5%
Cu, 700 ° Ti barrier layer, and 1.12 μm silicon oxide.

【0062】 全ての基体を、ライン及び種パターンを有するiラインフォトレジストマスク
を使用してパターン化した。フィーチャサイズは約0.4μmであり、アスペクト
比は約2.5:1であった。TiN ARCは市販されているiラインステッパーを
使用してパターン化した。
All substrates were patterned using an i-line photoresist mask with line and seed patterns. The feature size was about 0.4 μm and the aspect ratio was about 2.5: 1. TiN ARC was patterned using a commercially available i-line stepper.

【0063】 アルミニウム合金及びチタンバリヤー層は、以下の処理パラメタを使用してエ
ッチングした。即ち、100sccmのCl2、40sccmのBCl3、及び5または1
0sccmのN2またはCH4、1200Wの源電力、150Wのバイアス電力、10−20m
Tの処理チャンバ圧、基体ウェーハの裏側への7Tのヘリウム背圧、45℃の基体
温度、及び80℃の処理チャンバ壁及びドーム温度である。
[0063] The aluminum alloy and titanium barrier layers were etched using the following processing parameters. That is, 100 sccm Cl 2 , 40 sccm BCl 3 , and 5 or 1
0 sccm N 2 or CH 4 , 1200 W source power, 150 W bias power, 10-20 m
T process chamber pressure, 7T helium back pressure on the back side of the substrate wafer, 45 ° C substrate temperature, and 80 ° C process chamber wall and dome temperature.

【0064】 各ラインの高さ(即ち、エッチング深さ)は約1μmであった。このエッチン
グ深さに到達するまでに要する終了(エンドポイント)時間を記録した。異なる
プラズマ源ガス、処理チャンバ圧、及び流量を使用したエッチングの終了時間を
以下の表2に示す。 表 2. 窒素含有及びメタン含有プラズマ源ガスのためのアルミニウム合金の エッチング速度の比較
The height (ie, etching depth) of each line was about 1 μm. The end time required to reach this etch depth was recorded. The end times for etching using different plasma source gases, processing chamber pressures, and flow rates are shown in Table 2 below. Table 2. Comparison of etching rates of aluminum alloys for nitrogen-containing and methane-containing plasma source gases

【0065】 アルミニウム合金エッチング速度は、エッチングプラズマがメタンを含んでい
る時には、エッチングプラズマが窒素を含んでいる時よりもかなり遅いが、それ
でもメタン含有源ガスを使用して得られるエッチング速度は、異なる各処理チャ
ンバ圧及び源ガス流量でも受入れ可能な最低エッチング速度である5000Å より
は十分に高かった。
Although the aluminum alloy etch rate is much slower when the etch plasma contains methane than when the etch plasma contains nitrogen, the etch rates obtained using a methane containing source gas are still different. Even at each processing chamber pressure and source gas flow rate, it was sufficiently higher than the acceptable minimum etching rate of 5000 °.

【0066】例 2 アルミニウム合金のエッチング速度、エッチングプロファイル、エッチングプ
ロファイルマイクロローディング、エッチングの後に残されるフォトレジストマ
スキング層の厚み(各々は、プラズマ源ガス組成(即ち、Cl2:CH4の比の変
化)、合計ガス流量、処理チャンバ圧、及び源電力の関数である)を測定するた
めの実験を行った。
EXAMPLE 2 Etching Rate, Etching Profile, Etching Profile Microloading of Aluminum Alloy, Thickness of Photoresist Masking Layer Left After Etching (Each Variation in Plasma Source Gas Composition (ie, Cl 2 : CH 4 Ratio) ), A function of total gas flow, processing chamber pressure, and source power).

【0067】 処理作業は、図1及び2に示し、II項において説明したApplied MaterialsのS
ystem 2982エッチング処理装置を使用して遂行した。
The processing operations are shown in FIGS. 1 and 2 and are described in Applied Materials S
Performed using a ystem 2982 etch processor.

【0068】 この検討に使用されたフィルムスタックは、シリコンウェーハ基体上で、上か
ら下へ、1.8μmのiラインフォトレジスト(カリフォルニア州フリーモントの
TFI製)、450Å のTiN ARC、11,500Å のAl−0.5%Cu、700Å のTi
バリヤー層、及び1.12μmの酸化シリコンであった。
The film stack used in this study was, from top to bottom, on a silicon wafer substrate, a 1.8 μm i-line photoresist (manufactured by TFI, Fremont, Calif.), 450 ° TiN ARC, 11,500 ° Al. -0.5% Cu, 700Å Ti
Barrier layer and 1.12 μm silicon oxide.

【0069】 全ての基体を、ライン及び種パターンを有するiラインフォトレジストマスク
を使用してパターン化した。フィーチャサイズは約0.4μmであり、アスペクト
比は約2.5:1であった。TiN ARCは市販されているiラインステッパーを
使用してパターン化した。
All substrates were patterned using an i-line photoresist mask with line and seed patterns. The feature size was about 0.4 μm and the aspect ratio was about 2.5: 1. TiN ARC was patterned using a commercially available i-line stepper.

【0070】 アルミニウム合金及びチタンバリヤー層は、以下の処理パラメタを使用してエ
ッチングした。即ち、800−1600Wの源電力、150Wのバイアス電力、8−16mT
の処理チャンバ圧、基体ウェーハの裏側への7Tのヘリウム背圧、45℃の基体温
度、及び80℃の処理チャンバ壁及びドーム温度である。Cl2/CH4比を6:1
から33:1まで変化させた。この実験セットでは添加ガス(BCl3のような)は
使用しなかった。
The aluminum alloy and titanium barrier layers were etched using the following processing parameters. That is, 800-1600W source power, 150W bias power, 8-16mT
Process chamber pressure, 7T helium back pressure on the back side of the substrate wafer, 45 ° C. substrate temperature, and 80 ° C. process chamber wall and dome temperature. Cl 2 / CH 4 ratio of 6: 1
To 33: 1. No additional gas (such as BCl 3 ) was used in this set of experiments.

【0071】 各ラインの高さ(即ち、エッチング深さ)は約1μmであった。このエッチン
グ深さに到達するまでに要する終了時間を記録した。下に位置する基体に対する
被エッチングアルミニウムライン壁のエッチングプロファイル角度を度(°)で
測定した(垂直、即ち90°のエッチングプロファイルが理想である)。同一基体
上の稠密なラインのアレイの平均エッチングプロファイル角度と、孤立したライ
ンの平均エッチングプロファイル角度とを比較することによって、エッチングプ
ロファイルマイクロローディング(Δ°)を測定した。
The height (ie, etching depth) of each line was about 1 μm. The end time required to reach this etching depth was recorded. The etching profile angle of the aluminum line wall to be etched relative to the underlying substrate was measured in degrees (degrees) (perpendicular, ie, 90 ° etching profile is ideal). The etching profile microloading (Δ °) was measured by comparing the average etching profile angle of an array of dense lines on the same substrate with the average etching profile angle of an isolated line.

【0072】 エッチングが完了するエッチング終了時間、エッチングプロファイル角度、エ
ッチングプロファイルマイクロローディング、及びフォトレジストの厚みを、プ
ラズマ源ガスのCl2:CH4比、プラズマ源ガスの合計流量、処理チャンバ圧力
、及び源電力(バイアス電力は150Wに一定に保持した)の処理変数の関数とし
て以下の表3に示す。 表 3. Cl2:CH4比及び他の処理変数の変化がアルミニウム合金のエッチ
ング結果に与える効果
The etching end time, the etching profile angle, the etching profile microloading, and the photoresist thickness, at which the etching is completed, are changed according to the Cl 2 : CH 4 ratio of the plasma source gas, the total flow rate of the plasma source gas, the processing chamber pressure, and Table 3 below as a function of the process variables of the source power (the bias power was kept constant at 150 W). Table 3. Changes in Cl 2 : CH 4 ratios and other process variables can cause aluminum alloy etch
Effect on the result

【0073】 以下に説明するエッチングプロファイル角度は、図3に最良に示されている。
図3は、基体306上のライン302及び間隔304のパターンの概要断面図を
示している。エッチングプロファイルは、一般にはアルミニウムライン側壁30
8の断面プロファイルと呼ばれている。エッチングプロファイル角度αはライン
側壁308と、下に位置する基体306の表面310とがなす角度である。角度
αは、ライン302の内側の基体表面310から、ライン側壁308に向かって
測定される。例えば、α1は約85°であって“テーパー付きの”ライン側壁プロ
ファイルを表しており、ラインはそのベースにおいて(基体表面310に接して
)幅広くなっている。一方α2は90°であり、ライン側壁は90°であるので、ラ
イン側壁は基体表面310との直角の交差を形成している。更にα3は105°であ
り、このラインはそのベースの方がそのトップよりも狭い逆行即ちアンダーカッ
トライン側壁プロファイルを表している。
The etching profile angles described below are best shown in FIG.
FIG. 3 shows a schematic cross-sectional view of a pattern of lines 302 and intervals 304 on a substrate 306. The etching profile is generally the same as the aluminum line sidewall 30.
8 is called the cross-sectional profile. The etching profile angle α is an angle formed between the line side wall 308 and the surface 310 of the base 306 located below. Angle α is measured from substrate surface 310 inside line 302 toward line sidewall 308. For example, α1 is about 85 °, representing a “tapered” line sidewall profile, with the line widening at its base (adjacent to substrate surface 310). On the other hand, since α2 is 90 ° and the line side wall is 90 °, the line side wall forms a right angle intersection with the base surface 310. Further, α3 is 105 °, which represents a retrograde or undercut line sidewall profile whose base is narrower than its top.

【0074】 プラズマ源ガスの処理変数、Cl2:CH4比、源ガス流量、処理チャンバ圧、
及び源電力の変化が、エッチング終了時間、エッチングプロファイル角度、エッ
チング後に残る残渣の量、及びエッチングプロファイルマイクロローディングを
含むエッチング性能変数に与える効果を、それぞれ図4−8に示す。各処理変数
の増加が、アルミニウムのエッチング速度、エッチングプロファイル角度、エッ
チングプロファイルマイクロローディング、及びエッチング後に残る残渣の量に
与える一般的効果を、以下の表4に要約する。 表 4. いろいろな処理変数の増加がアルミニウム合金エッチング結果に与え る一般的効果 ↑=増加、↑↑=大きく増加、↓=減少、↓↓=大きく減少、→=変化せず。
The processing variables of the plasma source gas, Cl 2 : CH 4 ratio, source gas flow rate, processing chamber pressure,
The effects of changes in source power and on the etch end time, the etch profile angle, the amount of residue remaining after etching, and the etch performance variables including etch profile microloading are shown in FIGS. 4-8, respectively. The general effects of increasing each process variable on the aluminum etch rate, etch profile angle, etch profile microloading, and amount of residue remaining after etching are summarized in Table 4 below. Table 4. General effects an increase in various process variables Ru applied to the aluminum alloy etching results ↑ = increase, ↑↑ = significant increase, ↓ = decrease, ↓↓ = significant decrease, → = no change.

【0075】 アルミニウム合金のエッチング速度の指標として平均エッチング終了時間を使
用した。終了時間が短かい程、エッチング速度は速い。図4は、源ガス流量40
2、Cl2:CH4比404、処理チャンバ圧406、源電力408の増加がエッ
チング終了時間に与える効果を示している。図4に示すように、Cl2:CH4
404を増加させると、アルミニウム合金のエッチング速度は劇的に増加する(
エッチング終了時間の短縮によって示されている)。処理チャンバ圧406を増
加させても、アルミニウム合金のエッチング速度がかなり増加する。源ガス流量
402及び源電力408の増加は、アルミニウム合金のエッチング速度により穏
やかな増加をもたらす。
The average etching end time was used as an index of the etching rate of the aluminum alloy. The shorter the end time, the faster the etching rate. FIG. 4 shows the source gas flow rate 40
2. The effect of increasing the Cl 2 : CH 4 ratio 404, the processing chamber pressure 406, and the source power 408 on the etching end time is shown. As shown in FIG. 4, increasing the Cl 2 : CH 4 ratio 404 dramatically increases the etch rate of the aluminum alloy (
This is indicated by the shortened etching end time). Increasing the processing chamber pressure 406 significantly increases the etch rate of the aluminum alloy. Increasing the source gas flow 402 and source power 408 results in a modest increase in the aluminum alloy etch rate.

【0076】 図5は、源ガス流量502、Cl2:CH4比504、処理チャンバ圧506、
源電力508の増加が、アルミニウムライン側壁のエッチングプロファイル角度
に与える効果を示している。図5に示すように、源電力508を増加させると、
エッチングプロファイル角度は劇的に増加する(即ち、エッチングプロファイル
角度は90°により近づく)。Cl2:CH4比504を増加させても、エッチング
プロファイル角度はかなり増加する。源ガス流量502の増加はエッチングプロ
ファイル角度により穏やかな増加をもたらすが、処理チャンバ圧506を増加さ
せるとエッチングプロファイル角度は減少する。
FIG. 5 shows a source gas flow 502, a Cl 2 : CH 4 ratio 504, a processing chamber pressure 506,
The effect of increasing the source power 508 on the etching profile angle of the aluminum line sidewall is shown. As shown in FIG. 5, when the source power 508 is increased,
The etch profile angle increases dramatically (ie, the etch profile angle approaches 90 °). Increasing the Cl 2 : CH 4 ratio 504 significantly increases the etch profile angle. Increasing the source gas flow 502 results in a more modest increase in the etch profile angle, while increasing the process chamber pressure 506 decreases the etch profile angle.

【0077】 図6は、源ガス流量602を増加させた時、Cl2:CH4比604、処理チャ
ンバ圧606、源電力608の増加が、エッチングプロファイルマイクロローデ
ィングに与える効果を示している。図6に示すように、Cl2:CH4比604を
増加させると、エッチングプロファイルマイクロローディングは劇的に増加する
。源電力608の増加は、エッチングプロファイルマイクロローディングに穏や
かな増加をもたらす。源ガス流量602を増加させても、エッチングプロファイ
ルマイクロローディングに重要な効果は得られない。
FIG. 6 shows the effect of increasing the Cl 2 : CH 4 ratio 604, the processing chamber pressure 606, and the source power 608 on the etching profile microloading when the source gas flow 602 is increased. As shown in FIG. 6, increasing the Cl 2 : CH 4 ratio 604 dramatically increases the etch profile microloading. Increasing the source power 608 results in a modest increase in etch profile microloading. Increasing the source gas flow 602 has no significant effect on etching profile microloading.

【0078】 処理チャンバ圧606を増加させると、エッチングプロファイルマイクロロー
ディングは穏やかに増加する。これは、より高いチャンバ圧を使用した時には塩
素ガスの滞留時間が増加することが原因であると考えられる。塩素ガスはアルミ
ニウムのライン側壁をエッチングし、増加した滞留時間がプロファイル角度を増
加させる。この効果は、稠密なアレイ内に位置するラインよりも孤立したライン
における方が程度が大きく、それによってエッチングプロファイルマイクロロー
ディングが増加することが観測されている。
As the processing chamber pressure 606 is increased, the etch profile microloading increases gently. This is believed to be due to the increased chlorine gas residence time when higher chamber pressures were used. The chlorine gas etches the aluminum line sidewalls and the increased residence time increases the profile angle. This effect has been observed to be greater on isolated lines than on lines located in dense arrays, thereby increasing etch profile microloading.

【0079】 図7は、源ガス流量702、Cl2:CH4比704、処理チャンバ圧706、
源電力708の増加が、エッチング後に残る残渣の量に与える効果を示している
。エッチング後に残る残渣の量は、20k倍率で撮った走査型電子顕微鏡写真を比
較評価した後に割当てた(所与の表面積上に存在する堆積物を比較し、1から10
までの目盛り上に割当てた)任意単位で示されている。アルミニウム合金のエッ
チングの後に残る残渣は、典型的にはアルミニウムまたは銅の化合物である。図
7に示すように、Cl2:CH4比704及び処理チャンバ圧706の増加は、エ
ッチングの後に残る残渣の量をかなり増加させる。源ガス流量702及び源電力
708の増加は、エッチングの後に残る残渣の量を僅かに増加させる。
FIG. 7 shows a source gas flow 702, a Cl 2 : CH 4 ratio 704, a process chamber pressure 706,
The effect of increasing the source power 708 on the amount of residue remaining after etching is shown. The amount of residue remaining after etching was assigned after comparative evaluation of scanning electron micrographs taken at 20k magnification (comparing deposits on a given surface area, 1 to 10
(Indicated on the scale up to). The residue remaining after etching the aluminum alloy is typically a compound of aluminum or copper. As shown in FIG. 7, increasing the Cl 2 : CH 4 ratio 704 and the processing chamber pressure 706 significantly increase the amount of residue remaining after etching. Increasing source gas flow 702 and source power 708 slightly increases the amount of residue remaining after etching.

【0080】例 3 CH4流量、源電力、バイアス電力、及び処理チャンバ圧の変化が、アルミニ
ウム合金(0.5%Cu)フィーチャのエッチングに与える効果を測定するための実
験を行った。
EXAMPLE 3 An experiment was performed to determine the effect of changes in CH 4 flow rate, source power, bias power, and processing chamber pressure on the etching of aluminum alloy (0.5% Cu) features.

【0081】 この処理作業は、図1及び2に示し、II項において説明したApplied Material
sのSystem 5084基本型エッチング処理装置を使用して遂行した。System 5084は
、図1及び2に示したApplied MaterialsのSystem 2982エッチング処理装置と同
等機能を有している。エッチングチャンバは、150mmウェーハを処理するため
の機械的クランプを有し、分離したプラズマ源(DPS)を含んでいる。
This processing operation is shown in FIGS. 1 and 2 and described in Section II.
This was performed using a System 5084 basic etching system. The System 5084 has the same function as the Applied Materials System 2982 etching apparatus shown in FIGS. The etch chamber has a mechanical clamp for processing 150 mm wafers and contains a separate plasma source (DPS).

【0082】 この検討に使用されたフィルムスタックは、シリコン基体上で、上から下へ、
1.4μmのiラインフォトレジスト(カリフォルニア州フリーモントのTFI製
)、250Å のTiN ARC、8,000Å のAl−0.5%Cu、1000Å のTiNバリヤ
ー層、及び1μmの酸化シリコンであった。
The film stack used in this study was on a silicon substrate, from top to bottom,
1.4 .mu.m i-line photoresist (manufactured by TFI, Fremont, Calif.), 250 DEG TiN ARC, 8,000 DEG Al-0.5% Cu, 1000 DEG TiN barrier layer, and 1 .mu.m silicon oxide.

【0083】 全ての基体を、ライン及び種パターンを有するiラインフォトレジストマスク
を使用してパターン化した。フィーチャサイズは約0.6μmであり、アスペクト
比は約2.5:1であった。TiN ARCは市販されているiラインステッパーを
使用してパターン化した。
All substrates were patterned using an i-line photoresist mask with line and seed patterns. The feature size was about 0.6 μm and the aspect ratio was about 2.5: 1. TiN ARC was patterned using a commercially available i-line stepper.

【0084】 フォトレジストマスクをパターン化した後、エッチングの前に、基体を対流炉
内において110℃で少なくとも1時間にわたってベーキングした。
After patterning the photoresist mask, the substrate was baked in a convection oven at 110 ° C. for at least 1 hour before etching.

【0085】 アルミニウム合金及び窒化チタンバリヤー層は、以下の処理パラメタを使用し
てエッチングした。即ち、90sccmのCl2、0−25sccmのBCl3、及び0
−20sccmのCH4、75sccmのAr、1200−1800Wの源電力、100−160Wの
バイアス電力、10−15mTの処理チャンバ圧、基体ウェーハの裏側への8Tのヘ
リウム背圧、60℃の基体温度、及び40−65℃の処理チャンバ壁温度である。エッ
チング終了時間を記録した。
The aluminum alloy and titanium nitride barrier layers were etched using the following processing parameters. That is, 90 sccm Cl 2 , 0-25 sccm BCl 3 , and 0 sccm.
-20sccm of CH 4, 75 sccm of Ar, a source power of 1200-1800W, bias power 100-160W, process chamber pressure of 10-15MT, helium back pressure 8T to the backside of the substrate wafer, 60 ° C. of substrate temperature, And 40-65 ° C processing chamber wall temperature. The etching end time was recorded.

【0086】 エッチングの後に(フォトレジストはストリップされていない)、ウェーハを
対流炉内において110℃で少なくとも8時間にわたってベーキングし、残留塩素
を揮発させた。次いで、半数の基体のフォトレジストを市販のプラズマアッシン
グ器内でストリップし、65℃の市販の溶剤内に20分にわたって浸漬してポリマー
を除去した。
After etching (the photoresist was not stripped), the wafer was baked in a convection oven at 110 ° C. for at least 8 hours to evaporate residual chlorine. Half of the substrate photoresist was then stripped in a commercial plasma ashing machine and immersed in a commercial solvent at 65 ° C. for 20 minutes to remove the polymer.

【0087】 ストリップされていないウェーハを、エッチング後に残されたフォトレジスト
の量について評価した。ストリップしたウェーハを、酸化物損失(開放領域及び
稠密アレイ内)、側壁表面粗さ(即ち、ピット)、側壁プロファイル角度、及び
エッチング後に残された残渣の量について評価した。CH4流量、源電力、バイ
アス電力、及び処理チャンバ圧の変化が、上述した各基準に与える効果を解析し
た。
The unstriped wafer was evaluated for the amount of photoresist left after etching. The stripped wafers were evaluated for oxide loss (in open areas and dense arrays), sidewall surface roughness (ie, pits), sidewall profile angles, and the amount of residue left after etching. CH 4 flow rate, source power, the change of the bias power, and the processing chamber pressure was analyzed the effect on the aforementioned criteria.

【0088】 図8及び以下の表5は、エッチング中に源電力を一定(1800W)に維持しなが
らバイアス電力を増加させた時に(100、130、160W)、エッチングが完了した
後のウェーハの中心802及び縁804に残るフォトレジストマスキング材料の
合計量に与える効果を示している。各ラン毎の処理パラメタは以下の通りである
。即ち、90sccmのCl2、0−25sccmのBCl3、20sccmのCH4、75
sccmのAr、10mTの処理チャンバ圧、基体ウェーハの裏側への8Tのヘリ
ウム背圧、60℃の基体温度、及び65℃の処理チャンバ壁温度である(但し、バイ
アス電力を100Wで実行した時には壁温度は40℃であった)。(先に遂行した実
験によれば、処理チャンバ温度を変化させてもアルミニウムエッチング結果には
殆ど影響がないことが解っている)。 表 5. バイアス電力の増加が合計残留フォトレジストに与える効果
FIG. 8 and Table 5 below show that when the bias power was increased (100, 130, 160 W) while the source power was kept constant (1800 W) during the etching, the center of the wafer after the etching was completed The effect on the total amount of photoresist masking material remaining at 802 and edge 804 is shown. The processing parameters for each run are as follows. That is, 90 sccm Cl 2 , 0-25 sccm BCl 3 , 20 sccm CH 4 , 75 sccm
sccm Ar, 10 mT processing chamber pressure, 8 T helium back pressure on the back side of the substrate wafer, 60 ° C. substrate temperature, and 65 ° C. processing chamber wall temperature (except when running at 100 W bias power, The temperature was 40 ° C). (Experiments performed earlier have shown that changing the processing chamber temperature has little effect on the aluminum etch results). Table 5. Effect of increasing bias power on total residual photoresist

【0089】 図8及び前記表5に示すように、源電力を1800Wに固定し、バイアス電力を極
く少量だけ増加(30Wの増加)させると、ウェーハの中心802及び縁804に
残るフォトレジストの合計量が劇的に減少する。図8及び表5に示されているデ
ータを外挿することによって、プラズマ生成源、及び基体バイアス手段への電力
が共通制御下にある処理装置では、満足できるアルミニウムエッチングが得られ
る源電力で動作させるとフォトレジストマスキング層が急激に消失することが明
白である。
As shown in FIG. 8 and Table 5 above, when the source power is fixed at 1800 W and the bias power is increased by a very small amount (increase of 30 W), the photoresist remaining at the center 802 and the edge 804 of the wafer is removed. The total amount decreases dramatically. By extrapolating the data shown in FIG. 8 and Table 5, in a processing apparatus where the power to the plasma source and the substrate biasing means is under common control, the source power operates with satisfactory aluminum etching. It is evident that the photoresist masking layer disappears abruptly when done.

【0090】 バイアス電力を増加させると酸化物損失は増加するが、側壁表面粗さは比較的
影響を受けない。しかしながら、明らかに反応性種密度が増加するためにフィー
チャの底のアルミニウム合金にオーバーエッチング(即ち、側壁プロファイル角
度>90°)が発生する。
[0091] Increasing the bias power increases the oxide loss, but the sidewall surface roughness is relatively unaffected. However, overetching (ie, sidewall profile angles> 90 °) occurs in the aluminum alloy at the bottom of the feature due to apparently increased reactive species density.

【0091】 どのサンプルにも残渣は観測されなかった。[0091] No residue was observed in any of the samples.

【0092】 エッチングプロセスの開発中にCH4の流量を変化させ、側壁パッシベーショ
ン能力、アルミニウム合金エッチング速度、残渣の発生、及びフォトレジストに
対するアルミニウム合金のエッチングへの選択性に関して評価した。初期結果は
、異方性エッチングプロセスにおいてCH4はアルミニウムラインの側壁を十分
にパッシベートできることを示した。しかしながら、エッチング終了時間は約23
−35%だけ増加した(CH4を使用しない場合の54秒から、20sccmのCH4
使用した時の75−90秒まで)。処理チャンバ圧を10mTから15mTまで増加させ
た場合には、エッチング終了時間は僅かに減少した(20sccmのCH4を使用
した時に、72秒まで)。
During the development of the etching process, the flow rate of CH 4 was varied and evaluated for sidewall passivation capability, aluminum alloy etch rate, residue generation, and selectivity of the aluminum alloy to photoresist for etching. The initial results, CH 4 in the anisotropic etching process has shown that the side wall of the aluminum lines can be sufficiently passivated. However, the etching end time is about 23
It increased by -35% (from 54 seconds when not using the CH 4, up to 75-90 seconds when using CH 4 of 20 sccm). When the processing chamber pressure was increased from 10mT to 15mT, the etching end time decreased slightly (when using CH 4 of 20 sccm, to 72 seconds).

【0093】例 4 プラズマ源ガス組成、処理チャンバ圧、及びバイアス電力の変が、アルミニウ
ム合金(1%Cu)フィーチャのエッチングに与える効果を測定するための実験
を行った。上述した例3におけるアルミニウム合金(0.5%Cu)フィーチャのエ
ッチングのためのプロセスとほぼ同じプロセスを使用した。
EXAMPLE 4 An experiment was performed to determine the effect of changes in plasma source gas composition, processing chamber pressure, and bias power on the etching of aluminum alloy (1% Cu) features. Approximately the same process as for etching the aluminum alloy (0.5% Cu) feature in Example 3 above was used.

【0094】 この検討に使用されたフィルムスタックは、シリコン基体上で、上から下へ、
1.4μmのiラインフォトレジスト(カリフォルニア州フリーモントのTFI製
)、250Å のTiN ARC、8,000Å のAl−1%Cu、1000Å のTiNバリヤ
ー層、及び約1μmの酸化シリコンであった。
The film stack used in this study was prepared on a silicon substrate, from top to bottom,
1.4 .mu.m i-line photoresist (manufactured by TFI, Fremont, Calif.), 250 DEG TiN ARC, 8,000 DEG Al-1% Cu, 1000 DEG TiN barrier layer, and about 1 .mu.m silicon oxide.

【0095】 全ての基体を、ライン及び種パターンを有するiラインフォトレジストマスク
を使用してパターン化した。フィーチャサイズは約0.4μmであり、アスペクト
比は約2.5:1であった。TiN ARCは市販されているiラインステッパーを
使用してパターン化した。
[0095] All substrates were patterned using an i-line photoresist mask with line and seed patterns. The feature size was about 0.4 μm and the aspect ratio was about 2.5: 1. TiN ARC was patterned using a commercially available i-line stepper.

【0096】 エッチングは、前記例3で説明したApplied MaterialsのSystem 5084基本型エ
ッチング処理装置を使用して遂行された。アルミニウム合金及び窒化チタンバリ
ヤー層は、以下の処理パラメタを使用してエッチングした。即ち、90−100sc
cmのCl2、25sccmのBCl3、10−20sccmのCH4、0−75sccmのA
r、1500Wの源電力、75−100Wのバイアス電力、10−15mTの処理チャンバ圧、
基体ウェーハの裏側への8Tのヘリウム背圧、60℃の基体温度、及び65℃の処理
チャンバ壁温度である。エッチング終了時間を記録した。
The etching was performed using the Applied Materials System 5084 basic etching apparatus described in Example 3 above. The aluminum alloy and titanium nitride barrier layers were etched using the following processing parameters. That is, 90-100 sc
cm 2 Cl 2 , 25 sccm BCl 3 , 10-20 sccm CH 4 , 0-75 sccm A
r, 1500 W source power, 75-100 W bias power, 10-15 mT process chamber pressure,
8T helium back pressure on the back side of the substrate wafer, 60 ° C. substrate temperature, and 65 ° C. process chamber wall temperature. The etching end time was recorded.

【0097】 プラズマ源ガス組成、処理チャンバ圧、及びバイアス電力を変化させて、エッ
チング終了時間及びエッチング後に残された残渣の量への効果を解析した。(エ
ッチング後に、0.5%Cuフィーチャ上に残渣は観測されなかった。)結果を表6
に示し、以下に説明する。 表 6. アルミニウム合金エッチング処理パラメタの変化が残渣制御及びエッ チング終了時間に与える効果
The effects on the etching end time and the amount of residue remaining after etching were analyzed by changing the plasma source gas composition, the processing chamber pressure, and the bias power. (No residue was observed on the 0.5% Cu features after etching.) The results are shown in Table 6.
And described below. Table 6. Effect of the change of the aluminum alloy etching process parameters has on the residue control and edge quenching end time

【0098】 処理チャンバ圧の10mTから15mTまでの増加の、アルミニウムエッチング速
度に与える効果を検討した。終了時間は18−22%減少したが、若干の残渣がウェ
ーハの開放領域表面に見られた。
The effect of increasing the processing chamber pressure from 10 mT to 15 mT on the aluminum etch rate was studied. The end time was reduced by 18-22%, but some residue was found on the open area surface of the wafer.

【0099】 アルミニウムエッチング速度及び残渣制御へのアルゴンの寄与を調べた。プラ
ズマ源ガス組成からアルゴンを省いた場合、アルミニウムエッチング速度は増加
したが、ウェーハの開放領域表面に若干の残渣が観測された。アルゴンが存在す
ると励起されたアルゴン種による表面衝撃が増加し、表面クリーニング動作が得
られる。
The contribution of argon to the aluminum etch rate and residue control was investigated. When argon was omitted from the plasma source gas composition, the aluminum etching rate was increased, but some residues were observed on the open area surface of the wafer. The presence of argon increases the surface impact due to the excited argon species, resulting in a surface cleaning operation.

【0100】 Cl2の流量を増加させ(90sccmから100sccmへ)、CH4の流量を減少
させ(20sccmから10sccmへ)、アルゴンの流量を減少させ(75sccm
から40sccmへ)、バイアス電力を減少させる(100Wから75Wへ)ことを同
時に行ったところ、終了時間は35%減少し、表面の全ての領域上に残渣が観測さ
れた。
The flow rate of Cl 2 was increased (from 90 sccm to 100 sccm), the flow rate of CH 4 was reduced (from 20 sccm to 10 sccm), and the flow rate of argon was reduced (from 75 sccm).
(From 40 W to 40 sccm) and the bias power was reduced (from 100 W to 75 W) at the same time, the end time was reduced by 35% and residues were observed on all areas of the surface.

【0101】 要約すれば、前記例3及び4で説明した実験の結果は、CH4はアルミニウム
合金のエッチング速度を低下させるという犠牲をもたらすが、それでも受入れ可
能なエッチング速度で、エッチングされたアルミニウム合金フィーチャの側壁を
パッシベートするために使用できることを示している。プラズマ源電力を増加さ
せると(1200→1800W)、フィーチャの底にアルミニウムのオーバーエッチング
が発生した。バイアス電力を高くすると(100→160W)、フォトレジストマスキ
ング層の寿命が大幅に短縮され、一方エッチング速度マイクロローディングが増
加する。処理チャンバ圧を高くすると(10→15mT)、一般に基体エッチング速
度が増加する。また処理チャンバ圧を高くすると、アルミニウム合金(1%Cu
)フィーチャ表面上に堆積する残渣(典型的には、銅化合物)が増加した。プラ
ズマ源ガス内にアルゴンが存在すると、エッチングされたアルミニウム合金フィ
ーチャ表面からの残渣除去を援助することが解った。
In summary, the results of the experiments described in Examples 3 and 4 above show that while CH 4 sacrifices the slowdown of the aluminum alloy etch rate, the etched aluminum alloy is still at an acceptable etch rate. It shows that it can be used to passivate feature sidewalls. Increasing the plasma source power (1200 → 1800 W) resulted in overetching of the aluminum at the bottom of the feature. Increasing the bias power (100 → 160 W) greatly reduces the lifetime of the photoresist masking layer, while increasing the etch rate microloading. Increasing the processing chamber pressure (10 → 15 mT) generally increases the substrate etch rate. When the processing chamber pressure is increased, the aluminum alloy (1% Cu
2.) Increased residues (typically copper compounds) deposited on feature surfaces. It has been found that the presence of argon in the plasma source gas assists in removing residues from the etched aluminum alloy feature surface.

【0102】例 5 ガス流がアルミニウム合金(1%Cu)フィーチャへの効果を調べるために、
CH4、Cl2、BCl3、及びArの流量を変化させて10回の実験(4ファクタの1/
2反復を8回、プラス2回の中心点ラン)を遂行した。エッチングガスの流量を
変化させた場合のアルミニウム合金のエッチングへの主効果は明らかであるが、
いろいろなガスの間の相互作用は不明瞭であった。
Example 5 To determine the effect of gas flow on aluminum alloy (1% Cu) features,
CH 4, Cl 2, BCl 3 , and the flow rate change is caused by 10 times experiments Ar (4 factor 1 /
Eight replicates, plus two center point runs) were performed. Although the main effect on the etching of the aluminum alloy when the flow rate of the etching gas is changed is obvious,
The interaction between the various gases was ambiguous.

【0103】 2回の中心点ランは、合計10回のウェーハランに含まれていた。中心点レシピ
は、上述した例3で説明したアルミニウム合金(0.5%Cu)フィーチャに対する
一次元実験に基づいて選択した。この実験に使用したファクタ及びレベルを、以
下の表7に示す。 表 7. アルミニウム合金(0.5%Cu)フィーチャに対する2レベル実験のた めのファクタ及びレベル
The two center point runs were included in a total of 10 wafer runs. The center point recipe was selected based on a one-dimensional experiment on the aluminum alloy (0.5% Cu) feature described in Example 3 above. The factors and levels used in this experiment are shown in Table 7 below. Table 7. Other Me factors and the level of the two-level experiments on aluminum alloy (0.5% Cu) features

【0104】 この検討に使用されたフィルムスタックは、シリコン基体上で、上から下へ、
1.4μmのiラインフォトレジスト(カリフォルニア州フリーモントのTFI製
)、250Å のTiN ARC、8,000Å のAl−1%Cu、1000Å のTiNバリヤ
ー層、及び約1μmの酸化シリコンであった。
The film stack used in this study was on a silicon substrate, from top to bottom,
1.4 .mu.m i-line photoresist (manufactured by TFI, Fremont, Calif.), 250 DEG TiN ARC, 8,000 DEG Al-1% Cu, 1000 DEG TiN barrier layer, and about 1 .mu.m silicon oxide.

【0105】 全ての基体を、ライン及び種パターンを有するiラインフォトレジストマスク
を使用してパターン化した。フィーチャサイズは約0.4μmであり、アスペクト
比は約2.5:1であった。TiN ARCは市販されているiラインステッパーを
使用してパターン化した。
All substrates were patterned using an i-line photoresist mask with line and seed patterns. The feature size was about 0.4 μm and the aspect ratio was about 2.5: 1. TiN ARC was patterned using a commercially available i-line stepper.

【0106】 エッチングは、前記例3で説明したApplied MaterialsのSystem 5084基本型エ
ッチング処理装置を使用して遂行された。アルミニウム合金及び窒化チタンバリ
ヤー層は、以下の処理パラメタを使用してエッチングした。即ち、12mTの処理
チャンバ圧、1500Wの源電力、100Wのバイアス電力、基体ウェーハの裏側への
8Tのヘリウム背圧、60℃の陰極温度、及び65℃の処理チャンバ壁温度である。
The etching was performed using the Applied Materials System 5084 basic etching apparatus described in Example 3 above. The aluminum alloy and titanium nitride barrier layers were etched using the following processing parameters. That is, a process chamber pressure of 12 mT, a source power of 1500 W, a bias power of 100 W, a helium back pressure of 8 T on the back side of the substrate wafer, a cathode temperature of 60 ° C., and a process chamber wall temperature of 65 ° C.

【0107】 CH4、Cl2、BCl3、及びArの流量の変化が、アルミニウム合金エッチング
終了時間、エッチング後に残る残渣の量、及びフォトレジストエッチング速度に
与える効果を示す曲線を、それぞれ図9−11に示してある。各ガスの流量の増
加が、エッチング結果に与える効果を、以下の表8に示す。 表 8. 種々のエッチャントガスの流量の増加がアルミニウム合金のエッチン グ結果に与える主要効果 ↑=増加、↑↑=大きく増加、↓=減少、↓↓=大きく減少。
Curves showing the effects of changes in the flow rates of CH 4 , Cl 2 , BCl 3 , and Ar on the aluminum alloy etching end time, the amount of residue remaining after etching, and the photoresist etching rate are shown in FIG. This is shown in FIG. The effect of increasing the flow rate of each gas on the etching results is shown in Table 8 below. Table 8. Major effects of increased flow of various etchant gas has on the etching grayed result of the aluminum alloy ↑ = increase, ↑↑ = increase, ↓ = decrease, ↓↓ = decrease.

【0108】 図9は、Cl2流量902、BCl3流量904、Ar流量906、及びCH4流量
908の変化が、アルミニウム合金エッチング終了時間に与える効果を示してい
る。図9に示すように、Cl2流量を増加させると(参照番号902で示す)、ア
ルミニウム合金のエッチング速度が劇的に増加する(エッチング終了時間の短縮
で示されている)。CH4流量908を増加させると、アルミニウム合金のエッ
チング速度が大幅に減少する。Ar流量906を増加させると、アルミニウム合
金のエッチング速度は緩やかに減少する。BCl3流量904を増加させると、ア
ルミニウム合金のエッチング速度は僅かに減少する。
FIG. 9 shows the effect of changes in the Cl 2 flow rate 902, the BCl 3 flow rate 904, the Ar flow rate 906, and the CH 4 flow rate 908 on the aluminum alloy etching end time. As shown in FIG. 9, increasing the Cl 2 flow rate (indicated by reference numeral 902) dramatically increases the etch rate of the aluminum alloy (indicated by a shortened etch end time). Increasing the CH 4 flow rate 908, the etching rate of the aluminum alloy is significantly reduced. When the Ar flow rate 906 is increased, the etching rate of the aluminum alloy gradually decreases. Increasing the BCl 3 flow rate 904 slightly decreases the etch rate of the aluminum alloy.

【0109】 図10は、Cl2流量1002、BCl3流量1004、Ar流量1006、及び
CH4流量1008の変化が、エッチング後に残る残渣の量に与える効果を示し
ている。残渣は、20k倍率で撮ったSEM上の約2μm2の面積内で観測された
堆積物の数を計数して限定した。図10に示すように、Cl2流量1002を増加
させると、エッチング後に残る残渣の量が劇的に増加する。これは、Cl2流量が
増加しことによりアルミニウムのエッチング速度が増加したためと考えられる。
塩素・アルミニウム生成化合物は、塩素・銅エッチング生成化合物より遙かに揮
発性であるので、もしアルミニウム合金エッチング速度が特に高ければ、アルミ
ニウム合金フィーチャを完全にエッチングした後に残る銅含有残渣の量が増加す
る。
FIG. 10 shows the effect of changes in the Cl 2 flow rate 1002, BCl 3 flow rate 1004, Ar flow rate 1006, and CH 4 flow rate 1008 on the amount of residue remaining after etching. Residues were defined by counting the number of deposits observed in an area of about 2 μm 2 on a SEM taken at 20k magnification. As shown in FIG. 10, increasing the Cl 2 flow rate 1002 dramatically increases the amount of residue remaining after etching. This is considered to be because the etching rate of aluminum was increased by increasing the Cl 2 flow rate.
Chlorine-aluminum forming compounds are much more volatile than chlorine-copper etching forming compounds, so if the aluminum alloy etch rate is particularly high, the amount of copper-containing residue remaining after completely etching the aluminum alloy features will increase. I do.

【0110】 CH4流量1008及びBCl3流量1004を増加させると、エッチング後に
残る残渣の量が大幅に減少する。Ar流量1006を増加させると、エッチング
後に残る残渣の量は緩やかに減少し、SEM顕微鏡写真では残渣の制御における
Arの重要性が視覚的に確認された。(アルゴン添加の必要性に関しては、先に
例4において説明済みである。)どのランにおいても、ウェーハの縁に残渣は観
測されなかった。
As the CH 4 flow rate 1008 and the BCl 3 flow rate 1004 are increased, the amount of residue remaining after etching is greatly reduced. As the Ar flow rate 1006 was increased, the amount of residue remaining after etching gradually decreased, and SEM micrographs visually confirmed the importance of Ar in controlling the residue. (The need for argon addition was previously described in Example 4.) No residue was observed at the edge of the wafer in any of the runs.

【0111】 図11は、Cl2流量1102、BCl3流量1104、Ar流量1106、及び
CH4流量1108の変化が、フォトレジストエッチング速度に与える効果を示
している。図11に示すように、Cl2流量1102を増加させると、フォトレジ
ストエッチング速度が劇的に増加する。CH4流量1108を増加させると、フ
ォトレジストエッチング速度が劇的に減少する。Ar流量1106を増加させて
も、フォトレジストエッチング速度は大幅に減少する。BCl3流量1104を増
加させると、フォトレジストエッチング速度は緩やかに減少する。CH4、Ar、
及びBCl3流量の増加に伴って観測されたフォトレジストエッチング速度の減少
は、ガス混合体内の塩素の希釈によるものと考えられる。
FIG. 11 shows the effect of changes in the Cl 2 flow rate 1102, BCl 3 flow rate 1104, Ar flow rate 1106, and CH 4 flow rate 1108 on the photoresist etch rate. As shown in FIG. 11, increasing the Cl 2 flow 1102, the photoresist etch rate increases dramatically. Increasing the CH 4 flow 1108, the photoresist etch rate decreases dramatically. Increasing the Ar flow rate 1106 greatly reduces the photoresist etch rate. Increasing the BCl 3 flow rate 1104 slowly reduces the photoresist etch rate. CH 4 , Ar,
The decrease in photoresist etch rate observed with increasing BCl 3 flow rate is believed to be due to the dilution of chlorine in the gas mixture.

【0112】 一般的に言えば、CH4流量を増加させると、側壁粗さが改善される(即ち、
側壁表面のピットは少ない)。高いCl2流量で処理中には、プラズマ内の塩素が
増加することによって側壁攻撃の程度が大きくなるので、側壁パッシベーション
に対するCH4の有効性がより顕著になる。
Generally speaking, increasing the CH 4 flow rate improves sidewall roughness (ie,
There are few pits on the side wall surface). During processing at high Cl 2 flow rates, the effectiveness of CH 4 for sidewall passivation becomes more pronounced, due to the greater degree of sidewall attack due to increased chlorine in the plasma.

【0113】 この実験では、プロファイルの傾きは観測されなかった。In this experiment, no profile slope was observed.

【0114】 結論として、CH4は、エッチングされたフィーチャ表面上に残渣を生成する
ことなく、ピットを防ぐために十分な側壁パッシベーションを与える。CH4
添加することによって、アルミニウムエッチング終了時間は約23−35%だけ減少
するが、それでも少なくとも8,000Å /分の受入れ可能なアルミニウム合金エッ
チング速度を得ることができる。バイアス電力を増加させると、フォトレジスト
エッチング速度は増加するが、一般的には約200Vより低い(好ましくは、約−5
0Vから約−150Vまでの範囲)バイアス電力が受入れ可能であることが解った。
プラズマ源電力を高くすると、一般的にはフィーチャの底におけるアルミニウム
のオーバーエッチングが僅かに大きくなる(これらは、>90°のエッチングプロ
ファイル角度のアンダーカットまたは逆行で表される)。受いれ可能なプラズマ
源電力は、約300Wから約2000Wまで、好ましくは約800Wから約1600Wまで、最
も好ましくは約800Wから約1200Wまでの範囲である。残渣を制御するためには
、低い処理チャンバ圧(5−50mT、好ましくは5−25mT、最も好ましくは8
−12mT)が要求される。プラズマ源ガスの好ましいアルゴン含量の範囲は、約
20乃至約200sccmである。Cl2流量を増加させると、アルミニウム合金エッ
チング速度、フォトレジストエッチング速度、及びエッチング後に残る残渣の量
がかなり増加する。プラズマ源ガスの好ましいCl2含量は、約50乃至約200sc
cmである。プラズマ源ガスの好ましい総合流量は、約50乃至約350sccmの
範囲である。
In conclusion, CH 4 provides sufficient sidewall passivation to prevent pits without creating a residue on the etched feature surface. By the addition of CH 4, aluminum etching end time is reduced by about 23-35%, but still it is possible to obtain an acceptable aluminum alloy etch rate of at least 8,000 Å / min. Increasing the bias power will increase the photoresist etch rate, but will generally be less than about 200V (preferably, about -5V).
Bias power has been found to be acceptable (from 0V to about -150V).
Increasing the plasma source power typically results in slightly overetching of the aluminum at the bottom of the features (these are represented by undercuts or retrogrades of> 90 ° etch profile angles). Acceptable plasma source power ranges from about 300 W to about 2000 W, preferably from about 800 W to about 1600 W, and most preferably from about 800 W to about 1200 W. To control residues, low process chamber pressures (5-50 mT, preferably 5-25 mT, most preferably 8-5 mT,
-12 mT) is required. The preferred range of the argon content of the plasma source gas is about
20 to about 200 sccm. Increasing the Cl 2 flow rate, an aluminum alloy etch rate, photoresist etch rate, and the amount of residue that remains after the etching considerably increased. The preferred Cl 2 content of the plasma source gas is from about 50 to about 200 sc
cm. The preferred total flow rate of the plasma source gas ranges from about 50 to about 350 sccm.

【0115】 エッチング中の処理チャンバへ炭化水素を添加することによって、被エッチン
グアルミニウム合金表面のピットを回避しながら、アルミニウム合金をエッチン
グするための総合的な、残渣を残さないエッチングプロセスが開発された。
A comprehensive, residue-free etching process for etching aluminum alloys has been developed by adding hydrocarbons to the processing chamber during etching, while avoiding pits on the surface of the aluminum alloy to be etched. .

【0116】 本発明は、本質的に残渣を残さずにエッチングされた表面を提供しながら、マ
スク層を消滅させることがない受入れ可能なエッチング速度と、良好なエッチン
グプロファイル角度とを提供するアルミニウム及びアルミニウム合金を異方性エ
ッチングするための方法を提供する。
The present invention relates to aluminum and aluminum that provide an acceptable etch rate without destroying the mask layer and a good etch profile angle while providing an etched surface with essentially no residue. A method for anisotropically etching an aluminum alloy is provided.

【0117】 本発明の方法は、高い(即ち、約0.5%より高い)合金含量を有するアルミニ
ウム合金をエッチングするために特に有用である。
The method of the present invention is particularly useful for etching aluminum alloys having a high (ie, greater than about 0.5%) alloy content.

【0118】 また本発明の方法は、大きい開放面積(即ち、開放面積が、ウェーハの表面積
の約65%より大きい)を有する基体上に堆積されたアルミニウムまたはアルミニ
ウム合金を、本質的に残渣を残さずにエッチングするために特に有用である。
The method of the present invention also reduces the aluminum or aluminum alloy deposited on a substrate having a large open area (ie, the open area is greater than about 65% of the surface area of the wafer) by essentially leaving a residue. It is particularly useful for etching without etching.

【0119】 当分野に精通していれば、以上の説明からこれらの実施の形態を本発明の主題
及び特許請求の範囲から逸脱することなく拡張することができるであろうから、
上述した好ましい実施の形態は本発明の範囲を限定する意図がないことを理解さ
れたい。
It will be appreciated by those skilled in the art that these embodiments can be extended from the above description without departing from the inventive subject matter and the claims.
It should be understood that the above-described preferred embodiments are not intended to limit the scope of the present invention.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明に有用なエッチング処理装置の例であるApplied MaterialsのCENTURA(
登録商標)エッチングシステムの断面図である。
FIG. 1 CENTURA (Applied Materials), an example of an etching apparatus useful for the present invention.
1 is a sectional view of a registered trademark etching system.

【図2a】 図1に示すApplied MaterialsのCENTURA(登録商標)エッチングシステム内に
使用されている型の個々の金属エッチング用分離型プラズマ源(DPS)チャン
バの詳細図である。
2a is a detailed view of an individual metal etch separate plasma source (DPS) chamber of the type used in the Applied Materials CENTURA® etching system shown in FIG.

【図2b】 米国特許第5,779,926号に記載されている個々の金属エッチングDPSチャン
バの縦断面図であり、独立したプラズマ源電力のための電力制御268及びバイ
アス電力のための電力制御270を示す図である。
FIG. 2b is a longitudinal cross-sectional view of an individual metal etch DPS chamber described in US Pat. No. 5,779,926, showing power control 268 for independent plasma source power and power control 270 for bias power. It is.

【図3】 基体310上に堆積されたアルミニウム層308内にエッチングされたフィー
チャ306を示す図であり、所望の直角壁(90°)から変化する内包角に対して
アルミニウムライン側壁のエッチングプロファイルをどのように測定するかを示
す図である。
FIG. 3 shows features 306 etched into an aluminum layer 308 deposited on a substrate 310, showing the etching profile of the aluminum line sidewalls for included angles varying from the desired right-angled wall (90 °). It is a figure showing how to measure.

【図4】 源ガス流量402、Cl2:CH4比404、処理チャンバ圧406、及び源電
力408の変化が、アルミニウムエッチング速度の標識であるエッチング終了時
間に及ぼす効果(即ち、終了時間が短い程、エッチング速度は速い)を示すグラ
フである。
FIG. 4 shows the effect of changes in source gas flow 402, Cl 2 : CH 4 ratio 404, process chamber pressure 406, and source power 408 on etch end time, an indicator of aluminum etch rate (ie, short end time). The higher the etching rate, the faster the etching rate.

【図5】 源ガス流量502、Cl2:CH4比504、処理チャンバ圧506、及び源電
力508の変化が、アルミニウムライン側壁のエッチングプロファイル角度に及
ぼす効果を示すグラフである。
FIG. 5 is a graph showing the effect of changes in source gas flow rate 502, Cl 2 : CH 4 ratio 504, processing chamber pressure 506, and source power 508 on aluminum line sidewall etch profile angles.

【図6】 源ガス流量602、Cl2:CH4比604、処理チャンバ圧606、及び源電
力608の変化が、エッチングプロファイルマイクロローディングΔ°(即ち、
同一基体上の稠密なラインのアレイの平均エッチングプロファイル角度と、孤立
したラインの平均エッチングプロファイル角度との差)に及ぼす効果を示すグラ
フである。
FIG. 6 shows changes in source gas flow 602, Cl 2 : CH 4 ratio 604, process chamber pressure 606, and source power 608 when the etch profile microloading Δ ° (ie,
5 is a graph showing the effect on the average etching profile angle of an array of dense lines on the same substrate and the average etching profile angle of an isolated line.

【図7】 源ガス流量702、Cl2:CH4比704、処理チャンバ圧706、及び源電
力708の変化が、エッチングの後に残る残渣の量に与える効果を示すグラフで
あり、エッチングの後に残る残渣の量は20k倍率で撮った走査型電子顕微鏡写真
(SEM)を比較評価した後に割当てた任意単位で示され、所与の表面積上に存
在する堆積物の数を比較して1から10までの目盛り上に割当ててある。
FIG. 7 is a graph showing the effect of changes in source gas flow 702, Cl 2 : CH 4 ratio 704, processing chamber pressure 706, and source power 708 on the amount of residue remaining after etching, remaining after etching. The amount of residue is given in arbitrary units assigned after comparative evaluation of scanning electron micrographs (SEM) taken at 20k magnification and scaled from 1 to 10 comparing the number of deposits present on a given surface area Assigned above.

【図8】 源電力を1800Wに一定に維持したままバイアス電力を増加させた時の、エッチ
ングが完了した後にウェーハの中心及び縁に残るフォトレジストの合計量に及ぼ
す効果を示すグラフである。
FIG. 8 is a graph showing the effect of increasing the bias power while keeping the source power constant at 1800 W on the total amount of photoresist remaining at the center and edge of the wafer after etching is completed.

【図9】 Cl2流量902、BCl3流量904、Ar流量906、及びCH4流量908の
変化が、アルミニウム合金エッチング速度の標識であるアルミニウム合金エッチ
ング終了時間に与える効果を示すグラフである。
FIG. 9 is a graph showing the effect of changes in the Cl 2 flow rate 902, BCl 3 flow rate 904, Ar flow rate 906, and CH 4 flow rate 908 on the aluminum alloy etching end time, which is an indicator of the aluminum alloy etching rate.

【図10】 Cl2流量1002、BCl3流量1004、Ar流量1006、及びCH4流量1
008の変化が、エッチング後に残る残渣の量に与える効果を示すグラフであり
、残渣は20k倍率で撮ったSEM上の約2μm2の面積内で観測された堆積物の
数を計数して限定してある。
FIG. 10: Cl 2 flow rate 1002, BCl 3 flow rate 1004, Ar flow rate 1006, and CH 4 flow rate 1
008 is a graph showing the effect of change 008 on the amount of residue remaining after etching, where the residue is limited by counting the number of deposits observed within an area of about 2 μm 2 on a SEM taken at 20k magnification. .

【図11】 Cl2流量1102、BCl3流量1104、Ar流量1106、及びCH4流量1
108の変化が、フォトレジストエッチング速度に与える効果を示すグラフであ
る。
FIG. 11: Cl 2 flow rate 1102, BCl 3 flow rate 1104, Ar flow rate 1106, and CH 4 flow rate 1
10 is a graph showing the effect of changing 108 on the photoresist etch rate.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 ナンジャングード サヴィサ アメリカ合衆国 カリフォルニア州 95132 サン ホセ ヴァルハラ コート 1771 (72)発明者 リー マーレーン アメリカ合衆国 カリフォルニア州 95138 サン ホセ トローブリッジ ウ ェイ 5784 (72)発明者 ストロークス ジェフリ− アメリカ合衆国 カリフォルニア州 95136 サン ホセ ミア サークル 4623 Fターム(参考) 4K057 DA01 DA12 DB05 DD01 DE01 DE02 DE04 DE06 DE08 DE11 DE14 DE15 DE20 DG07 DG13 DG14 DG15 DM18 DM19 DM28 DN01 5F004 AA09 BA03 BA20 BB22 BB26 CA02 CA06 DA00 DA01 DA02 DA04 DA05 DA06 DA08 DA10 DA11 DA14 DA16 DA22 DA23 DA25 DA26 DB09 DB12 ────────────────────────────────────────────────── ─── Continued on the front page (72) Inventor Nanjang Savisa United States 95132 San Jose Valhalla Court, California 1771 (72) Inventor Lee Marlene United States of America 95138 San Jose Trobridge, Wayway 5784 (72) Inventor Strokes Jeffrey United States California 95136 San Jose Mia Circle 4623 F-term (reference) 4K057 DA01 DA12 DB05 DD01 DE01 DE02 DE04 DE06 DE08 DE11 DE14 DE15 DE20 DG07 DG13 DG14 DG15 DM18 DM19 DM28 DN01 5F004 AA09 BA03 BA20 BB22 BB26 CA02 DA06 DA00 DA00 DA05 DA06 DA08 DA10 DA11 DA14 DA16 DA22 DA23 DA25 DA26 DB09 DB12

Claims (18)

【特許請求の範囲】[Claims] 【請求項1】 本質的に残渣を残さずにアルミニウムまたはアルミニウム合
金を異方性エッチングするための方法であって、塩素含有ガス及び炭化水素含有
ガスからなるプラズマ源ガスから生成されたプラズマを使用して前記アルミニウ
ムまたは前記アルミニウム合金をエッチングするステップを含み、前記エッチン
グはプラズマ生成源及び基体バイアス手段の電力制御を別々に行う処理装置内で
遂行されることを特徴とする方法。
A method for anisotropically etching aluminum or an aluminum alloy essentially without residue, using a plasma generated from a plasma source gas comprising a chlorine-containing gas and a hydrocarbon-containing gas. Etching the aluminum or the aluminum alloy as described above, wherein the etching is performed in a processing apparatus that separately controls the power of the plasma generating source and the substrate biasing means.
【請求項2】 前記塩素含有ガスは、Cl2、HCl、BCl3、CCl4、SiC
l4、CHCl3、CCl22、CHCl2F、及びそれらの組合わせからなるグルー
プから選択されることを特徴とする請求項1に記載の方法。
2. The chlorine-containing gas includes Cl 2 , HCl, BCl 3 , CCl 4 , and SiC.
l 4, CHCl 3, CCl 2 F 2, CHCl 2 F, and methods according to claim 1, characterized in that it is selected from the group consisting of combinations.
【請求項3】 前記塩素含有ガスはフッ素を含まないことを特徴とする請求
項2に記載の方法。
3. The method of claim 2, wherein said chlorine containing gas does not contain fluorine.
【請求項4】 前記塩素含有ガスはCl2であることを特徴とする請求項3に
記載の方法。
4. The method of claim 3, wherein said chlorine containing gas is Cl 2 .
【請求項5】 前記炭化水素含有ガスは、xを約1から約5までの範囲とし
、yを約1から12までの範囲として、Cxyの化学式を有していることを特徴と
する請求項1に記載の方法。
5. The hydrocarbon-containing gas has a chemical formula of C x H y , wherein x ranges from about 1 to about 5 and y ranges from about 1 to 12. The method of claim 1, wherein
【請求項6】 前記xは1から3までの範囲であり、前記yは1から6まで
の範囲であることを特徴とする請求項5に記載の方法。
6. The method of claim 5, wherein x ranges from 1 to 3, and y ranges from 1 to 6.
【請求項7】 前記炭化水素含有ガスはCH4であることを特徴とする請求
項6に記載の方法。
7. The method of claim 6, wherein said hydrocarbon containing gas is CH 4 .
【請求項8】 前記プラズマ源ガス内の塩素:炭素の原子比は、約5:1か
ら約200:1までの範囲であることを特徴とする請求項1に記載の方法。
8. The method of claim 1, wherein the chlorine: carbon atomic ratio in the plasma source gas ranges from about 5: 1 to about 200: 1.
【請求項9】 前記プラズマ源ガス内の塩素:炭素の原子比は約10:1から
約20:1までの範囲であり、前記プラズマ源ガス内の水素:炭素の原子比は約1
:1から約4:1までの範囲であることを特徴とする請求項8に記載の方法。
9. The atomic ratio of chlorine: carbon in the plasma source gas ranges from about 10: 1 to about 20: 1, and the atomic ratio of hydrogen: carbon in the plasma source gas is about 1: 1.
The method of claim 8, wherein the range is from 1: 1 to about 4: 1.
【請求項10】 前記プラズマ源ガスは、BCl3、N2、CF4、C26、C 48、CHF3、CH22、CHCl3、CHCl2F、CCl22、C2Cl24、C
BrF3、CBr22、O2、及びそれらの組合わせからなるグループから選択され
た添加ガスを更に含むことを特徴とする請求項1に記載の方法。
10. The plasma source gas is BClThree, NTwo, CFFour, CTwoF6, C Four F8, CHFThree, CHTwoFTwo, CHClThree, CHClTwoF, CClTwoFTwo, CTwoClTwoFFour, C
BrFThree, CBrTwoFTwo, OTwo, And a group consisting of
The method of claim 1, further comprising an added gas.
【請求項11】 前記添加ガスは、酸素を含まないことを特徴とする請求項
10に記載の方法。
11. The method of claim 10, wherein the additive gas does not include oxygen.
【請求項12】 前記添加ガスはBCl3であることを特徴とする請求項11
に記載の方法。
12. The method according to claim 11, wherein the additive gas is BCl 3.
The method described in.
【請求項13】 前記プラズマ源ガスは、アルゴン、ヘリウム、キセノン、
クリプトン、及びそれらの組合わせからなるグループから選択された非比反応性
希釈ガスを更に含むことを特徴とする請求項1に記載の方法。
13. The plasma source gas may be argon, helium, xenon,
The method of claim 1, further comprising a non-specific reactive diluent gas selected from the group consisting of krypton, and combinations thereof.
【請求項14】 前記非反応性希釈ガスはアルゴンであることを特徴とする
請求項13に記載の方法。
14. The method of claim 13, wherein said non-reactive diluent gas is argon.
【請求項15】 前記プラズマの電子密度は、少なくとも1011-/cm3
あることを特徴とする請求項1に記載の方法。
15. The method according to claim 1, wherein the plasma has an electron density of at least 10 11 e / cm 3 .
【請求項16】 前記プラズマの電子密度は、約1011-/cm3から約1012-/cm3の範囲であることを特徴とする請求項15に記載の方法。16. The method according to claim 15, wherein the electron density of the plasma ranges from about 10 11 e / cm 3 to about 10 12 e / cm 3 . 【請求項17】 前記基体バイアスは、約−200Vより低いことを特徴とす
る請求項1に記載の方法。
17. The method of claim 1, wherein said substrate bias is less than about -200V.
【請求項18】 前記基体バイアスは、約−50Vから約−150Vの範囲であ
ることを特徴とする請求項17に記載の方法。
18. The method of claim 17, wherein said substrate bias ranges from about -50V to about -150V.
JP2000582617A 1998-11-12 1999-11-11 Method for anisotropically etching aluminum and its alloys without leaving a residue Withdrawn JP2002530844A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19043598A 1998-11-12 1998-11-12
US09/190,435 1998-11-12
PCT/US1999/026267 WO2000029640A1 (en) 1998-11-12 1999-11-11 Method for residue-free anisotropic etching of aluminum and its alloys

Publications (1)

Publication Number Publication Date
JP2002530844A true JP2002530844A (en) 2002-09-17

Family

ID=22701346

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000582617A Withdrawn JP2002530844A (en) 1998-11-12 1999-11-11 Method for anisotropically etching aluminum and its alloys without leaving a residue

Country Status (4)

Country Link
JP (1) JP2002530844A (en)
KR (1) KR20010080994A (en)
TW (1) TW571001B (en)
WO (1) WO2000029640A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007080983A (en) * 2005-09-13 2007-03-29 Hitachi High-Technologies Corp Dry etching method
JP2010098518A (en) * 2008-10-16 2010-04-30 Rohm Co Ltd Method of manufacturing mems sensor, and mems sensor
US8390084B2 (en) 2008-06-16 2013-03-05 Rohm Co., Ltd. MEMS sensor

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458591B1 (en) * 2002-04-19 2004-12-03 아남반도체 주식회사 Method for removing polymer in semiconductor

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
US4505782A (en) * 1983-03-25 1985-03-19 Lfe Corporation Plasma reactive ion etching of aluminum and aluminum alloys
JPS60169140A (en) * 1984-02-13 1985-09-02 Hitachi Ltd Dry etching method
JP2603217B2 (en) * 1985-07-12 1997-04-23 株式会社日立製作所 Surface treatment method and surface treatment device
DE4107006A1 (en) * 1991-03-05 1992-09-10 Siemens Ag METHOD FOR ANISOTROPICALLY DRYING ALUMINUM OR BZW. ALUMINUM ALLOYS CONTAINING LADDER RAILINGS IN INTEGRATED SEMICONDUCTOR CIRCUITS
JPH04288828A (en) * 1991-03-18 1992-10-13 Sony Corp Dry etching method
DE4317722C2 (en) * 1993-05-27 1996-12-05 Siemens Ag Process for anisotropic etching of an aluminum-containing layer and use of a suitable etching gas mixture
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007080983A (en) * 2005-09-13 2007-03-29 Hitachi High-Technologies Corp Dry etching method
JP4554479B2 (en) * 2005-09-13 2010-09-29 株式会社日立ハイテクノロジーズ Dry etching method
US8390084B2 (en) 2008-06-16 2013-03-05 Rohm Co., Ltd. MEMS sensor
JP2010098518A (en) * 2008-10-16 2010-04-30 Rohm Co Ltd Method of manufacturing mems sensor, and mems sensor

Also Published As

Publication number Publication date
TW571001B (en) 2004-01-11
KR20010080994A (en) 2001-08-25
WO2000029640A1 (en) 2000-05-25
WO2000029640A9 (en) 2000-11-09

Similar Documents

Publication Publication Date Title
KR101029947B1 (en) A method for plasma etching performance enhancement
KR100309617B1 (en) A method of etching aluminum and an aluminum alloy using hydrogen chloride, chlorine-containing etching solution, and nitrogen
US6489247B1 (en) Copper etch using HCl and HBR chemistry
US6547978B2 (en) Method of heating a semiconductor substrate
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
JP3574680B2 (en) Plasma etching using xenon
US7270761B2 (en) Fluorine free integrated process for etching aluminum including chamber dry clean
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
CN1524287B (en) Unique process chemistry for etching organic low-K materials
KR101476435B1 (en) Method for multi-layer resist plasma etch
US20050103748A1 (en) Plasma processing method
JPH08172077A (en) Plasma etching process improvement method of viahole
JP2001526461A (en) Method for etching silicon oxynitride and inorganic anti-reflective coating
Negishi et al. Deposition control for reduction of 193 nm photoresist degradation in dielectric etching
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
WO2003081645A2 (en) An integrated in-situ etch process performed in a multichamber substrate processing system
JPH09148314A (en) Etching process of silicified titanium
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
KR101075045B1 (en) A method for plasma etching performance enhancement
EP0820093A1 (en) Etching organic antireflective coating from a substrate
WO1998001900A1 (en) Method for etching layers on semiconductor wafers
US20040038547A1 (en) Method of etching a metal layer using a mask, a metallization method for a semiconductor device, a method of etching a metal layer, and an etching gas
Frank Approaches for patterning of aluminum
JP2002530844A (en) Method for anisotropically etching aluminum and its alloys without leaving a residue
Abraham et al. Performance of different etch chemistries on titanium nitride antireflective coating layers and related selectivity and microloading improvements for submicron geometries obtained with a high-density metal etcher

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070206