JP2002198284A - Stage device and projection aligner - Google Patents

Stage device and projection aligner

Info

Publication number
JP2002198284A
JP2002198284A JP2000393835A JP2000393835A JP2002198284A JP 2002198284 A JP2002198284 A JP 2002198284A JP 2000393835 A JP2000393835 A JP 2000393835A JP 2000393835 A JP2000393835 A JP 2000393835A JP 2002198284 A JP2002198284 A JP 2002198284A
Authority
JP
Japan
Prior art keywords
stage
power supply
supply member
reticle
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000393835A
Other languages
Japanese (ja)
Inventor
Hiromitsu Yoshimoto
宏充 吉元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2000393835A priority Critical patent/JP2002198284A/en
Publication of JP2002198284A publication Critical patent/JP2002198284A/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

PROBLEM TO BE SOLVED: To reduce disturbance due to a power supply member even if a stage body is moved. SOLUTION: The stage device has the stage body XG that is connected to the power supply member TB 1 for supplying power for moving. Also, the stage device has a power supply stage 63, a detection device 67, and a drive control device. The power supply stage 63 retains the power supply member TB1, and synchronously moves with the stage body XG. The detection device 67 detects the relative position relationship between the stage body XG and the power supply stage 63. The drive control device controls the drive of the stage body XG and the power supply stage 63 based on a result obtained by comparing a result detected by the detection device 67 with a specific threshold.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、各種用力が供給さ
れてステージ本体が移動するステージ装置、およびこの
ステージ装置に保持されたマスクと基板とを用いてマス
クのパターンを基板に露光する露光装置に関し、特に液
晶表示素子や半導体素子等のデバイスを製造する際に、
リソグラフィ工程で用いて好適なステージ装置および露
光装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a stage apparatus in which a stage body is moved by supplying various utilities, and an exposure apparatus for exposing a mask pattern on a substrate using a mask and a substrate held by the stage apparatus. Regarding, especially when manufacturing devices such as liquid crystal display elements and semiconductor elements,
The present invention relates to a stage apparatus and an exposure apparatus suitable for use in a lithography process.

【0002】[0002]

【従来の技術】従来より、半導体デバイスの製造工程の
1つであるリソグラフィ工程においては、マスク又はレ
チクル(以下、レチクルと称する)に形成された回路パ
ターンをレジスト(感光剤)が塗布されたウエハ又はガ
ラスプレート等の基板上に転写する種々の露光装置が用
いられている。例えば、半導体デバイス用の露光装置と
しては、近年における集積回路の高集積化に伴うパター
ンの最小線幅(デバイスルール)の微細化に応じて、レ
チクルのパターンを投影光学系を用いてウエハ上に縮小
転写する縮小投影露光装置が主として用いられている。
2. Description of the Related Art Conventionally, in a lithography process which is one of the manufacturing processes of a semiconductor device, a circuit pattern formed on a mask or a reticle (hereinafter referred to as a reticle) is coated with a resist (photosensitive agent) on a wafer. Alternatively, various exposure apparatuses for transferring the image onto a substrate such as a glass plate have been used. For example, as an exposure apparatus for a semiconductor device, a reticle pattern is projected onto a wafer using a projection optical system in accordance with the miniaturization of the minimum line width (device rule) of a pattern accompanying the high integration of an integrated circuit in recent years. A reduction projection exposure apparatus that performs reduction transfer is mainly used.

【0003】この縮小投影露光装置としては、レチクル
のパターンをウエハ上の複数のショット領域(露光領
域)に順次転写するステップ・アンド・リピート方式の
静止露光型の縮小投影露光装置(いわゆるステッパ)
や、このステッパを改良したもので、特開平8−166
043号公報等に開示されるようなレチクルとウエハと
を一次元方向に同期移動してレチクルパターンをウエハ
上の各ショット領域に転写するステップ・アンド・スキ
ャン方式の走査露光型の露光装置(いわゆるスキャニン
グ・ステッパ)が知られている。
As this reduction projection exposure apparatus, a step-and-repeat type static exposure reduction projection exposure apparatus (so-called stepper) for sequentially transferring a reticle pattern to a plurality of shot areas (exposure areas) on a wafer.
And an improved version of this stepper.
No. 043, etc., a reticle and a wafer are synchronously moved in a one-dimensional direction to transfer a reticle pattern to each shot area on the wafer. Scanning steppers) are known.

【0004】このようなステッパやスキャニング・ステ
ッパでは、焦点位置調整のために光軸方向への移動やレ
ベリング調整可能で負圧吸引等の吸着手段によってウエ
ハを保持するテーブル(ステージ本体)をステージ上に
設置し、これらテーブルおよびステージを非接触ベアリ
ングであるエアベアリングによって浮揚させた状態で、
リニアモータ等の駆動装置により定盤上を移動させてい
る。そして、テーブル上には、検出光を反射する移動鏡
を配設し、移動鏡に対向配置されたレーザ干渉計等の位
置検出装置から検出光を照射し、移動鏡からの反射光に
基づいてステージとの間の距離を計測することで、ウエ
ハの位置を高精度に検出している。レチクルにおいても
同様に、レチクルを吸着保持するレチクルステージ(ス
テージ本体)上に移動鏡を設け、位置検出装置から検出
光を照射し、レチクルステージとの間の距離を計測する
ことで、レチクルの位置を高精度に検出している。
In such a stepper or a scanning stepper, a table (stage body) holding a wafer by suction means such as negative pressure suction, which can be moved in the optical axis direction and leveled for focus position adjustment, is placed on the stage. In a state where these tables and stages are levitated by air bearings that are non-contact bearings,
It is moved on the surface plate by a drive device such as a linear motor. A movable mirror for reflecting the detection light is provided on the table, and the detection light is emitted from a position detecting device such as a laser interferometer disposed opposite to the movable mirror, based on the reflected light from the movable mirror. The position of the wafer is detected with high accuracy by measuring the distance from the stage. Similarly, in the case of a reticle, a movable mirror is provided on a reticle stage (stage main body) that holds the reticle by suction, a detection light is emitted from a position detection device, and the distance between the reticle and the reticle stage is measured. Is detected with high accuracy.

【0005】上記テーブル、レチクルステージ等のステ
ージ本体には、各種用力が供給される用力供給部材とし
ての配管・配線が接続されている。具体的には、エアベ
アリング用のエアを用力として供給する配管、吸着手段
用の負圧を用力として供給する配管、フロリナート等の
温度調整用媒体を用力として供給する配管、さらにはレ
ベリングセンサ等へ用力として電力を供給する配線、各
種制御信号を用力として供給するシステム配線等がステ
ージ本体には接続されている。
[0005] To the stage main body such as the table and the reticle stage are connected pipes and wires as utility supply members to which various utilities are supplied. Specifically, piping for supplying air for air bearing as a utility, piping for supplying a negative pressure for suction means as a utility, piping for supplying a temperature adjusting medium such as Fluorinert as a utility, and even a leveling sensor. Wiring for supplying power as a utility, system wiring for supplying various control signals as a utility, and the like are connected to the stage body.

【0006】ところで、これらの用力供給部材は、ステ
ージ本体の移動に伴って引張力を与えたり、その反力で
微振動を発生させ、ステージ本体の同期精度に誤差を及
ぼす可能性がある。従来、この微振動に起因する同期誤
差は無視されていたが、近年、パターンの微細化に伴う
露光精度の高度化が進むに従って、この誤差に対しても
対策を施す必要に迫られていた。そこで、この問題に対
しては、図8に示すステージ装置が提供されている。
[0006] Incidentally, these utility supply members may apply a pulling force with the movement of the stage main body or generate a micro-vibration by a reaction force thereof, which may cause an error in the synchronization accuracy of the stage main body. Conventionally, the synchronization error caused by the micro-vibration has been neglected, but in recent years, as the exposure accuracy has advanced with the miniaturization of patterns, it has been necessary to take measures against this error. To solve this problem, a stage device shown in FIG. 8 is provided.

【0007】この図に示すステージ装置91は、X方向
に延びる固定子92、92に沿って可動子93、93が
X方向に移動し、可動子93、93間に懸架されY方向
に延びる長尺のガイドバー94に設けられた固定子95
に沿って可動子としてのステージ本体96がY方向に移
動するものであり、ステージ本体96のX側には配管ト
レー97が配設されている。配管トレー97は、可動子
93、93(またはガイドバー94)に連結され、X方
向に関してはステージ本体96と一体的に移動する構成
になっている。用力供給部材98から供給される空気
は、ガイドバー94に対向してステージ本体96に設け
られたエアベアリングに供給されている。この配管トレ
ー97には、ステージ本体96に接続される上記の各種
用力供給部材98、および一方の可動子93に接続され
る用力供給部材99が収容・支持される。そして、この
ステージ装置は、ステージ本体96のX方向に移動に対
して、配管トレー97が追従移動することにより、用力
供給部材98がステージ本体96に作用する引張力や振
動を低減させている。
In the stage device 91 shown in this figure, movers 93, 93 move in the X direction along stators 92, 92 extending in the X direction, and are suspended between the movers 93, 93 and extend in the Y direction. Stator 95 provided on guide bar 94 of length
The stage main body 96 as a mover moves in the Y direction along the axis, and a piping tray 97 is disposed on the X side of the stage main body 96. The piping tray 97 is connected to the movers 93, 93 (or the guide bar 94), and is configured to move integrally with the stage main body 96 in the X direction. The air supplied from the utility supply member 98 is supplied to an air bearing provided on the stage body 96 so as to face the guide bar 94. The above-mentioned various supply members 98 connected to the stage main body 96 and a supply member 99 connected to one of the movable elements 93 are accommodated and supported in the piping tray 97. Then, in this stage device, the utility tray 98 moves along the X direction of the stage main body 96, thereby reducing the pulling force and vibration applied to the stage main body 96 by the utility supply member 98.

【0008】同様に、用力供給部材98がステージ本体
96に作用する引張力や振動を低減させるステージ装置
としては、特開平8−63231号公報に記載されたも
のが提供されている。このステージ装置は、ステージ本
体に設けられた可動子と、用力供給部材としてのケーブ
ルが接続されたキャリア/従動子に設けられた可動子と
が固定子として同一の磁気軌道から同時に磁束がもたら
されることで、ステージ本体の移動に対してキャリア/
従動子が追従移動し、ケーブルがステージ本体に与える
引張力を取り除いている。
Similarly, a stage device described in Japanese Patent Application Laid-Open No. 8-63231 is provided as a stage device for reducing the pulling force and vibration applied to the stage main body 96 by the utility supply member 98. In this stage device, a mover provided on the stage body and a mover provided on a carrier / follower to which a cable as a utility supply member is connected simultaneously provide magnetic flux from the same magnetic track as a stator. In this way, the carrier /
The follower moves following and the cable removes the tensile force applied to the stage body.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、上述し
たような従来のステージ装置および露光装置には、以下
のような問題が存在する。ステージ本体96のY方向の
移動に関しては、図9に示すように、ステージ本体96
と配管トレー97とが相対移動するため、ステージ本体
96には用力供給部材98を介して種々の外乱が伝達さ
れる。外乱の中、動的なものとしては、例えばステージ
本体96が−Y方向に移動することにより、用力供給部
材98の配管トレー97への接触や、複数の用力供給部
材98同士の擦れ、叩き、さらには用力供給部材98内
の流体内部圧力変動、床振動伝達等に起因して発生する
微振動が挙げられ、この微振動がステージ本体96に伝
わる虞がある。
However, the conventional stage apparatus and exposure apparatus as described above have the following problems. Regarding the movement of the stage body 96 in the Y direction, as shown in FIG.
And the piping tray 97 relatively move, so that various disturbances are transmitted to the stage body 96 via the utility supply member 98. During the disturbance, for example, when the stage body 96 moves in the −Y direction, the contact of the utility supply member 98 with the piping tray 97 or the rubbing and hitting of the utility supply members 98 with each other is performed. Further, there are micro vibrations generated due to fluctuations in the internal pressure of the fluid in the utility supply member 98, transmission of floor vibrations, and the like, and this micro vibration may be transmitted to the stage body 96.

【0010】また、外乱の中、静的なものとしては、用
力供給部材98の変形に伴う抗力が挙げられ、この抗力
がステージ本体96に伝わる虞がある。特に、昨今、用
力供給部材98に対するケミカルクリーンの要求が高ま
り、内部流体の透過防止、滲み出し防止の観点から用力
供給部材98としては、肉厚が大きなものや、二重構造
を有する硬化材が採用されている。そのため、用力供給
部材98の抗力がますます大きくなる傾向にあり、ステ
ージ本体96に与える影響も大きくなると懸念されてい
る。そして、このような、ステージ装置を用いてマスク
のパターンをウエハ等の基板に露光する露光装置におい
ては、外乱によりステージ本体の移動制御に支障を来す
と、パターンを基板上に高精度に露光できないという問
題が発生することになる。
Among the disturbances, a static one is a drag caused by the deformation of the utility supply member 98, and this drag may be transmitted to the stage body 96. In particular, in recent years, the demand for a chemical clean for the power supply member 98 has been increased, and from the viewpoint of preventing internal fluid from permeating and preventing seepage, the power supply member 98 has a large thickness or a hardened material having a double structure. Has been adopted. Therefore, the drag of the utility supply member 98 tends to be further increased, and there is a concern that the influence on the stage body 96 will be increased. In such an exposure apparatus that exposes a mask pattern onto a substrate such as a wafer by using a stage apparatus, if disturbance interferes with movement control of the stage body, the pattern is exposed on the substrate with high precision. The problem of not being able to do so will occur.

【0011】そこで、上記のような問題を回避する方法
として、例えばステージ本体96と配管トレー97とを
同期移動させることが考えられるが、この場合、ステー
ジ本体96と配管トレー97との位置的な同期が崩れる
と用力供給部材98を介して、どちらか一方または両者
が損傷を受ける可能性がある。また、ステージ本体96
と配管トレー97との同期ずれを許容して用力供給部材
98の長さを設定すると、その長さが大きくなり用力供
給部材98自身の固有振動や慣性力がステージ本体96
に対する外乱になるという問題が発生する。
Therefore, as a method of avoiding the above-mentioned problem, for example, it is conceivable to move the stage body 96 and the piping tray 97 synchronously. In this case, however, the positional relationship between the stage body 96 and the piping tray 97 is considered. If the synchronization is lost, one or both of the power supply members 98 may be damaged. The stage body 96
When the length of the utility supply member 98 is set while allowing the synchronization deviation between the power supply member 98 and the piping tray 97, the length becomes large, and the natural vibration and the inertia force of the utility supply member 98 itself are reduced by the stage body
A problem arises that it becomes a disturbance to.

【0012】本発明は、以上のような点を考慮してなさ
れたもので、ステージ本体が移動した際にも、用力供給
部材に起因する外乱(振動)を低減できるとともに、ス
テージ本体等の損傷を防止できるステージ装置、および
このステージ装置を用いてマスクのパターンを高精度に
基板に露光できる露光装置を提供することを目的とす
る。
The present invention has been made in view of the above points, and it is possible to reduce disturbance (vibration) caused by a utility supply member even when the stage body moves, and to damage the stage body and the like. It is an object of the present invention to provide a stage device that can prevent the occurrence of a pattern, and an exposure device that can expose a pattern of a mask onto a substrate with high accuracy by using the stage device.

【0013】[0013]

【課題を解決するための手段】上記の目的を達成するた
めに本発明は、実施の形態を示す図1ないし図6に対応
付けした以下の構成を採用している。本発明のステージ
装置は、用力を供給する用力供給部材(TB1)が接続
されて移動するステージ本体(XG)を備えたステージ
装置(7)において、用力供給部材(TB1)を保持し
てステージ本体(XG)と同期移動する用力供給ステー
ジ(63)と、ステージ本体(XG)と用力供給ステー
ジ(63)との相対位置関係を検出する検出装置(6
7)と、検出装置(67)の検出結果と所定のしきい値
との比較結果に基づいてステージ本体(XG)および用
力供給ステージ(63)の駆動を制御する駆動制御装置
とを備えるを特徴とするものである。
In order to achieve the above object, the present invention employs the following structure corresponding to FIGS. 1 to 6 showing an embodiment. The stage device according to the present invention is a stage device (7) including a stage main body (XG) that is connected to and moves with a power supply member (TB1) for supplying a power. A power supply stage (63) that moves synchronously with the (XG), and a detection device (6) that detects a relative positional relationship between the stage body (XG) and the power supply stage (63).
7) and a drive control device that controls the drive of the stage body (XG) and the utility supply stage (63) based on a comparison result between the detection result of the detection device (67) and a predetermined threshold value. It is assumed that.

【0014】従って、本発明のステージ装置では、用力
供給ステージ(63)がステージ本体(XG)と同期移
動し、これらの間の相対位置関係が維持されるので、用
力供給部材(TB1)の変形に伴う微振動や抗力の発生
を抑止でき、ステージ本体(XG)に外乱として伝わる
ことを防止できるが、これらの相対位置関係が、例えば
最小限の同期ずれを許容するためのしきい値を越えたと
きにステージ本体(XG)および用力供給ステージ(6
3)の駆動を停止することで、これらが損傷することを
防ぐことができる。また、このようにステージ本体(X
G)および用力供給ステージ(63)の相対位置関係を
しきい値までの範囲内に抑えることができるので、用力
供給部材(TB1)の長さを必要以上に大きくする必要
がなくなり、用力供給部材(TB1)自身の固有振動や
慣性力がステージ本体に対する外乱を抑制することがで
きる。
Therefore, in the stage apparatus of the present invention, the utility supply stage (63) moves synchronously with the stage body (XG), and the relative positional relationship between them is maintained, so that the utility supply member (TB1) is deformed. Can suppress the occurrence of micro-vibration and drag due to the vibration, and can prevent transmission to the stage body (XG) as a disturbance. However, the relative positional relationship exceeds, for example, a threshold value for allowing a minimum synchronization deviation. The stage body (XG) and the utility supply stage (6
By stopping the driving of 3), these can be prevented from being damaged. Also, the stage body (X
Since the relative positional relationship between G) and the power supply stage (63) can be suppressed within the range up to the threshold value, it is not necessary to make the length of the power supply member (TB1) longer than necessary, and the power supply member (TB1) The natural vibration or inertia force of itself can suppress disturbance to the stage body.

【0015】また、本発明の露光装置は、マスクステー
ジ(2)に保持されたマスク(R)のパターンを基板ス
テージ(5)に保持された基板(W)に露光する露光装
置(1)において、マスクステージ(2)と基板ステー
ジ(5)との少なくとも一方のステージとして、請求項
1から6のいずれかに記載されたステージ装置(7)が
用いられることを特徴とするものである。
The exposure apparatus of the present invention is directed to an exposure apparatus (1) for exposing a pattern of a mask (R) held on a mask stage (2) to a substrate (W) held on a substrate stage (5). The stage device (7) according to any one of claims 1 to 6 is used as at least one of the mask stage (2) and the substrate stage (5).

【0016】従って、本発明の露光装置では、用力供給
ステージ(63)の移動に伴う外乱がマスク(R)や基
板(W)に伝達されることを抑止できる。そのため、マ
スク(R)と基板(W)との位置制御を高精度に実行す
ることが可能になり、マスク(R)のパターンを基板
(W)に高精度に露光形成することができる。また、用
力供給ステージ(63)とステージ本体(XG)とが損
傷することで生産効率が低下してしまうことを未然に防
ぐことができる。
Therefore, in the exposure apparatus of the present invention, it is possible to suppress the disturbance accompanying the movement of the utility supply stage (63) from being transmitted to the mask (R) and the substrate (W). Therefore, position control between the mask (R) and the substrate (W) can be performed with high precision, and the pattern of the mask (R) can be formed on the substrate (W) by exposure with high precision. In addition, it is possible to prevent production efficiency from being reduced due to damage to the utility supply stage (63) and the stage body (XG).

【0017】[0017]

【発明の実施の形態】以下、本発明のステージ装置およ
び露光装置の実施の形態を、図1ないし図7を参照して
説明する。ここでは、例えば露光装置として、レチクル
とウエハとを同期移動しつつ、レチクルに形成された半
導体デバイスの回路パターンをウエハ上に転写する、ス
キャニング・ステッパを使用する場合の例を用いて説明
する。また、この露光装置においては、本発明のステー
ジ装置をウエハステージに適用するものとする。なお、
これらの図において、従来例として示した図8および図
9と同一の構成要素には同一符号を付し、その説明を省
略する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of a stage apparatus and an exposure apparatus according to the present invention will be described below with reference to FIGS. Here, an example in which a scanning stepper that transfers a circuit pattern of a semiconductor device formed on a reticle onto a wafer while synchronously moving a reticle and a wafer is used as an exposure apparatus will be described. In this exposure apparatus, the stage device of the present invention is applied to a wafer stage. In addition,
In these figures, the same components as those in FIGS. 8 and 9 shown as conventional examples are denoted by the same reference numerals, and description thereof will be omitted.

【0018】図1に示す露光装置1は、光源(不図示)
からの露光用照明光によりレチクル(マスク)R上の矩
形状(あるいは円弧状)の照明領域を均一な照度で照明
する照明光学系IUと、レチクルRを保持して移動する
レチクルステージ(マスクステージ)2および該レチク
ルステージ2を支持するレチクル定盤3を含むステージ
装置4と、レチクルRから射出される照明光をウエハ
(基板、感光基板)W上に投影する投影光学系PLと、
試料であるウエハWを保持して移動するウエハステージ
(基板ステージ)5および該ウエハステージ5を保持す
るウエハ定盤6を含むステージ装置7と、上記ステージ
装置4および投影光学系PLを支持するリアクションフ
レーム8とから概略構成されている。なお、ここで投影
光学系PLの光軸方向をZ方向とし、このZ方向と直交
する方向でレチクルRとウエハWの同期移動方向をY方
向とし、非同期移動方向をX方向とする。また、それぞ
れの軸周りの回転方向をθZ、θY、θXとする。
An exposure apparatus 1 shown in FIG. 1 has a light source (not shown).
An illumination optical system IU that illuminates a rectangular (or arc) illumination area on a reticle (mask) R with uniform illumination by exposure illumination light from a reticle (mask) R, and a reticle stage (mask stage) that holds and moves the reticle R 2) and a stage apparatus 4 including a reticle surface plate 3 supporting the reticle stage 2; a projection optical system PL for projecting illumination light emitted from the reticle R onto a wafer (substrate, photosensitive substrate) W;
A stage device 7 including a wafer stage (substrate stage) 5 that holds and moves a wafer W as a sample and a wafer surface plate 6 that holds the wafer stage 5, and a reaction that supports the stage device 4 and the projection optical system PL And a frame 8. Here, the optical axis direction of the projection optical system PL is defined as the Z direction, the direction of the synchronous movement of the reticle R and the wafer W is defined as the Y direction, and the direction of the asynchronous movement is defined as the X direction in a direction perpendicular to the Z direction. The rotation directions around the respective axes are denoted by θZ, θY, and θX.

【0019】照明光学系IUは、リアクションフレーム
8の上面に固定された支持コラム9によって支持され
る。なお、露光用照明光としては、例えば超高圧水銀ラ
ンプから射出される紫外域の輝線(g線、i線)および
KrFエキシマレーザ光(波長248nm)等の遠紫外
光(DUV光)や、ArFエキシマレーザ光(波長19
3nm)およびF2レーザ光(波長157nm)等の真
空紫外光(VUV)などが用いられる。
The illumination optical system IU is supported by a support column 9 fixed on the upper surface of the reaction frame 8. Examples of the illumination light for exposure include far ultraviolet light (DUV light) such as an ultraviolet bright line (g-line, i-line) and KrF excimer laser light (wavelength: 248 nm) emitted from an ultra-high pressure mercury lamp, and ArF. Excimer laser light (wavelength 19
Vacuum ultraviolet light (VUV) such as 3 nm) and F 2 laser light (wavelength 157 nm).

【0020】リアクションフレーム8は、床面に水平に
載置されたベースプレート10上に設置されており、そ
の上部側および下部側には、内側に向けて突出する段部
8aおよび8bがそれぞれ形成されている。
The reaction frame 8 is installed on a base plate 10 placed horizontally on the floor, and has inwardly projecting step portions 8a and 8b formed on its upper and lower sides, respectively. ing.

【0021】ステージ装置4の中、レチクル定盤3は、
各コーナーにおいてリアクションフレーム8の段部8a
に防振ユニット11を介してほぼ水平に支持されており
(なお、紙面奥側の防振ユニットについては図示せ
ず)、その中央部にはレチクルRに形成されたパターン
像が通過する開口3aが形成されている。なお、レチク
ル定盤3の材料として金属やセラミックスを用いること
ができる。防振ユニット11は、内圧が調整可能なエア
マウント12とボイスコイルモータ13とが段部8a上
に直列に配置された構成になっている。これら防振ユニ
ット11によって、ベースプレート10およびリアクシ
ョンフレーム8を介してレチクル定盤3に伝わる微振動
がマイクロGレベルで絶縁されるようになっている(G
は重力加速度)。
In the stage device 4, the reticle platen 3
Step 8a of reaction frame 8 at each corner
An opening 3a through which a pattern image formed on the reticle R passes is supported in a substantially horizontal manner via an anti-vibration unit 11 (note that the anti-vibration unit on the back side of the drawing is not shown). Are formed. Note that metal or ceramics can be used as the material of the reticle surface plate 3. The anti-vibration unit 11 has a configuration in which an air mount 12 whose internal pressure can be adjusted and a voice coil motor 13 are arranged in series on the step 8a. With these vibration isolation units 11, micro vibrations transmitted to the reticle surface plate 3 via the base plate 10 and the reaction frame 8 are insulated at a micro G level (G
Is the gravitational acceleration).

【0022】レチクル定盤3上には、レチクルステージ
2が該レチクル定盤3に沿って2次元的に移動可能に支
持されている。レチクルステージ2の底面には、複数の
エアベアリング(エアパッド)14が固定されており、
これらのエアベアリング14によってレチクルステージ
2がレチクル定盤3上に数ミクロン程度のクリアランス
を介して浮上支持されている。また、レチクルステージ
2の中央部には、レチクル定盤3の開口3aと連通し、
レチクルRのパターン像が通過する開口2aが形成され
ている。
A reticle stage 2 is supported on the reticle base 3 so as to be two-dimensionally movable along the reticle base 3. A plurality of air bearings (air pads) 14 are fixed to the bottom of the reticle stage 2.
The reticle stage 2 is levitated and supported by the air bearings 14 on the reticle surface plate 3 with a clearance of about several microns. In addition, the central portion of the reticle stage 2 communicates with the opening 3a of the reticle surface plate 3,
An opening 2a through which the pattern image of the reticle R passes is formed.

【0023】レチクルステージ2について詳述すると、
図2に示すように、レチクルステージ2は、レチクル定
盤3上を一対のYリニアモータ15、15によってY軸
方向に所定ストロークで駆動されるレチクル粗動ステー
ジ16と、このレチクル粗動ステージ16上を一対のX
ボイスコイルモータ17Xと一対のYボイスコイルモー
タ17YとによってX、Y、θZ方向に微小駆動される
レチクル微動ステージ18とを備えた構成になっている
(なお、図1では、これらを1つのステージとして図示
している)。
The reticle stage 2 will be described in detail.
As shown in FIG. 2, the reticle stage 2 includes a reticle coarse movement stage 16 that is driven on the reticle surface plate 3 by a pair of Y linear motors 15 in a predetermined stroke in the Y-axis direction. A pair of X above
A reticle fine movement stage 18 that is finely driven in the X, Y, and θZ directions by a voice coil motor 17X and a pair of Y voice coil motors 17Y is provided. As illustrated).

【0024】各Yリニアモータ15は、レチクル定盤3
上に非接触ベアリングである複数のエアベアリング(エ
アパッド)19によって浮上支持されY軸方向に延びる
固定子20と、この固定子20に対応して設けられ、連
結部材22を介してレチクル粗動ステージ16に固定さ
れた可動子21とから構成されている。このため、運動
量保存の法則により、レチクル粗動ステージ16の+Y
方向の移動に応じて、固定子20は−Y方向に移動す
る。この固定子20の移動によりレチクル粗動ステージ
16の移動に伴う反力を相殺するとともに、重心位置の
変化を防ぐことができる。
Each Y linear motor 15 has a reticle surface plate 3
A stator 20 floating above and supported in the Y-axis direction by a plurality of air bearings (air pads) 19 as non-contact bearings, and a reticle coarse movement stage provided corresponding to the stator 20 via a connecting member 22 The movable element 21 is fixed to the movable element 16. Therefore, the reticle coarse movement stage 16 has + Y
In accordance with the movement in the direction, the stator 20 moves in the −Y direction. The movement of the stator 20 cancels the reaction force caused by the movement of the reticle coarse movement stage 16 and can prevent a change in the position of the center of gravity.

【0025】また、固定子20は、レチクル定盤3上に
代えて、リアクションフレーム8に設けてもよい。固定
子20をリアクションフレーム8に設ける場合には、エ
アベアリング19を省略し、固定子20をリアクション
フレーム8に固定して、レチクル粗動ステージ16の移
動により固定子20に作用する反力をリアクションフレ
ーム8を介して床に逃がしてもよい。
The stator 20 may be provided on the reaction frame 8 instead of on the reticle surface plate 3. When the stator 20 is provided on the reaction frame 8, the air bearing 19 is omitted, the stator 20 is fixed to the reaction frame 8, and the reaction force acting on the stator 20 due to the movement of the reticle coarse movement stage 16 is reacted. You may escape to the floor via the frame 8.

【0026】レチクル粗動ステージ16は、レチクル定
盤3の中央部に形成された上部突出部3bの上面に固定
されY軸方向に延びる一対のYガイド51、51によっ
てY軸方向に案内されるようになっている。また、レチ
クル粗動ステージ16は、これらYガイド51、51に
対して不図示のエアベアリングによって非接触で支持さ
れている。
The reticle coarse movement stage 16 is guided in the Y-axis direction by a pair of Y guides 51, 51 fixed to the upper surface of an upper protruding portion 3b formed in the center of the reticle surface plate 3 and extending in the Y-axis direction. It has become. The reticle coarse movement stage 16 is supported by the Y guides 51 and 51 by an air bearing (not shown) in a non-contact manner.

【0027】レチクル微動ステージ18には、不図示の
バキュームチャックを介してレチクルRが吸着保持され
るようになっている。レチクル微動ステージ18の−Y
方向の端部には、コーナキューブからなる一対のY移動
鏡52a、52bが固定され、また、レチクル微動ステ
ージ18の+X方向の端部には、Y軸方向に延びる平面
ミラーからなるX移動鏡53が固定されている。そし
て、これら移動鏡52a、52b、53に対して測長ビ
ームを照射する3つのレーザ干渉計(いずれも不図示)
が各移動鏡との距離を計測することにより、レチクルス
テージ2のX、Y、θZ(Z軸回りの回転)方向の位置
が高精度に計測される。なお、レチクル微動ステージ1
8の材質として金属やコージェライトまたはSiCから
なるセラミックスを用いることができる。
The reticle R is held by suction on the reticle fine movement stage 18 via a vacuum chuck (not shown). -Y of reticle fine movement stage 18
A pair of Y moving mirrors 52a and 52b formed of corner cubes are fixed to the ends in the direction, and an X moving mirror formed of a plane mirror extending in the Y axis direction is provided to the + X direction end of the reticle fine movement stage 18. 53 is fixed. Then, three laser interferometers (all not shown) for irradiating the movable mirrors 52a, 52b, and 53 with a length measurement beam.
By measuring the distance from each movable mirror, the position of the reticle stage 2 in the X, Y, and θZ (rotation around the Z axis) direction can be measured with high accuracy. Reticle fine movement stage 1
As the material of No. 8, metal, ceramics made of cordierite or SiC can be used.

【0028】図1に戻り、投影光学系PLとして、ここ
では物体面(レチクルR)側と像面(ウエハW)側の両
方がテレセントリックで円形の投影視野を有し、石英や
蛍石を光学硝材とした屈折光学素子(レンズ素子)から
なる1/4(または1/5)縮小倍率の屈折光学系が使
用されている。このため、レチクルRに照明光が照射さ
れると、レチクルR上の回路パターンのうち、照明光で
照明された部分からの結像光束が投影光学系PLに入射
し、その回路パターンの部分倒立像が投影光学系PLの
像面側の円形視野の中央にスリット状に制限されて結像
される。これにより、投影された回路パターンの部分倒
立像は、投影光学系PLの結像面に配置されたウエハW
上の複数のショット領域のうち、1つのショット領域表
面のレジスト層に縮小転写される。
Returning to FIG. 1, as the projection optical system PL, here, both the object plane (reticle R) side and the image plane (wafer W) side are telecentric and have a circular projection field, and quartz and fluorite are optically projected. A refraction optical system having a 1/4 (or 1/5) reduction magnification composed of a refraction optical element (lens element) made of a glass material is used. For this reason, when the reticle R is irradiated with the illumination light, of the circuit pattern on the reticle R, an image forming light beam from a portion illuminated by the illumination light enters the projection optical system PL, and the circuit pattern is partially inverted. The image is limited to a slit shape and formed at the center of the circular field on the image plane side of the projection optical system PL. Thus, the projected partial inverted image of the circuit pattern is transferred to the wafer W placed on the image forming plane of the projection optical system PL.
Of the plurality of upper shot areas, the reduced transfer is performed to the resist layer on the surface of one shot area.

【0029】投影光学系PLの鏡筒部の外周には、該鏡
筒部に一体化されたフランジ23が設けられている。そ
して、投影光学系PLは、リアクションフレーム8の段
部8bに防振ユニット24を介してほぼ水平に支持され
た鋳物等で構成された鏡筒定盤25に、光軸方向をZ方
向として上方から挿入されるとともに、フランジ23が
係合している。なお、鏡筒定盤25として、高剛性・低
熱膨張のセラミックス材を用いてもよい。
A flange 23 integrated with the lens barrel is provided on the outer periphery of the lens barrel of the projection optical system PL. The projection optical system PL is mounted on a lens barrel base 25 made of a casting or the like substantially horizontally supported on the stepped portion 8b of the reaction frame 8 via an anti-vibration unit 24 with the optical axis direction being the Z direction. And the flange 23 is engaged. The lens barrel base 25 may be made of a ceramic material having high rigidity and low thermal expansion.

【0030】フランジ23の素材としては、低熱膨張の
材質、例えばインバー(Inver;ニッケル36%、
マンガン0.25%、および微量の炭素と他の元素を含
む鉄からなる低膨張の合金)が用いられている。このフ
ランジ23は、投影光学系PLを鏡筒定盤25に対して
点と面とV溝とを介して3点で支持する、いわゆるキネ
マティック支持マウントを構成している。このようなキ
ネマティック支持構造を採用すると、投影光学系PLの
鏡筒定盤25に対する組み付けが容易で、しかも組み付
け後の鏡筒定盤25および投影光学系PLの振動、温度
変化等に起因する応力を最も効果的に軽減できるという
利点がある。
As a material of the flange 23, a material having low thermal expansion, for example, Invar (nickel 36%,
(A low-expansion alloy composed of 0.25% of manganese and iron containing trace amounts of carbon and other elements). The flange 23 constitutes a so-called kinematic support mount that supports the projection optical system PL at three points with respect to the barrel base 25 via points, surfaces, and V-grooves. When such a kinematic support structure is employed, it is easy to assemble the projection optical system PL to the lens barrel base 25, and it is caused by vibrations, temperature changes, and the like of the assembled lens barrel base 25 and the projection optical system PL. There is an advantage that stress can be reduced most effectively.

【0031】防振ユニット24は、鏡筒定盤25の各コ
ーナーに配置され(なお、紙面奥側の防振ユニットにつ
いては図示せず)、内圧が調整可能なエアマウント26
とボイスコイルモータ27とが段部8b上に直列に配置
された構成になっている。これら防振ユニット24によ
って、ベースプレート10およびリアクションフレーム
8を介して鏡筒定盤25(ひいては投影光学系PL)に
伝わる微振動がマイクロGレベルで絶縁されるようにな
っている。
The anti-vibration unit 24 is disposed at each corner of the lens barrel base 25 (the anti-vibration unit at the back of the drawing is not shown), and an air mount 26 whose internal pressure can be adjusted.
And the voice coil motor 27 are arranged in series on the step 8b. These vibration isolation units 24 insulate, at the micro G level, minute vibrations transmitted to the lens barrel base 25 (and the projection optical system PL) via the base plate 10 and the reaction frame 8.

【0032】ステージ装置7は、ウエハステージ5、こ
のウエハステージ5をXY平面に沿った2次元方向に移
動可能に支持するウエハ定盤6、ウエハステージ5と一
体的に設けられウエハWを吸着保持する試料台ST、こ
れらウエハステージ5および試料台STを相対移動自在
に支持するXガイドステージXG、XガイドステージX
Gと同期移動する同期ステージ装置DS(図4参照)を
主体に構成されている。ウエハステージ5の底面には、
非接触ベアリングである複数のエアベアリング(エアパ
ッド)28が固定されており、これらのエアベアリング
28によってウエハステージ5がウエハ定盤6上に、例
えば数ミクロン程度のクリアランスを介して浮上支持さ
れている。
The stage device 7 is provided integrally with the wafer stage 5, a wafer surface plate 6 for supporting the wafer stage 5 movably in a two-dimensional direction along the XY plane, and the wafer stage 5, and holding the wafer W by suction. Stage ST, X guide stage XG, X guide stage X for supporting wafer stage 5 and sample stage ST so as to be relatively movable.
It mainly comprises a synchronous stage device DS (see FIG. 4) that moves synchronously with G. On the bottom of the wafer stage 5,
A plurality of air bearings (air pads) 28, which are non-contact bearings, are fixed, and the wafer stage 5 is supported by the air bearings 28 on the wafer base 6 via a clearance of about several microns, for example. .

【0033】なお、XガイドステージXGとウエハステ
ージ5との間には線幅の小さいチューブが多く接続され
ており、これらのチューブに起因する外乱は無視できる
程度である。そこで、本実施の形態では、主にXガイド
ステージXGに対して用力供給用の配管・配線が接続さ
れていることを考慮して、このXガイドステージXGを
ステージ本体として取り扱うものとする。
Many tubes having a small line width are connected between the X guide stage XG and the wafer stage 5, and the disturbance caused by these tubes is negligible. Therefore, in the present embodiment, it is assumed that the X guide stage XG is handled as a stage main body in consideration of the fact that pipes and wires for supplying power are connected to the X guide stage XG.

【0034】ウエハ定盤6は、ベースプレート10の上
方に、防振ユニット29を介してほぼ水平に支持されて
いる。防振ユニット29は、ウエハ定盤6の各コーナー
に配置され(なお、紙面奥側の防振ユニットについては
図示せず)、内圧が調整可能なエアマウント30とボイ
スコイルモータ31とがベースプレート10上に並列に
配置された構成になっている。これら防振ユニット29
によって、ベースプレート10を介してウエハ定盤6に
伝わる微振動がマイクロGレベルで絶縁されるようにな
っている。
The wafer surface plate 6 is supported substantially horizontally above the base plate 10 via a vibration isolating unit 29. The anti-vibration unit 29 is disposed at each corner of the wafer surface plate 6 (the anti-vibration unit on the back side of the drawing is not shown), and the air mount 30 and the voice coil motor 31 whose internal pressure can be adjusted include the base plate 10. It has a configuration arranged in parallel on the top. These anti-vibration units 29
Thus, micro vibration transmitted to the wafer surface plate 6 via the base plate 10 is insulated at the micro G level.

【0035】図3に示すように、XガイドステージXG
は、X方向に沿った長尺形状を呈しており、その長さ方
向両端には電機子ユニットからなる可動子36,36が
それぞれ設けられている。これらの可動子36,36に
対応する磁石ユニットを有する固定子37,37は、ベ
ースプレート10に突設された支持部32、32に設け
られている(図1参照、なお図1では可動子36および
固定子37を簡略して図示している)。そして、これら
可動子36および固定子37によってムービングコイル
型のリニアモータ33、33が構成されており、可動子
36が固定子37との間の電磁気的相互作用により駆動
されることで、XガイドステージXGはY方向に移動す
るとともに、リニアモータ33、33の駆動を調整する
ことでθZ方向に回転移動する。すなわち、このリニア
モータ33によってXガイドステージXGとほぼ一体的
にウエハステージ5(および試料台ST、以下単にウエ
ハステージ5と称する)がY方向およびθZ方向に駆動
されるようになっている。
As shown in FIG. 3, the X guide stage XG
Has an elongated shape along the X direction, and movers 36, 36 each composed of an armature unit are provided at both ends in the length direction. The stators 37, 37 having magnet units corresponding to the movers 36, 36 are provided on support portions 32, 32 protruding from the base plate 10 (see FIG. 1, and in FIG. 1, the mover 36). And the stator 37 is shown in a simplified manner). The moving coil 36 and the stator 37 constitute moving coil linear motors 33, 33. The movable element 36 is driven by electromagnetic interaction with the stator 37, so that the X guide is formed. The stage XG moves in the Y direction and rotates in the θZ direction by adjusting the drive of the linear motors 33. That is, the linear stage 33 drives the wafer stage 5 (and the sample stage ST, hereinafter simply referred to as the wafer stage 5) in the Y direction and the θZ direction almost integrally with the X guide stage XG.

【0036】また、XガイドステージXGの−X方向側
には、Xトリムモータ34の可動子が取り付けられてい
る。Xトリムモータ34の固定子34bはリアクション
フレーム8に設けられている。このため、ウエハステー
ジ5をX方向に駆動する際の反力は、Xトリムモータ3
4およびリアクションフレーム8を介してベースプレー
ト10に伝達される。
A mover of the X trim motor 34 is mounted on the -X direction side of the X guide stage XG. The stator 34 b of the X trim motor 34 is provided on the reaction frame 8. For this reason, the reaction force when driving the wafer stage 5 in the X direction is reduced by the X trim motor 3.
The power is transmitted to the base plate 10 via the reaction frame 4 and the reaction frame 8.

【0037】ウエハステージ5は、XガイドステージX
Gとの間にZ方向に所定量のギャップを維持する磁石お
よびアクチュエータからなる磁気ガイドを介して、Xガ
イドステージXGにX方向に相対移動自在に非接触で支
持・保持されている。また、ウエハステージ5は、Xガ
イドステージXGに埋設されたXリニアモータ35によ
る電磁気的相互作用によりX方向に駆動される。なお、
ウエハステージ5の上面には、ウエハホルダ41を介し
てウエハWが真空吸着等によって固定される(図1参
照、図3以降では図示略)。
The wafer stage 5 is an X guide stage X
It is supported and held by an X guide stage XG in a non-contact manner so as to be relatively movable in the X direction via a magnetic guide including a magnet and an actuator that maintains a predetermined amount of gap in the Z direction between G and G. The wafer stage 5 is driven in the X direction by electromagnetic interaction of an X linear motor 35 embedded in the X guide stage XG. In addition,
A wafer W is fixed to the upper surface of the wafer stage 5 via a wafer holder 41 by vacuum suction or the like (see FIG. 1, not shown in FIG. 3 and thereafter).

【0038】同期ステージ装置DSは、Xガイドステー
ジXGの下方に配置されY方向に延在するエアガイド6
1と、エアガイド61上にY方向に沿って固定された固
定子62と、固定子62との間の電磁気的相互作用によ
り駆動されることでY方向に移動する可動子としてのサ
ブステージ(用力供給ステージ)63とから概略構成さ
れており、固定子62およびサブステージ63によって
ムービングコイル型のリニアモータが構成されている。
また、サブステージ63には、複数のエアベアリング
(エアパッド)が固定されており、これらのエアベアリ
ングによってサブステージ63は、例えば数ミクロン程
度のクリアランスを介してエアガイド61に移動自在に
支持されている。なお、これらエアガイド61、固定子
62は、ウエハ定盤6に対して振動的に独立して配置さ
れている。サブステージ63用リニアモータや、レチク
ルステージ2駆動用リニアモータ15、ウエハステージ
5用リニアモータ33、35の駆動は、不図示の駆動制
御装置により統括的に制御される。
The synchronous stage device DS includes an air guide 6 disposed below the X guide stage XG and extending in the Y direction.
1, a stator 62 fixed on the air guide 61 along the Y direction, and a sub-stage (movable element that moves in the Y direction by being driven by electromagnetic interaction between the stator 62 and the stator 62 ( A power supply stage) 63, and a moving coil type linear motor is constituted by the stator 62 and the sub-stage 63.
Further, a plurality of air bearings (air pads) are fixed to the substage 63, and the substage 63 is movably supported by the air guide 61 via a clearance of, for example, about several microns by these air bearings. I have. The air guide 61 and the stator 62 are arranged independently of the wafer surface plate 6 in terms of vibration. The driving of the linear motor for the sub-stage 63, the linear motor 15 for driving the reticle stage 2, and the linear motors 33 and 35 for the wafer stage 5 are controlled overall by a drive control device (not shown).

【0039】XガイドステージXGの下方(−Z側)に
は、チューブクランプ部64が突設されており、このチ
ューブクランプ部64には各種配管・配線が接続され
る。具体的には、温度調整用冷媒を供給・排出する配
管、エアベアリングに用いられるエアを供給する配管、
ウエハWを負圧吸引するための負圧(真空)を供給する
配管、各種のセンサへ電力を供給する配線、各種制御信
号・検出信号を供給するためのシステム配線等が種々の
駆動機器、制御機器に対して配設される。例えば、ウエ
ハステージ5に対しては、温度調整用配管、ウエハWを
吸着するための配管、エアベアリング用の空気配管、レ
ベリングセンサや後述する距離センサ等へ電力を供給す
る電力配線、これらセンサの検出信号やリニアモータ駆
動用のシステム配線等が接続される。なお、以下の説明
では、これら各種の用力が用力供給部材として、代表的
に帯状のチューブを介して供給されるものとして説明す
る。また、このチューブとしては、ケミカルクリーンに
対する要求を満たすべく、肉厚が大きなものや、二重構
造を有する硬化材で、且つ可撓性を有するものが用いら
れている。
Below the X guide stage XG (-Z side), a tube clamp portion 64 is protruded, and various pipes and wires are connected to the tube clamp portion 64. Specifically, a pipe for supplying and discharging a temperature adjusting refrigerant, a pipe for supplying air used for an air bearing,
Various drive devices and controls include piping for supplying a negative pressure (vacuum) for suctioning the wafer W under a negative pressure, wiring for supplying power to various sensors, and system wiring for supplying various control signals and detection signals. Installed for equipment. For example, for the wafer stage 5, a pipe for temperature adjustment, a pipe for sucking the wafer W, an air pipe for an air bearing, a power wiring for supplying power to a leveling sensor, a distance sensor described below, and the like, A detection signal and a system wiring for driving a linear motor are connected. In the following description, it is assumed that these various utilities are supplied as a utility supply member, typically via a belt-shaped tube. As the tube, a tube having a large thickness or a hardened material having a double structure and having flexibility is used in order to satisfy a demand for chemical clean.

【0040】サブステージ63の側方(+X側)には、
チューブクランプ部64との間でチューブ(用力供給部
材、第1用力供給部材)TB1が接続されるチューブク
ランプ部65が突設されており、チューブTB1が接続
されたチューブクランプ部64の端面(接続面)64a
とチューブクランプ部65の端面(接続面)65aと
は、サブステージ63とXガイドステージXGとが位置
決めされたときに、略面一になるように配置されてい
る。チューブクランプ部65には、リアクションフレー
ム8等に固定された固定部66との間でチューブ(第2
用力供給部材)TB2が接続されており、固定部66に
は用力供給源との間で用力が供給されるチューブTB3
が接続されている。なお、チューブTB1、TB2とは
チューブクランプ部65内において不図示の継手等によ
り保持されて接続されている。
On the side (+ X side) of the substage 63,
A tube clamp portion 65 to which a tube (power supply member, first power supply member) TB1 is connected protrudes from the tube clamp portion 64, and an end face (connection) of the tube clamp portion 64 to which the tube TB1 is connected. Surface) 64a
The end surface (connection surface) 65a of the tube clamp portion 65 is disposed so as to be substantially flush when the sub stage 63 and the X guide stage XG are positioned. A tube (second tube) is provided between the tube clamp portion 65 and a fixing portion 66 fixed to the reaction frame 8 or the like.
A tube TB3 to which a utility supply member) TB2 is connected.
Is connected. The tubes TB1 and TB2 are held and connected by a joint or the like (not shown) in the tube clamp portion 65.

【0041】チューブTB1は、端面64a、65aに
略円弧状に湾曲して接続されており、各端面64a、6
5aの接続部間の距離をLとすると、その湾曲半径はほ
ぼL/2に形成されている。また、チューブTB2も、
チューブクランプ部65と固定部66との間で略円弧状
に湾曲して接続されており、チューブTB1の湾曲方向
とチューブTB2の湾曲方向とは、略直交するように捻
ったように配置されている。
The tube TB1 is connected to the end faces 64a, 65a in a curved manner in a substantially arc shape.
Assuming that the distance between the connecting portions of 5a is L, the radius of curvature is substantially L / 2. Also, the tube TB2,
The tube clamp portion 65 and the fixing portion 66 are connected in a curved manner in a substantially arc shape, and the tube TB1 and the tube TB2 are twisted so as to be substantially orthogonal to each other. I have.

【0042】一方、サブステージ63には、位置ずれ検
出センサ(検出装置)67、67と位置ずれ制限ストッ
パー(制限装置)68、68とがXガイドステージXG
に向けて突設されている。位置ずれ検出センサ67は、
XガイドステージXGとサブステージ63との相対位置
関係を検出するものであって、光電スイッチ機能を有す
るフォトセンサで構成され、XガイドステージXGを挟
んだY方向両側に配置されている。このフォトセンサ
は、サブステージ63が所定位置に位置決めされたとき
に、サブステージ63に設けられた遮光板(不図示)
と、しきい値として設定された例えば5mm程度離間し
た位置に検知光を送光しており、XガイドステージXG
とサブステージ63との相対位置関係が5mm以上ず
れ、遮光板が検知光を遮光したときに検知信号を駆動制
御装置に出力する構成になっている。
On the other hand, the sub-stage 63 is provided with displacement detection sensors (detection devices) 67 and 67 and displacement-restriction stoppers (restriction devices) 68 and 68 on the X guide stage XG
It protrudes toward. The displacement detection sensor 67 is
It detects a relative positional relationship between the X guide stage XG and the sub-stage 63, and is configured by a photo sensor having a photoelectric switch function, and is disposed on both sides in the Y direction with the X guide stage XG interposed therebetween. This photosensor is provided with a light shielding plate (not shown) provided on the substage 63 when the substage 63 is positioned at a predetermined position.
And the detection light is transmitted to a position set as a threshold value, for example, at a distance of about 5 mm.
The relative positional relationship between the light source and the sub-stage 63 is shifted by 5 mm or more, and a detection signal is output to the drive control device when the light shielding plate shields the detection light.

【0043】位置ずれ制限ストッパー68は、Xガイド
ステージXGとサブステージ63との相対位置関係を所
定範囲内に制限するものであって、XガイドステージX
Gを挟んだY方向両側に、サブステージ63が所定位置
に位置決めされたときに、サブステージ63と例えば5
mm程度の隙間があく位置に配置されている。なお、こ
の位置ずれ制限ストッパー68は、ショックアブソーバ
(衝撃吸収材)で形成されており、XガイドステージX
Gが衝突した際の衝撃を吸収する構成になっている。
The positional deviation limiting stopper 68 restricts the relative positional relationship between the X guide stage XG and the sub-stage 63 within a predetermined range.
When the sub-stage 63 is positioned at a predetermined position on both sides in the Y direction with respect to G,
It is arranged at a position with a gap of about mm. The stopper 68 is made of a shock absorber (impact absorbing material).
It is configured to absorb the shock when G collides.

【0044】次に、上記のように構成されたステージ装
置および露光装置の中、まずステージ装置7の動作につ
いて説明する。駆動制御装置の制御の下、リニアモータ
33の駆動によりウエハステージ5とともにXガイドス
テージXGがY方向に移動すると、XガイドステージX
Gと同期してサブステージ63も、チューブクランプ部
64、65における端面64a、65aの面一状態を維
持しながらY方向に移動する。従って、チューブTB1
は、略円弧状の形状を保持した状態で移動することにな
り、サブステージ63からXガイドステージXGへの、
特に低周波の振動伝達と力の伝達が小さくなる。
Next, the operation of the stage device 7 of the stage device and the exposure device configured as described above will be described first. When the X guide stage XG moves in the Y direction together with the wafer stage 5 by the driving of the linear motor 33 under the control of the drive control device, the X guide stage X
In synchronization with G, the substage 63 also moves in the Y direction while maintaining the flush state of the end faces 64a and 65a of the tube clamp portions 64 and 65. Therefore, the tube TB1
Moves while maintaining a substantially arc shape, and the sub-stage 63 moves to the X guide stage XG.
In particular, low frequency vibration transmission and force transmission are reduced.

【0045】なお、サブステージ63の駆動がリニアモ
ータにより非接触で行われ、またサブステージ63がエ
アベアリングにより非接触でエアガイド61に沿って移
動するため、冷却液等が入ったチューブ等により発生し
やすい高周波成分の振動伝達を抑制することができる。
The sub-stage 63 is driven by a linear motor in a non-contact manner, and the sub-stage 63 moves along the air guide 61 in a non-contact manner by an air bearing. Vibration transmission of a high-frequency component that is likely to occur can be suppressed.

【0046】サブステージ63がXガイドステージXG
と移動することで、サブステージ63は固定部66に対
して相対移動することになり、チューブTB2は、その
柔軟性を利用して変形される。このときの様子を図5お
よび図6に簡略的に示す。なお、図5および図6では、
便宜上X方向とZ方向とを展開して図示してある。これ
らの図に示すように、チューブTB2は固定部66に対
するサブステージ63(チューブクランプ部65)の位
置に応じて変形する。このときチューブTB2を変形さ
せる力や、チューブTB2から発生する力、変形に伴う
チューブTB2間の摩擦等によって発生する外乱、振動
等は、チューブクランプ部65を介してサブステージ6
3に伝わるが、サブステージ63を駆動するリニアモー
タおよびエアガイド61で吸収され遮断されるため、X
ガイドステージXGに伝わることを防ぐことができる。
しかも、エアガイド61や固定子62がウエハ定盤6と
振動的に独立しているので、サブステージ63の駆動に
係る振動がウエハ定盤6を介してXガイドステージ(ウ
エハW)に伝わることも防止できる。
The sub-stage 63 is an X guide stage XG
Then, the substage 63 moves relative to the fixed portion 66, and the tube TB2 is deformed by utilizing its flexibility. The state at this time is schematically shown in FIGS. In FIGS. 5 and 6,
For convenience, the X direction and the Z direction are illustrated in an expanded manner. As shown in these figures, the tube TB2 is deformed according to the position of the sub-stage 63 (tube clamp unit 65) with respect to the fixed unit 66. At this time, a force that deforms the tube TB2, a force generated from the tube TB2, a disturbance, a vibration, and the like generated by friction between the tubes TB2 due to the deformation are transmitted to the sub-stage 6 via the tube clamp 65.
3 because it is absorbed and blocked by the linear motor driving the substage 63 and the air guide 61,
It can be prevented from being transmitted to the guide stage XG.
Moreover, since the air guide 61 and the stator 62 are vibrationally independent of the wafer surface plate 6, vibrations related to driving of the sub-stage 63 are transmitted to the X guide stage (wafer W) via the wafer surface plate 6. Can also be prevented.

【0047】また、常態においては駆動制御装置により
サブステージ63とXガイドステージXGとの相対位置
関係は維持されているが、不測の事態によりこの相対位
置関係が崩れ、位置ずれ検出センサ67において検知光
が遮断されると、駆動制御装置は遮断信号を受けてサブ
ステージ63を駆動するリニアモータおよびXガイドス
テージXGを駆動するリニアモータ33の双方の推力を
停止させ、エラーを発する。これらアクチュエータの推
力が停止しても慣性力によりサブステージ63とXガイ
ドステージXGとが相対移動した場合、Xガイドステー
ジXGは位置ずれ制限ストッパー68に当接すること
で、これら相対移動が制限される。
In the normal state, the relative position relationship between the sub-stage 63 and the X guide stage XG is maintained by the drive control device. When the light is cut off, the drive control device receives the cutoff signal, stops the thrust of both the linear motor driving the sub-stage 63 and the linear motor 33 driving the X guide stage XG, and issues an error. If the sub-stage 63 and the X-guide stage XG move relative to each other due to inertial force even when the thrust of these actuators stops, the relative movement is restricted by the X-guide stage XG abutting against the displacement limiting stopper 68. .

【0048】このように、チューブTB1に起因する外
乱が遮断された状態のウエハステージ5上のウエハWに
対して、上記露光装置1では、露光時に照明光学系IU
からの露光用照明光により、レチクルR上の所定の矩形
状の照明領域が均一な照度で照明される。この照明領域
に対してレチクルRがY方向に走査されるのに同期し
て、この照明領域と投影光学系PLに関して共役な露光
領域に対してウエハWを走査する。これにより、レチク
ルRのパターン領域を透過した照明光が投影光学系PL
により1/4倍に縮小され、レジストが塗布されたウエ
ハW上に照射される。そして、ウエハW上の露光領域に
は、レチクルRのパターンが逐次転写され、1回の走査
でレチクルR上のパターン領域の全面がウエハW上のシ
ョット領域に転写される。
As described above, the exposure apparatus 1 applies the illumination optical system IU to the wafer W on the wafer stage 5 in a state where the disturbance caused by the tube TB1 is blocked.
A predetermined rectangular illumination area on the reticle R is illuminated with uniform illuminance by the exposure illumination light from. In synchronization with the reticle R being scanned in the Y direction with respect to this illumination area, the wafer W is scanned with respect to an exposure area conjugate with respect to this illumination area and the projection optical system PL. As a result, the illumination light transmitted through the pattern area of the reticle R is projected onto the projection optical system PL.
Irradiates the wafer W coated with the resist. Then, the pattern of the reticle R is sequentially transferred to the exposure area on the wafer W, and the entire pattern area on the reticle R is transferred to the shot area on the wafer W by one scan.

【0049】本実施の形態のステージ装置および露光装
置では、チューブTB1を保持するサブステージ63が
XガイドステージXGと同期して移動するため、このチ
ューブTB1を介して微振動やチューブ変形に伴う抗力
等の外乱を遮断でき、XガイドステージXGに対する位
置制御性が向上するとともに、XガイドステージXGと
サブステージ63との相対位置関係がしきい値を越えて
崩れたことを検出してサブステージ63とXガイドステ
ージXGとの駆動を停止するので、チューブTB1を介
してこれらのステージ63、XGが損傷することを防止
できる。しかも、本実施の形態では、位置ずれ制限スト
ッパー68を設けているので、慣性力でサブステージ6
3とXガイドステージXGとが相対移動して損傷するこ
とも防止できる。また、位置ずれ制限ストッパー68が
ショックアブソーバで構成されているので、位置ずれ制
限ストッパー68とXガイドステージXGとの衝突の衝
撃でステージ63、XGが損傷することも防止できるよ
うになっている。
In the stage apparatus and the exposure apparatus of the present embodiment, the sub-stage 63 holding the tube TB1 moves in synchronization with the X guide stage XG. And the like, the position controllability with respect to the X-guide stage XG is improved, and the sub-stage 63 is detected by detecting that the relative positional relationship between the X-guide stage XG and the sub-stage 63 has exceeded a threshold value and has collapsed. And the X guide stage XG is stopped, so that the stages 63 and XG can be prevented from being damaged via the tube TB1. In addition, in the present embodiment, since the displacement limit stopper 68 is provided, the sub-stage 6
3 and the X guide stage XG can be prevented from being relatively moved and damaged. In addition, since the displacement limiting stopper 68 is formed of a shock absorber, it is possible to prevent the stages 63 and XG from being damaged by the impact of the collision between the displacement limiting stopper 68 and the X guide stage XG.

【0050】また、本実施の形態では、このように、位
置ずれ制限ストッパー68によりXガイドステージXG
の移動が機械的に制限されているため、チューブTB1
の長さに余裕を持たせる必要がなくなり、チューブTB
1自身の固有振動や慣性力によりXガイドステージXG
へ伝わる振動や力を抑えることができる。しかも、本実
施の形態では、チューブTB1を略円弧状に設けること
で、特に低周波の振動伝達および力の伝達を小さくでき
るとともに、この円弧の半径を接続端面64a、65a
との接続部間の距離の半分とすることでほぼ一円弧で湾
曲することになり、振動の伝達率が大きい直線部分の長
さを短くできるので、チューブTB1自身の固有振動や
慣性力に起因する悪影響をより小さくできる。加えて、
本実施の形態では、接続端面64a、65aをほぼ面一
にすることで、チューブTB1の長さを最短にすること
ができる。なお、本実施の形態では、サブステージ63
をエアガイド61およびリニアモータにより非接触で駆
動しているので、チューブTB1を介して伝わる高周波
の振動も抑制することができる。
In this embodiment, the X guide stage XG
The movement of the tube TB1 is mechanically restricted.
It is no longer necessary to allow extra length for the tube TB
1 X guide stage XG by its own natural vibration and inertial force
Vibration and force transmitted to can be suppressed. Moreover, in the present embodiment, by providing the tube TB1 in a substantially circular arc shape, particularly low-frequency vibration transmission and transmission of force can be reduced, and the radius of this circular arc can be reduced by the connection end surfaces 64a, 65a.
By making the distance between the connecting portions of the tube TB half, it is possible to bend in a substantially circular arc, and the length of the linear portion having a large vibration transmissibility can be shortened. Adverse effects can be reduced. in addition,
In the present embodiment, the length of the tube TB1 can be minimized by making the connection end surfaces 64a and 65a substantially flush. In the present embodiment, the sub-stage 63
Is driven by the air guide 61 and the linear motor in a non-contact manner, so that high-frequency vibration transmitted through the tube TB1 can also be suppressed.

【0051】また、本実施の形態では、チューブTB1
とTB2との湾曲方向を直交させたので、チューブクラ
ンプ部64、65、固定部66を同一方向に沿って配置
する必要がなくなり、特にZ方向の高さを小さくするこ
とができ装置の小型化に寄与することができる。
In the present embodiment, the tube TB1
The tube clamps 64 and 65 and the fixing part 66 do not need to be arranged along the same direction because the bending directions of the tube and the TB2 are orthogonal to each other. In particular, the height in the Z direction can be reduced, and the size of the apparatus can be reduced. Can be contributed to.

【0052】従って、上記のステージ装置7を備えた露
光装置では、ウエハWに対する位置決め制御や、走査露
光時の速度制御を、効果的に制振した状態、且つ高い安
全性の下で実行することが可能になり、重ね合わせ精度
等、露光処理に係る精度を確実に維持することができ
る。
Therefore, in the exposure apparatus having the above-described stage device 7, it is possible to execute the positioning control with respect to the wafer W and the speed control at the time of scanning exposure in an effectively damped state and with high security. And the accuracy relating to the exposure processing, such as the overlay accuracy, can be reliably maintained.

【0053】なお、上記実施の形態において、位置ずれ
検出センサとして光電スイッチ機能を有するフォトセン
サを用いる構成としたが、これに限定されるものではな
く、例えばXガイドステージXGとサブステージ63と
の相対距離を検出するセンサであってもよい。この場
合、駆動制御装置は、例えば5mmのしきい値保持して
おき、XガイドステージXGとサブステージ63との間
の距離が5mmを越えたときにサブステージ63とXガ
イドステージXGの駆動を停止させるような構成として
もよい。
In the above-described embodiment, a photosensor having a photoelectric switch function is used as the displacement detection sensor. However, the present invention is not limited to this. For example, the X-guide stage XG and the sub-stage 63 may be connected to each other. A sensor that detects the relative distance may be used. In this case, the drive control device holds a threshold value of, for example, 5 mm, and drives the sub-stage 63 and the X-guide stage XG when the distance between the X-guide stage XG and the sub-stage 63 exceeds 5 mm. It may be configured to stop.

【0054】また、上記実施の形態では、ウエハ側のス
テージ装置7のみに同期ステージ装置DSが設けられる
構成としたが、これに限られず、レチクル側のステージ
装置4にも、ステージ装置7と同様の構成を有する同期
ステージを設けてもよい。また、上記実施の形態では、
本発明のステージ装置を露光装置1のウエハステージに
適用した構成としたが、露光装置1以外にも転写マスク
の描画装置、マスクパターンの位置座標測定装置等の精
密測定機器にも適用可能である。
In the above embodiment, the synchronous stage device DS is provided only in the stage device 7 on the wafer side. However, the present invention is not limited to this, and the stage device 4 on the reticle side is also similar to the stage device 7. May be provided. In the above embodiment,
Although the stage apparatus of the present invention is configured to be applied to the wafer stage of the exposure apparatus 1, it is also applicable to precision measuring instruments such as a transfer mask drawing apparatus and a mask pattern position coordinate measuring apparatus in addition to the exposure apparatus 1. .

【0055】なお、本実施の形態の基板としては、半導
体デバイス用の半導体ウエハWのみならず、液晶ディス
プレイデバイス用のガラス基板や、薄膜磁気ヘッド用の
セラミックウエハ、あるいは露光装置で用いられるマス
クまたはレチクルの原版(合成石英、シリコンウエハ)
等が適用される。
The substrate of the present embodiment is not limited to a semiconductor wafer W for a semiconductor device, but also a glass substrate for a liquid crystal display device, a ceramic wafer for a thin-film magnetic head, or a mask or a mask used in an exposure apparatus. Reticle master (synthetic quartz, silicon wafer)
Etc. are applied.

【0056】露光装置1としては、レチクルRとウエハ
Wとを同期移動してレチクルRのパターンを走査露光す
るステップ・アンド・スキャン方式の走査型露光装置
(スキャニング・ステッパー;USP5,473,410)の他に、
レチクルRとウエハWとを静止した状態でレチクルRの
パターンを露光し、ウエハWを順次ステップ移動させる
ステップ・アンド・リピート方式の投影露光装置(ステ
ッパー)にも適用することができる。
The exposure apparatus 1 includes a step-and-scan type scanning exposure apparatus (scanning stepper; US Pat. No. 5,473,410) for scanning and exposing the pattern of the reticle R by synchronously moving the reticle R and the wafer W. To
The present invention is also applicable to a step-and-repeat type projection exposure apparatus (stepper) that exposes the pattern of the reticle R while the reticle R and the wafer W are stationary and sequentially moves the wafer W stepwise.

【0057】露光装置1の種類としては、ウエハWに半
導体デバイスパターンを露光する半導体デバイス製造用
の露光装置に限られず、液晶表示素子製造用の露光装置
や、薄膜磁気ヘッド、撮像素子(CCD)あるいはレチ
クルなどを製造するための露光装置などにも広く適用で
きる。
The type of the exposure apparatus 1 is not limited to an exposure apparatus for manufacturing a semiconductor device for exposing a semiconductor device pattern onto a wafer W, but may be an exposure apparatus for manufacturing a liquid crystal display element, a thin film magnetic head, an image pickup device (CCD). Alternatively, the present invention can be widely applied to an exposure apparatus for manufacturing a reticle and the like.

【0058】また、露光用照明光の光源として、超高圧
水銀ランプから発生する輝線(g線(436nm)、h
線(404.7nm)、i線(365nm))、KrF
エキシマレーザ(248nm)、ArFエキシマレーザ
(193nm)、F2レーザ(157nm)のみなら
ず、X線や電子線などの荷電粒子線を用いることができ
る。例えば、電子線を用いる場合には電子銃として、熱
電子放射型のランタンヘキサボライト(LaB6)、タ
ンタル(Ta)を用いることができる。さらに、電子線
を用いる場合は、レチクルRを用いる構成としてもよい
し、レチクルRを用いずに直接ウエハ上にパターンを形
成する構成としてもよい。また、YAGレーザや半導体
レーザ等の高周波などを用いてもよい。
As the light source of the illumination light for exposure, a bright line (g-line (436 nm), h
Line (404.7 nm), i-line (365 nm)), KrF
Not only an excimer laser (248 nm), an ArF excimer laser (193 nm), and an F 2 laser (157 nm) but also a charged particle beam such as an X-ray or an electron beam can be used. For example, when an electron beam is used, a thermionic emission type lanthanum hexaborite (LaB 6 ) or tantalum (Ta) can be used as an electron gun. When an electron beam is used, a configuration using a reticle R may be used, or a configuration may be used in which a pattern is directly formed on a wafer without using the reticle R. Alternatively, a high frequency such as a YAG laser or a semiconductor laser may be used.

【0059】投影光学系PLの倍率は、縮小系のみなら
ず等倍系および拡大系のいずれでもよい。また、投影光
学系PLとしては、エキシマレーザなどの遠紫外線を用
いる場合は硝材として石英や蛍石などの遠紫外線を透過
する材料を用い、F2レーザやX線を用いる場合は反射
屈折系または屈折系の光学系にし(レチクルRも反射型
タイプのものを用いる)、また電子線を用いる場合には
光学系として電子レンズおよび偏向器からなる電子光学
系を用いればよい。なお、電子線が通過する光路は、真
空状態にすることはいうまでもない。また、投影光学系
PLを用いることなく、レチクルRとウエハWとを密接
させてレチクルRのパターンを露光するプロキシミティ
露光装置にも適用可能である。
The magnification of the projection optical system PL may be not only a reduction system but also an equal magnification system or an enlargement system. Further, As the projection optical system PL, using a material which transmits far ultraviolet rays such as quartz and fluorite as the glass material when using a far ultraviolet ray such as an excimer laser, catadioptric system, or in the case of using the F 2 laser or X-ray An optical system of a refraction system (a reticle R of a reflection type is also used). When an electron beam is used, an electron optical system including an electron lens and a deflector may be used as the optical system. It is needless to say that the optical path through which the electron beam passes is in a vacuum state. Further, the present invention can also be applied to a proximity exposure apparatus that exposes the pattern of the reticle R by bringing the reticle R and the wafer W into close contact without using the projection optical system PL.

【0060】ウエハステージ5やレチクルステージ2に
リニアモータ(USP5,623,853またはUSP5,528,118参照)
を用いる場合は、エアベアリングを用いたエア浮上型お
よびローレンツ力またはリアクタンス力を用いた磁気浮
上型のどちらを用いてもよい。また、各ステージ2、5
は、ガイドに沿って移動するタイプでもよく、ガイドを
設けないガイドレスタイプであってもよい。
A linear motor (refer to US Pat. No. 5,623,853 or US Pat. No. 5,528,118) for wafer stage 5 and reticle stage 2
Is used, any of an air levitation type using an air bearing and a magnetic levitation type using Lorentz force or reactance force may be used. In addition, each stage 2, 5
May be a type that moves along a guide or a guideless type that does not have a guide.

【0061】各ステージ2、5の駆動機構としては、二
次元に磁石を配置した磁石ユニット(永久磁石)と、二
次元にコイルを配置した電機子ユニットとを対向させ電
磁力により各ステージ2、5を駆動する平面モータを用
いてもよい。この場合、磁石ユニットと電機子ユニット
とのいずれか一方をステージ2、5に接続し、磁石ユニ
ットと電機子ユニットとの他方をステージ2、5の移動
面側(ベース)に設ければよい。
As a driving mechanism for each of the stages 2 and 5, a magnet unit (permanent magnet) having a two-dimensionally arranged magnet and an armature unit having a two-dimensionally arranged coil are opposed to each other, and each stage 2 and 5 is driven by electromagnetic force. 5 may be used. In this case, one of the magnet unit and the armature unit may be connected to the stages 2 and 5, and the other of the magnet unit and the armature unit may be provided on the moving surface side (base) of the stages 2 and 5.

【0062】以上のように、本願実施形態の露光装置1
は、本願特許請求の範囲に挙げられた各構成要素を含む
各種サブシステムを、所定の機械的精度、電気的精度、
光学的精度を保つように、組み立てることで製造され
る。これら各種精度を確保するために、この組み立ての
前後には、各種光学系については光学的精度を達成する
ための調整、各種機械系については機械的精度を達成す
るための調整、各種電気系については電気的精度を達成
するための調整が行われる。各種サブシステムから露光
装置への組み立て工程は、各種サブシステム相互の、機
械的接続、電気回路の配線接続、気圧回路の配管接続等
が含まれる。この各種サブシステムから露光装置への組
み立て工程の前に、各サブシステム個々の組み立て工程
があることはいうまでもない。各種サブシステムの露光
装置への組み立て工程が終了したら、総合調整が行わ
れ、露光装置全体としての各種精度が確保される。な
お、露光装置の製造は温度およびクリーン度等が管理さ
れたクリーンルームで行うことが望ましい。
As described above, the exposure apparatus 1 of the present embodiment
Is a system that includes various components including the components listed in the claims of the present application, with predetermined mechanical accuracy, electrical accuracy,
It is manufactured by assembling to maintain optical accuracy. Before and after this assembly, adjustments to achieve optical accuracy for various optical systems, adjustments to achieve mechanical accuracy for various mechanical systems, and various electric systems to ensure these various accuracy Are adjusted to achieve electrical accuracy. The process of assembling the exposure apparatus from various subsystems includes mechanical connections, wiring connections of electric circuits, and piping connections of pneumatic circuits among the various subsystems. It goes without saying that there is an assembling process for each subsystem before the assembling process from these various subsystems to the exposure apparatus. When the process of assembling the various subsystems into the exposure apparatus is completed, comprehensive adjustment is performed, and various precisions of the entire exposure apparatus are secured. It is desirable that the manufacture of the exposure apparatus be performed in a clean room in which the temperature, cleanliness, and the like are controlled.

【0063】半導体デバイスは、図7に示すように、デ
バイスの機能・性能設計を行うステップ201、この設
計ステップに基づいたマスク(レチクル)を製作するス
テップ202、シリコン材料からウエハを製造するステ
ップ203、前述した実施形態の露光装置1によりレチ
クルのパターンをウエハに露光するウエハ処理ステップ
204、デバイス組み立てステップ(ダイシング工程、
ボンディング工程、パッケージ工程を含む)205、検
査ステップ206等を経て製造される。
As shown in FIG. 7, for a semiconductor device, a step 201 for designing the function and performance of the device, a step 202 for manufacturing a mask (reticle) based on the design step, a step 203 for manufacturing a wafer from a silicon material A wafer processing step 204 of exposing a reticle pattern to a wafer by the exposure apparatus 1 of the above-described embodiment, a device assembling step (dicing step,
(Including a bonding step and a package step) 205, an inspection step 206, and the like.

【0064】[0064]

【発明の効果】以上説明したように、請求項1に係るス
テージ装置は、ステージ本体と用力供給ステージとの相
対位置関係と所定のしきい値との比較結果に基づいてス
テージ本体および用力供給ステージの駆動を制御する構
成となっている。これにより、このステージ装置では、
ステージ本体に対する位置制御性が向上するとともに、
ステージ本体と用力供給ステージとの同期が崩れた際に
もこれらの損傷を防止できるという効果が得られる。
As described above, in the stage apparatus according to the first aspect, the stage body and the utility supply stage are based on the result of comparison between the relative positional relationship between the stage body and the utility supply stage and a predetermined threshold value. Is controlled. As a result, in this stage device,
The position controllability with respect to the stage body is improved,
When the synchronization between the stage main body and the utility supply stage is lost, the effect that these damages can be prevented can be obtained.

【0065】請求項2に係るステージ装置は、ステージ
本体と用力供給ステージとの相対位置関係を所定の範囲
内に制限する構成となっている。これにより、このステ
ージ装置では、慣性力でステージ本体と用力供給ステー
ジとが相対移動した際にも、これらの損傷を防止できる
という効果が得られる。
The stage device according to the second aspect is configured to limit the relative positional relationship between the stage body and the utility supply stage within a predetermined range. Thus, in this stage device, even when the stage main body and the utility supply stage are relatively moved by the inertial force, an effect is obtained that these damages can be prevented.

【0066】請求項3に係るステージ装置は、ステージ
本体と用力供給ステージとの相対位置関係を衝撃吸収材
により制限する構成となっている。これにより、このス
テージ装置では、ステージ本体と制限装置との衝突の衝
撃でステージ本体と用力供給ステージとの損傷を防止で
きるという効果が得られる。
The stage device according to the third aspect is configured such that the relative positional relationship between the stage body and the utility supply stage is limited by the shock absorbing material. Thereby, in this stage device, an effect is obtained that damage to the stage body and the utility supply stage due to the impact of the collision between the stage body and the limiting device can be obtained.

【0067】請求項4に係るステージ装置は、ステージ
本体の用力供給部材が接続された接続面と、用力供給ス
テージの用力供給部材が接続された接続面とが略面一に
配置される構成となっている。これにより、このステー
ジ装置では、用力供給部材の長さを最短にすることがで
き用力供給部材自身の固有振動や慣性力に起因する悪影
響を小さくできるという効果が得られる。
A stage device according to a fourth aspect is configured such that the connection surface of the stage body to which the power supply member is connected and the connection surface of the power supply stage to which the power supply member is connected are substantially flush. Has become. Thus, in this stage device, the effect is obtained that the length of the power supply member can be minimized, and the adverse effect due to the natural vibration and inertia force of the power supply member itself can be reduced.

【0068】請求項5に係るステージ装置は、ステージ
本体と用力供給ステージとの間に接続された第1用力供
給部材が各接続部間の距離に応じた半径の略円弧形状に
湾曲する構成となっている。これにより、このステージ
装置では、振動の伝達率が大きい直線部分の長さを短く
できるので、用力供給部材自身の固有振動や慣性力に起
因する悪影響をより小さくできるという効果が得られ
る。
In the stage device according to the fifth aspect, the first power supply member connected between the stage body and the power supply stage is curved into a substantially arc shape having a radius corresponding to the distance between the connection portions. Has become. Thus, in this stage device, since the length of the linear portion having a large vibration transmissibility can be reduced, an adverse effect due to the natural vibration and inertial force of the utility supply member itself can be reduced.

【0069】請求項6に係るステージ装置は、固定部と
用力供給ステージとの間で略円弧形状に湾曲して接続さ
れた第2用力供給部材を有し、第1用力供給部材の湾曲
方向と第2用力供給部材の湾曲方向とが略直交する構成
となっている。これにより、このステージ装置では、固
定部、用力供給ステージ、ステージ本体を同一方向に沿
って配置する必要がなくなり、装置の小型化に寄与でき
るという効果を奏する。
A stage device according to a sixth aspect of the present invention has a second power supply member connected in a substantially arcuate shape between the fixed portion and the power supply stage. The bending direction of the second power supply member is substantially orthogonal to the second power supply member. Thus, in this stage device, it is not necessary to dispose the fixing portion, the utility supply stage, and the stage main body in the same direction, and it is possible to reduce the size of the device.

【0070】請求項7に係る露光装置は、マスクステー
ジと基板ステージとの少なくとも一方のステージとして
請求項1から6のいずれかに記載されたステージ装置が
用いられる構成となっている。これにより、この露光装
置では、マスクや基板を駆動する際の安全性が高まると
ともに、基板に対する位置決め制御や、走査露光時の速
度制御を、効果的に制振した状態で実行することが可能
になり、重ね合わせ精度等、露光処理に係る精度を確実
に維持できるという効果が得られる。
The exposure apparatus according to a seventh aspect is configured such that the stage device according to any one of the first to sixth aspects is used as at least one of the mask stage and the substrate stage. As a result, in this exposure apparatus, the safety when driving the mask and the substrate is improved, and the positioning control with respect to the substrate and the speed control during the scanning exposure can be executed in an effectively damped state. Thus, the effect that the accuracy relating to the exposure processing, such as the overlay accuracy, can be reliably maintained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 レチクルステージ、ウエハステージおよび
投影光学系が振動に関して独立して配置された露光装置
の概略構成図である。
FIG. 1 is a schematic configuration diagram of an exposure apparatus in which a reticle stage, a wafer stage, and a projection optical system are independently arranged with respect to vibration.

【図2】 同露光装置を構成するレチクルステージの
外観斜視図である。
FIG. 2 is an external perspective view of a reticle stage included in the exposure apparatus.

【図3】 同露光装置を構成するウエハ側ステージ装
置の外観斜視図である。
FIG. 3 is an external perspective view of a wafer-side stage device constituting the exposure apparatus.

【図4】 Xガイドステージと同期移動する同期ステ
ージ装置の外観斜視図である。
FIG. 4 is an external perspective view of a synchronous stage device that moves synchronously with an X guide stage.

【図5】 サブステージとXガイドステージとが同期
移動する様子を示す図である。
FIG. 5 is a diagram showing a state in which a sub stage and an X guide stage move synchronously.

【図6】 サブステージとXガイドステージとが同期
移動する様子を示す図である。
FIG. 6 is a diagram showing a state in which a sub stage and an X guide stage move synchronously.

【図7】 半導体デバイスの製造工程の一例を示すフ
ローチャート図である。
FIG. 7 is a flowchart illustrating an example of a semiconductor device manufacturing process.

【図8】 従来技術のステージ装置の一例を示す外観
斜視図である。
FIG. 8 is an external perspective view illustrating an example of a conventional stage device.

【図9】 ステージ本体の移動と用力供給部材との関
係を示す概略正面図である。
FIG. 9 is a schematic front view showing a relationship between movement of a stage main body and a utility supply member.

【符号の説明】[Explanation of symbols]

R レチクル TB1 チューブ(用力供給部材、第1用力供給部材) XG Xガイドステージ(ステージ本体) W ウエハ(基板) 1 露光装置 2 レチクルステージ(マスクステージ) 5 ウエハステージ(基板ステージ) 7 ステージ装置 63 サブステージ(用力供給ステージ) 64a、65a 端面(接続面) 67 位置ずれ検出センサ(検出装置) 68 位置ずれ制限ストッパー(制限装置) R Reticle TB1 Tube (power supply member, first power supply member) XG X Guide stage (stage body) W Wafer (substrate) 1 Exposure device 2 Reticle stage (mask stage) 5 Wafer stage (substrate stage) 7 Stage device 63 Sub Stage (utility supply stage) 64a, 65a End face (connection surface) 67 Position shift detection sensor (detection device) 68 Position shift limit stopper (limit device)

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 用力を供給する用力供給部材が接続さ
れて移動するステージ本体を備えたステージ装置におい
て、 前記用力供給部材を保持して前記ステージ本体と同期移
動する用力供給ステージと、 前記ステージ本体と前記用力供給ステージとの相対位置
関係を検出する検出装置と、 該検出装置の検出結果と所定のしきい値との比較結果に
基づいて前記ステージ本体および前記用力供給ステージ
の駆動を制御する駆動制御装置とを備えることを特徴と
するステージ装置。
1. A stage device comprising a stage main body that moves by being connected to a power supply member for supplying a power, a power supply stage that holds the power supply member and moves synchronously with the stage main body, and the stage main body. A detection device that detects a relative positional relationship between the power supply stage and the power supply stage; and a drive that controls driving of the stage body and the power supply stage based on a comparison result between the detection result of the detection device and a predetermined threshold value. A stage device comprising a control device.
【請求項2】 請求項1記載のステージ装置におい
て、 前記ステージ本体と前記用力供給ステージとの相対位置
関係を所定の範囲内に制限する制限装置を備えることを
特徴とするステージ装置。
2. The stage device according to claim 1, further comprising a limiting device that limits a relative positional relationship between the stage main body and the utility supply stage within a predetermined range.
【請求項3】 請求項2記載のステージ装置におい
て、 前記制限装置は、衝撃吸収材であることを特徴とするス
テージ装置。
3. The stage device according to claim 2, wherein the limiting device is a shock absorbing material.
【請求項4】 請求項1から3のいずれかに記載のス
テージ装置において、 前記ステージ本体の前記用力供給部材が接続された接続
面と、 前記用力供給ステージの前記用力供給部材が接続された
接続面とは略面一に配置されていることを特徴とするス
テージ装置。
4. The stage device according to claim 1, wherein the connection surface of the stage main body to which the power supply member is connected, and the connection of the power supply stage to which the power supply member is connected. A stage device, wherein the stage device is disposed substantially flush with the surface.
【請求項5】 請求項1から4のいずれかに記載のス
テージ装置において、 前記ステージ本体と前記用力供給ステージとの間に接続
された第1用力供給部材は、各接続部間の距離に応じた
半径の略円弧形状に湾曲して設けられることを特徴とす
るステージ装置。
5. The stage device according to claim 1, wherein the first power supply member connected between the stage main body and the power supply stage corresponds to a distance between the connection portions. A stage device characterized by being provided in a curved shape having a substantially circular arc with a radius.
【請求項6】 請求項5記載のステージ装置におい
て、 固定部と前記用力供給ステージとの間で略円弧形状に湾
曲して接続された第2用力供給部材を有し、 前記第1用力供給部材の湾曲方向と前記第2用力供給部
材の湾曲方向とは、略直交することを特徴とするステー
ジ装置。
6. The stage device according to claim 5, further comprising: a second power supply member connected between the fixed portion and the power supply stage while being curved in a substantially arc shape, wherein the first power supply member is provided. A bending direction of the second power supply member is substantially orthogonal to a bending direction of the second power supply member.
【請求項7】 マスクステージに保持されたマスクの
パターンを基板ステージに保持された基板に露光する露
光装置において、 前記マスクステージと前記基板ステージとの少なくとも
一方のステージとして、請求項1から6のいずれかに記
載されたステージ装置が用いられることを特徴とする露
光装置。
7. An exposure apparatus for exposing a pattern of a mask held on a mask stage to a substrate held on a substrate stage, wherein at least one of the mask stage and the substrate stage is used as the stage. An exposure apparatus using any one of the stage devices described above.
JP2000393835A 2000-12-25 2000-12-25 Stage device and projection aligner Withdrawn JP2002198284A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000393835A JP2002198284A (en) 2000-12-25 2000-12-25 Stage device and projection aligner

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000393835A JP2002198284A (en) 2000-12-25 2000-12-25 Stage device and projection aligner

Publications (1)

Publication Number Publication Date
JP2002198284A true JP2002198284A (en) 2002-07-12

Family

ID=18859560

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000393835A Withdrawn JP2002198284A (en) 2000-12-25 2000-12-25 Stage device and projection aligner

Country Status (1)

Country Link
JP (1) JP2002198284A (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005203483A (en) * 2004-01-14 2005-07-28 Nikon Corp Stage equipment and exposure apparatus
WO2005122242A1 (en) * 2004-06-07 2005-12-22 Nikon Corporation Stage apparatus, exposure apparatus, and exposure method
JP2006287122A (en) * 2005-04-04 2006-10-19 Canon Inc Plane stage device and exposure device
WO2007049604A1 (en) * 2005-10-24 2007-05-03 Nikon Corporation Power transmission device, stage device, exposure apparatus, and method for manufacturing device
US7236228B2 (en) 2003-07-08 2007-06-26 Canon Kabushiki Kaisha Exposure apparatus
JP2007305778A (en) * 2006-05-11 2007-11-22 Nikon Corp Stage device, exposure device, manufacturing method of device and wiring method
JP2008042201A (en) * 2006-08-08 2008-02-21 Asml Netherlands Bv Cable connection, control system for it, and method for reducing vibration transmitted via cable connection from first object to second object
JP2008198134A (en) * 2007-02-15 2008-08-28 Yaskawa Electric Corp Movable table controller and movable table provided therewith
JP2009147322A (en) * 2007-11-29 2009-07-02 Asml Netherlands Bv Lithographic apparatus with preformed flexible conveyor line
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
NL2010502A (en) * 2012-09-12 2014-03-18 Mapper Lithography Ip Bv Fluid transfer system and substrate processing apparatus comprising the same.
JP2014090075A (en) * 2012-10-30 2014-05-15 Canon Inc Stage device, lithographic apparatus, and manufacturing method for article
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9703213B2 (en) 2011-09-12 2017-07-11 Mapper Lithography Ip B.V. Substrate processing apparatus
JP2017173140A (en) * 2016-03-24 2017-09-28 住友重機械工業株式会社 Holding tool and stage device
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9885959B2 (en) 2003-04-09 2018-02-06 Nikon Corporation Illumination optical apparatus having deflecting member, lens, polarization member to set polarization in circumference direction, and optical integrator
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
US7236228B2 (en) 2003-07-08 2007-06-26 Canon Kabushiki Kaisha Exposure apparatus
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9760014B2 (en) 2003-10-28 2017-09-12 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US10281632B2 (en) 2003-11-20 2019-05-07 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power to rotate linear polarization direction
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
JP2005203483A (en) * 2004-01-14 2005-07-28 Nikon Corp Stage equipment and exposure apparatus
JP4586367B2 (en) * 2004-01-14 2010-11-24 株式会社ニコン Stage apparatus and exposure apparatus
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10234770B2 (en) 2004-02-06 2019-03-19 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10241417B2 (en) 2004-02-06 2019-03-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10007194B2 (en) 2004-02-06 2018-06-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
WO2005122242A1 (en) * 2004-06-07 2005-12-22 Nikon Corporation Stage apparatus, exposure apparatus, and exposure method
JP2011077528A (en) * 2004-06-07 2011-04-14 Nikon Corp Stage unit, exposure apparatus, and exposure method
US8325326B2 (en) 2004-06-07 2012-12-04 Nikon Corporation Stage unit, exposure apparatus, and exposure method
JP4655039B2 (en) * 2004-06-07 2011-03-23 株式会社ニコン Stage apparatus, exposure apparatus, and exposure method
JPWO2005122242A1 (en) * 2004-06-07 2008-04-10 株式会社ニコン Stage apparatus, exposure apparatus, and exposure method
JP4677267B2 (en) * 2005-04-04 2011-04-27 キヤノン株式会社 Planar stage apparatus and exposure apparatus
JP2006287122A (en) * 2005-04-04 2006-10-19 Canon Inc Plane stage device and exposure device
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
WO2007049604A1 (en) * 2005-10-24 2007-05-03 Nikon Corporation Power transmission device, stage device, exposure apparatus, and method for manufacturing device
JPWO2007049604A1 (en) * 2005-10-24 2009-04-30 株式会社ニコン Utility transmission apparatus, stage apparatus, exposure apparatus, and device manufacturing method
JP2007305778A (en) * 2006-05-11 2007-11-22 Nikon Corp Stage device, exposure device, manufacturing method of device and wiring method
JP2008042201A (en) * 2006-08-08 2008-02-21 Asml Netherlands Bv Cable connection, control system for it, and method for reducing vibration transmitted via cable connection from first object to second object
US8743344B2 (en) 2006-08-08 2014-06-03 Asml Netherlands B.V. Cable connection, control system, and method to decrease the passing on of vibrations from a first object to a second object
JP4636034B2 (en) * 2007-02-15 2011-02-23 株式会社安川電機 Control device for movable table and movable table device including the same
JP2008198134A (en) * 2007-02-15 2008-08-28 Yaskawa Electric Corp Movable table controller and movable table provided therewith
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9857599B2 (en) 2007-10-24 2018-01-02 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
JP2009147322A (en) * 2007-11-29 2009-07-02 Asml Netherlands Bv Lithographic apparatus with preformed flexible conveyor line
US8125616B2 (en) 2007-11-29 2012-02-28 Asml Netherlands B.V. Lithographic apparatus with pre-formed flexible transportation line
US9703213B2 (en) 2011-09-12 2017-07-11 Mapper Lithography Ip B.V. Substrate processing apparatus
US10324385B2 (en) 2011-09-12 2019-06-18 Mapper Lithography Ip B.V. Substrate processing apparatus
US11181833B2 (en) 2011-09-12 2021-11-23 Asml Netherlands B.V. Substrate processing apparatus
NL2010502A (en) * 2012-09-12 2014-03-18 Mapper Lithography Ip Bv Fluid transfer system and substrate processing apparatus comprising the same.
JP2014090075A (en) * 2012-10-30 2014-05-15 Canon Inc Stage device, lithographic apparatus, and manufacturing method for article
JP2017173140A (en) * 2016-03-24 2017-09-28 住友重機械工業株式会社 Holding tool and stage device
JP6990965B2 (en) 2016-03-24 2022-01-12 住友重機械工業株式会社 Cages and stage equipment

Similar Documents

Publication Publication Date Title
JP2002198284A (en) Stage device and projection aligner
KR100855527B1 (en) Holding device, holding method, exposure device, and device manufacturing method
JP4586367B2 (en) Stage apparatus and exposure apparatus
JP5618163B2 (en) Exposure equipment
WO2001027978A1 (en) Substrate, stage device, method of driving stage, exposure system and exposure method
KR20020009483A (en) Stage apparatus and exposure apparatus
KR101010043B1 (en) Stage apparatus and exposure apparatus
JP4905135B2 (en) Stage apparatus and exposure apparatus
JP5348629B2 (en) Exposure apparatus and device manufacturing method
WO2003063212A1 (en) Stage device and exposure device
JP2002343850A (en) Stage apparatus and exposure system
JP2009170504A (en) Stage device, and exposure apparatus
JP2013217950A (en) Movement body device, exposure device, flat panel display manufacturing method and device manufacturing method
JP2011244608A (en) Linear motor, mobile device, exposure device, device manufacturing method, and flat panel display manufacturing method
JP2002198285A (en) Stage device and its damping method and projection aligner
JP2002217082A (en) Stage system and aligner
JP5233483B2 (en) Stage apparatus, exposure apparatus, and device manufacturing method
JP2002175963A (en) Stage system and method of controlling position thereof, and aligner and method of exposure
JPWO2005074015A1 (en) Plate member support method, plate member support apparatus, stage apparatus, exposure apparatus, and device manufacturing method
TW514983B (en) Stage device and exposure device
JP2002198286A (en) Projection aligner
JP2001345256A (en) Stage device and aligner
JP2011108983A (en) Articulated arm device, stage device and exposure apparatus
JP2002134387A (en) Stage device and aligner
JP6573131B2 (en) Mobile device, exposure apparatus, flat panel display manufacturing method, and device manufacturing method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080304