JP2002164330A - Plasma treatment apparatus having transmission window covered with light shielding film - Google Patents

Plasma treatment apparatus having transmission window covered with light shielding film

Info

Publication number
JP2002164330A
JP2002164330A JP2001215286A JP2001215286A JP2002164330A JP 2002164330 A JP2002164330 A JP 2002164330A JP 2001215286 A JP2001215286 A JP 2001215286A JP 2001215286 A JP2001215286 A JP 2001215286A JP 2002164330 A JP2002164330 A JP 2002164330A
Authority
JP
Japan
Prior art keywords
plasma processing
processing apparatus
film
plasma
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001215286A
Other languages
Japanese (ja)
Inventor
Nobumasa Suzuki
伸昌 鈴木
Shinzo Uchiyama
信三 内山
Hideo Kitagawa
英夫 北川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2001215286A priority Critical patent/JP2002164330A/en
Publication of JP2002164330A publication Critical patent/JP2002164330A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Optical Filters (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for manufacturing a structure, whose reproducibility is superior by executing plasma treatment through the use of a plasma treatment apparatus which restrains drop in treatment speed and which is of high reliability. SOLUTION: The plasma treatment apparatus is provided with a container (1), whose inside can be evacuated and a gas supply port (17) which supplies a treatment gas into the container, and the plasma treatment is carried out on an object (W), to be treated, arranged inside the container. A light-shielding film (45), which obstructs the incidence on a transmission window (4) of light which can increase the dielectric loss of the transmission window, is formed on the inner face of the transmission window which transmits high-frequency energy, in order to generate the plasma of the gas inside the container.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、マイクロ波などの
高周波エネルギーを用いて被処理体にプラズマ処理を施
すプラズマ処理装置に関し、特に、高周波エネルギーの
透過窓を備えたプラズマ処理装置、並びにプラズマ処理
方法及びそれに用いられる透過窓用誘電体、更にはそれ
を用いた構造体の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus for performing plasma processing on an object to be processed using high frequency energy such as microwaves, and more particularly to a plasma processing apparatus having a transmission window for high frequency energy and a plasma processing apparatus. The present invention relates to a method and a dielectric for a transmission window used therein, and a method of manufacturing a structure using the same.

【0002】[0002]

【従来の技術】マイクロ波、VHF波などの高周波エネル
ギーをプラズマ励起用の励起源として使用するプラズマ
処理装置としては、プラズマ重合装置、CVD装置、表
面改質装置、エッチング装置、アッシング装置、クリー
ニング装置等が知られている。
2. Description of the Related Art Plasma processing apparatuses using high-frequency energy such as microwaves and VHF waves as excitation sources for plasma excitation include plasma polymerization apparatuses, CVD apparatuses, surface reforming apparatuses, etching apparatuses, ashing apparatuses, and cleaning apparatuses. Etc. are known.

【0003】マイクロ波の場合を例に挙げるに、こうし
たいわゆるマイクロ波プラズマ処理装置を使用するCV
Dは例えば次のように行われる。
For example, in the case of a microwave, a CV using such a so-called microwave plasma processing apparatus is used.
D is performed, for example, as follows.

【0004】即ち、マイクロ波プラズマCVD装置のプ
ラズマ発生室及び/又は成膜室内にガスを導入し、同時
にマイクロ波エネルギーを投入してプラズマ発生室内に
プラズマを発生させ、ガスを励起、解離、イオン化する
等してイオンやラジカル等を生成しプラズマ発生室又は
プラズマ発生室から離れた成膜室内に配された被処理体
上に堆積膜を形成する。そして同様の手法で有機物のプ
ラズマ重合や酸化、窒化、フッ化等の表面改質を行うこ
ともできる。
That is, a gas is introduced into a plasma generation chamber and / or a film formation chamber of a microwave plasma CVD apparatus, and simultaneously, microwave energy is supplied to generate plasma in the plasma generation chamber, thereby exciting, dissociating, and ionizing the gas. By doing so, ions, radicals, and the like are generated, and a deposited film is formed on the object to be processed disposed in the plasma generation chamber or a film formation chamber remote from the plasma generation chamber. Then, surface modification such as plasma polymerization, oxidation, nitridation, and fluorination of an organic substance can be performed in the same manner.

【0005】又、いわゆるマイクロ波プラズマエッチン
グ装置を使用する被処理体のエッチング処理は、例えば
次のようにして行われる。即ち、該装置の処理室内にエ
ッチャントガスを導入し、同時にマイクロ波エネルギー
を投入して該処理室内にプラズマを発生させ、エッチャ
ントガスを励起、解離、イオン化して生成したイオンや
ラジカル等により該処理室内に配された被処理体の表面
をエッチングする。
[0005] Etching of an object to be processed using a so-called microwave plasma etching apparatus is performed, for example, as follows. That is, an etchant gas is introduced into the processing chamber of the apparatus, and simultaneously, microwave energy is applied to generate plasma in the processing chamber, and the etchant gas is excited, dissociated, ionized, and the ions and radicals are generated. Etching is performed on the surface of the object placed in the room.

【0006】又、いわゆるマイクロ波プラズマアッシン
グ装置を使用する被処理体のアッシング処理は、例えば
次のようにして行われる。即ち、該装置の処理室内にア
ッシングガスを導入し、同時にマイクロ波エネルギーを
投入して該処理室内にプラズマを発生させ、該アッシン
グガスを励起、解離、イオン化して生成したイオンやラ
ジカルやオゾン等により該処理室内に配された被処理体
の表面即ちホトレジストをアッシングする。アッシング
同様にして、被処理体の被処理面に付着した不要物を除
去するクリーニングを行うこともできる。
[0006] The ashing process of the object to be processed using a so-called microwave plasma ashing apparatus is performed, for example, as follows. That is, an ashing gas is introduced into the processing chamber of the apparatus, and simultaneously, microwave energy is applied to generate plasma in the processing chamber, and ions, radicals, ozone, and the like generated by exciting, dissociating, and ionizing the ashing gas are generated. Ashing the surface of the object to be processed, that is, the photoresist disposed in the processing chamber. In the same manner as ashing, cleaning for removing unnecessary substances attached to the surface of the object to be processed can be performed.

【0007】マイクロ波プラズマ処理装置においては、
ガスの励起源としてマイクロ波を使用することから、電
子を高い周波数をもつ電界により加速でき、ガス分子を
効率的にイオン化、励起させることができる。それ故、
マイクロ波プラズマ処理装置については、ガスのイオン
化効率、励起効率及び解離効率が高く、高密度のプラズ
マを比較的容易に形成し得る、低温で高速に高品質処理
できるといった利点を有する。又、マイクロ波が石英ガ
ラスのような誘電体を透過する性質を有することから、
プラズマ処理装置を無電極放電タイプのものとして構成
でき、これが故に高清浄なプラズマ処理を行い得るとい
う利点もある。
In a microwave plasma processing apparatus,
Since a microwave is used as a gas excitation source, electrons can be accelerated by an electric field having a high frequency, and gas molecules can be efficiently ionized and excited. Therefore,
The microwave plasma processing apparatus has advantages in that gas ionization efficiency, excitation efficiency and dissociation efficiency are high, high-density plasma can be formed relatively easily, and high-quality processing can be performed at low temperature and high speed. Also, because microwaves have the property of transmitting through dielectrics such as quartz glass,
The plasma processing apparatus can be configured as an electrodeless discharge type, which also has the advantage that highly clean plasma processing can be performed.

【0008】こうしたマイクロ波プラズマ処理装置の更
なる高速化のために、電子サイクロトロン共鳴(EC
R)を利用したプラズマ処理装置も実用化されてきてい
る。ECRは、磁束密度が87.5mTの場合、磁力線
の周りを電子が回転する電子サイクロトロン周波数が、
マイクロ波の一般的な周波数2.45GHzと一致し、
電子がマイクロ波を共鳴的に吸収して加速され、高密度
プラズマが発生する現象である。
In order to further increase the speed of such a microwave plasma processing apparatus, an electron cyclotron resonance (EC)
R) has also been put to practical use. The ECR is such that when the magnetic flux density is 87.5 mT, the electron cyclotron frequency at which electrons rotate around the lines of magnetic force is:
Coincides with the general microwave frequency of 2.45 GHz,
This is a phenomenon in which electrons are resonantly absorbed by microwaves, accelerated, and high-density plasma is generated.

【0009】又、別のタイプの高密度プラズマ発生用の
プラズマ処理装置も提案されている。
Further, another type of plasma processing apparatus for generating high-density plasma has been proposed.

【0010】例えば、特開平03−262119号公
報、特開平01−184923号公報、特許第米国特許
第5,034,086号の明細書には、ラジアルライン
スロットアンテナ(RLSA)を用いたプラズマ処理装
置が開示されている。
For example, Japanese Patent Application Laid-Open Nos. 03-262119, 01-184923, and U.S. Pat. No. 5,034,086 disclose plasma processing using a radial line slot antenna (RLSA). An apparatus is disclosed.

【0011】或いは、特開平05−290995号公報
や、米国特許第5,359,177号の明細書や、EP
0564359公報には、終端付環状導波管を用いたプ
ラズマ処理装置が開示されている。
Alternatively, Japanese Patent Application Laid-Open No. 05-290995, the specification of US Pat. No. 5,359,177, EP
Japanese Patent No. 0564359 discloses a plasma processing apparatus using an annular waveguide with a termination.

【0012】これらとは別に、マイクロ波プラズマ処理
装置の例として、近年、マイクロ波の均一で効率的な導
入装置として複数のスロットが内側面に形成された無終
端環状導波管を用いた装置が提案されている(特開平5
−345982号公報、米国特許第5,538,699
号)。
Apart from these, as an example of a microwave plasma processing apparatus, an apparatus using an endless annular waveguide in which a plurality of slots are formed on the inner surface as a uniform and efficient introduction apparatus for microwaves has recently been used. (Japanese Patent Laid-Open No.
-345982, U.S. Patent No. 5,538,699.
issue).

【0013】一方、特開平7−90591号公開特許公
報には、円盤状のマイクロ波導入装置を用いたプラズマ
処理装置が開示されている。この装置ではガスを導波管
内に導入し、導波管に設けられたスロットからガスをプ
ラズマ発生室に向けて放出している。
On the other hand, Japanese Patent Laid-Open Publication No. 7-90591 discloses a plasma processing apparatus using a disk-shaped microwave introducing device. In this apparatus, a gas is introduced into a waveguide, and the gas is emitted from a slot provided in the waveguide toward a plasma generation chamber.

【0014】又、特開平11−40397号公報にも環
状導波路を備えたプラズマ処理装置が開示されている。
Japanese Patent Application Laid-Open No. 11-40397 also discloses a plasma processing apparatus provided with an annular waveguide.

【0015】一方、図9〜図12は、従来のプラズマ処
理装置の一例を示す模式図である。
9 to 12 are schematic views showing an example of a conventional plasma processing apparatus.

【0016】図9において、1は内部が排気可能な容
器、2は被処理体の保持手段、3は内部に環状導波路を
有する環状導波管からなるマイクロ波供給器、4は誘電
体窓、7はガス供給口7aを有するガス供給管である。
これらの部品から組み立てられた装置では、マイクロ波
供給器3のマイクロ波導入口15よりマイクロ波を導入
して、スロット3bから誘電体窓4を介して容器1内に
マイクロ波を供給する。
In FIG. 9, 1 is a container whose inside can be evacuated, 2 is a holding means for the object to be processed, 3 is a microwave supplier comprising an annular waveguide having an annular waveguide inside, and 4 is a dielectric window , 7 are gas supply pipes having gas supply ports 7a.
In an apparatus assembled from these components, microwaves are introduced from the microwave inlet 15 of the microwave supplier 3 and supplied into the container 1 from the slots 3b through the dielectric window 4.

【0017】図10〜図12は、マイクロ波供給器の環
状導波路内におけるマイクロ波の伝搬と、スロットから
のマイクロ波の放射の様子を説明するための模式図であ
る。
FIGS. 10 to 12 are schematic diagrams for explaining the propagation of microwaves in the annular waveguide of the microwave supplier and the state of microwave radiation from the slots.

【0018】図10は、環状導波路を上方から見た時の
様子をスロットを省略して示している。図11は、図1
0のBB′線による断面を図12はCC′線による断面
を示している。
FIG. 10 shows the annular waveguide when viewed from above, omitting the slots. FIG.
FIG. 12 shows a cross section taken along line BB 'of FIG.

【0019】マイクロ波導入口15付近はE面T分岐の
等価回路となっており、マイクロ波導入口15より導入
されたマイクロ波は時計回りd2 と反時計回りd1 とに
分配されるように進路を変更する。各スロット3bはマ
イクロ波の進行方向d1 、d2 と交差するように設けら
れており、マイクロ波はスロットからマイクロ波を放出
しながら進む。
The vicinity of the microwave inlet 15 is an equivalent circuit of an E-plane T-branch, and the route introduced by the microwave introduced from the microwave inlet 15 is changed so as to be distributed clockwise d2 and counterclockwise d1. I do. Each slot 3b is provided so as to intersect with the traveling directions d1 and d2 of the microwave, and the microwave travels while emitting the microwave from the slot.

【0020】環状導波路は無終端であるため、方向d1
、d2 (z軸方向)に伝搬していくマイクロ波は互い
に干渉し合う。C1は導波路の中心を結んで形成される
環(輪)を示しており、この長さ即ち周長を管内波長
(路内波長)の整数倍とすれば、所定のモードの定在波
を生成し易くなる。
Since the annular waveguide is endless, the direction d 1
, D2 (z-axis direction) interfere with each other. C1 denotes a ring (ring) formed by connecting the centers of the waveguides. If this length, that is, the circumference is an integral multiple of the guide wavelength (wavelength in the path), the standing wave of a predetermined mode can be obtained. Easy to generate.

【0021】図11はマイクロ波の進行方向(z軸方
向)に垂直な断面を示しており、導波路の上下の面3c
は電界EFの向きに垂直なH面となっており、導波管の
左右の面3dは電界EFの向きに平行なE面となってい
る。C0はスロット3bの長手方向、即ちマイクロ波の
進行、伝搬方向と垂直な方向(x軸方向)の中心であ
る。
FIG. 11 shows a cross section perpendicular to the traveling direction of the microwave (z-axis direction), and shows the upper and lower surfaces 3c of the waveguide.
Is an H plane perpendicular to the direction of the electric field EF, and the left and right surfaces 3d of the waveguide are E planes parallel to the direction of the electric field EF. C0 is the center in the longitudinal direction of the slot 3b, that is, the direction (x-axis direction) perpendicular to the direction of propagation and propagation of the microwave.

【0022】このように導波路のマイクロ波進行方向に
垂直な断面はx軸、y軸を長辺、短辺とする矩形断面に
なっている。
As described above, the cross section of the waveguide perpendicular to the microwave traveling direction is a rectangular cross section having the x-axis and the y-axis as long and short sides.

【0023】環状導波路3a内に導入されたマイクロ波
MWは、E面T分岐の分配ブロック10で左右に二分配
され、自由空間よりも長い管内波長をもって伝搬する。
分配されたマイクロ波同士は対向部で干渉し、管内波長
の1/2毎に定在波を生じる。スロットを横切る電界が
最大になるような位置に設置されたスロット3bから誘
電体窓4を透して放射された漏れ波EWは、スロット3
b近傍のプラズマP1を生成する。生成したプラズマP
1の電子周波数がマイクロ波電源の周波数を超える(例
えば電源周波数が2.45GHzの場合、電子密度が7
×1010cm-3を超える)と、マイクロ波はプラズマ中
を伝搬できなくなる、いわゆるカットオフが生じ、誘電
体窓4とプラズマの界面を表面波SWとして伝搬する。
隣接するスロットから導入された表面波SW同士が干渉
し、表面波SWの波長(λ・εr - 1/2〔λ・自由空間マ
イクロ波波長、εr :比誘電率〕)の1/2毎に電界の
腹を生じる。プラズマ発生空間側1にしみ出したこの表
面波干渉による腹電界によって表面波干渉プラズマ(S
IP:Surface−wave Interfere
d Plasma)P2が生成する。この時に処理用ガ
スをプラズマ処理室内に導入しておくと処理用ガスは発
生した高密度プラズマにより励起、解離、イオン化さ
れ、被処理基体の表面を処理することができる。
The microwave MW introduced into the annular waveguide 3a is divided right and left by a distribution block 10 having an E-plane T-branch, and propagates with a guide wavelength longer than free space.
The distributed microwaves interfere with each other at the facing portion, and generate a standing wave every half of the guide wavelength. The leakage wave EW radiated through the dielectric window 4 from the slot 3b installed at a position where the electric field crossing the slot is maximized,
A plasma P1 near b is generated. Plasma P generated
1 exceeds the frequency of the microwave power supply (for example, when the power supply frequency is 2.45 GHz, the electron density is 7
If it exceeds × 10 10 cm −3 ), the microwave cannot propagate in the plasma, that is, a so-called cutoff occurs, and the microwave propagates at the interface between the dielectric window 4 and the plasma as a surface wave SW.
Surface wave SW between introduced from adjacent slots interfere, surface wave wavelength of SW (λ · ε r - 1/2 [lambda · free-space microwave wavelength, .epsilon.r: dielectric constant]) per 1/2 An anti-node of the electric field occurs. The surface wave interference plasma (S
IP: Surface-wave Interfere
dPlasma) P2 is generated. At this time, if the processing gas is introduced into the plasma processing chamber, the processing gas is excited, dissociated, and ionized by the generated high-density plasma, so that the surface of the substrate to be processed can be processed.

【0024】このようなマイクロ波プラズマ処理装置を
用いることにより、圧力1.33Pa程度、マイクロ波
パワー1kW以上で、直径300mm以上の口径を有す
る空間に±3%以内の均一性をもって、電子密度1012
/cm3 以上、電子温度3eV以下、プラズマ電位20
V以下の高密度低電子温度プラズマが発生できる。
By using such a microwave plasma processing apparatus, an electron density of about 1.33 Pa, a microwave power of 1 kW or more, and a uniformity of ± 3% or less in a space having a diameter of 300 mm or more can be obtained. 12
/ Cm 3 or more, electron temperature 3 eV or less, plasma potential 20
A high density low electron temperature plasma of V or less can be generated.

【0025】よって、ガスを充分に反応させ活性な状態
で被処理面に供給できる。
Therefore, the gas can be sufficiently reacted and supplied to the surface to be processed in an active state.

【0026】しかも、圧力2.7×103Pa、マイク
ロ波電力2kWとした時、誘電体窓内面から50mm以
上離れた位置でマイクロ波による電流は検出できなくな
る。これはプラズマ拡散が抑えられる高圧領域では非常
に薄いプラズマの層が誘電体窓近傍にできることを意味
する。よって、入射イオンによる基板表面ダメージも減
るので、低温でも高品質で高速な処理が可能になる。
In addition, when the pressure is 2.7 × 10 3 Pa and the microwave power is 2 kW, it becomes impossible to detect the current by the microwave at a position 50 mm or more from the inner surface of the dielectric window. This means that a very thin plasma layer can be formed near the dielectric window in the high-pressure region where plasma diffusion is suppressed. Therefore, the substrate surface damage due to incident ions is reduced, so that high-quality and high-speed processing can be performed even at low temperatures.

【0027】プラズマ処理装置においては、マイクロ波
供給器の構成に依存することなく、誘電体窓としては、
石英ガラス(酸化シリコン),アルミナ(酸化アルミニ
ウム),窒化アルミニウム等が用いられている。
In the plasma processing apparatus, as the dielectric window, regardless of the configuration of the microwave supplier,
Quartz glass (silicon oxide), alumina (aluminum oxide), aluminum nitride, and the like are used.

【0028】[0028]

【発明が解決しようとする課題】しかしながら、石英ガ
ラスはエッチング等に用いられるC48のようなフッ素
含有ガスによってダメージを受け易い。
However, quartz glass is easily damaged by a fluorine-containing gas such as C 4 F 8 used for etching or the like.

【0029】アルミナは比誘電率が石英より高く、フッ
素含有ガスに対する耐久性にも優れるが、熱伝導率が低
く、熱膨張率が高いために、プラズマからのイオン入射
により比較的割れ易い。
Alumina has a higher relative dielectric constant than quartz and is excellent in durability against fluorine-containing gas, but has a low thermal conductivity and a high coefficient of thermal expansion, so that it is relatively easily cracked by the incidence of ions from plasma.

【0030】窒化アルミニウムには、アルミナのような
問題はないが、マイクロ波の透過率が使用時間とともに
徐々に低下し、プラズマ処理速度が低くなることがあっ
た。
Although aluminum nitride does not have the same problem as alumina, the microwave transmittance gradually decreases with the use time, and the plasma processing speed sometimes decreases.

【0031】以上のように、誘電体窓を構成する材料を
選択しただけでは、より優れたプラズマ処理装置提供す
るに未だ十分なものとは云えない。
As described above, the selection of the material for forming the dielectric window is not enough to provide a more excellent plasma processing apparatus.

【0032】[0032]

【課題を解決するための手段】本発明の目的は処理速度
が低下し難いプラズマ処理装置及びプラズマ処理方法並
びに透過窓用誘電体を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a plasma processing apparatus, a plasma processing method, and a dielectric for a transmission window, in which the processing speed is hardly reduced.

【0033】本発明の別の目的は、信頼性の高いプラズ
マ処理装置を用いてプラズマ処理を施し、再現性に優れ
た構造体の製造方法を提供することにある。
Another object of the present invention is to provide a method of manufacturing a structure having excellent reproducibility by performing plasma processing using a highly reliable plasma processing apparatus.

【0034】本発明のプラズマ処理装置の骨子は、内部
が排気可能な容器と、前記容器内に処理ガスを供給する
ガス供給口とを有し、前記容器内に配された被処理体に
プラズマ処理を施すプラズマ処理装置において、前記容
器内に前記ガスのプラズマを発生させるための高周波エ
ネルギーを透過する透過窓の内面に、該透過窓の誘電体
損失を増大させ得る光の該誘電体窓への入射を妨げる遮
光膜が設けられていることを特徴とする。
The essence of the plasma processing apparatus of the present invention has a container whose inside can be evacuated, and a gas supply port for supplying a processing gas into the container. In a plasma processing apparatus for performing a process, an inner surface of a transmission window that transmits high-frequency energy for generating plasma of the gas in the container, and a light that can increase a dielectric loss of the transmission window to the dielectric window. A light-shielding film for preventing the incidence of light.

【0035】本発明の別のプラズマ処理装置の骨子は、
内部が排気可能な容器と、前記容器内に処理ガスを供給
するガス供給口とを有し、前記容器内に配された被処理
体にプラズマ処理を施すプラズマ処理装置において、前
記容器内に前記ガスのプラズマを発生させるためのマイ
クロ波エネルギーを透過するマイクロ波透過窓の内面
に、誘電体損失を増大させ得る光を反射する反射膜が設
けられていることを特徴とする。
The outline of another plasma processing apparatus of the present invention is as follows.
In a plasma processing apparatus having an exhaustible container and a gas supply port for supplying a processing gas into the container, and performing plasma processing on a processing target disposed in the container, The microwave transmission window for transmitting microwave energy for generating gas plasma is provided with a reflection film that reflects light that can increase dielectric loss.

【0036】本発明の更に別のプラズマ処理装置の骨子
は、内部が排気可能な容器と、前記容器内に処理ガスを
供給するガス供給口とを有し、前記容器内に配された被
処理体にプラズマ処理を施すプラズマ処理装置におい
て、前記容器内に前記ガスのプラズマを発生させるため
のマイクロ波エネルギーを透過するマイクロ波透過窓の
内面に、誘電体損失を増大させ得る光を吸収する光吸収
膜が設けられていることを特徴とする。
The essence of another plasma processing apparatus according to the present invention is that the inside of the container has an exhaustible container, and a gas supply port for supplying a processing gas into the container. In a plasma processing apparatus for performing a plasma processing on a body, a light absorbing a light capable of increasing a dielectric loss is provided on an inner surface of a microwave transmission window that transmits microwave energy for generating plasma of the gas in the container. It is characterized in that an absorbing film is provided.

【0037】更に、本発明の透過窓用誘電体の骨子は、
少なくとも一面に、誘電体損失を増大させ得る光の入射
を防止する遮光膜が設けられていることを特徴とする。
Further, the outline of the dielectric for a transmission window of the present invention is as follows:
At least one surface is provided with a light-shielding film for preventing light from entering, which can increase dielectric loss.

【0038】本発明の別のマイクロ波透過窓用誘電体の
骨子は、少なくとも一面に、誘電体損失を増大させ得る
光を反射する反射膜が設けられていることを特徴とす
る。
Another feature of the dielectric for microwave transmitting window of the present invention is characterized in that at least one surface is provided with a reflection film for reflecting light capable of increasing dielectric loss.

【0039】本発明の更に別のマイクロ波透過窓用誘電
体の骨子は、少なくとも一面に、誘電体損失を増大させ
得る光を吸収する光吸収膜が設けられていることを特徴
とする。
Still another feature of the dielectric for a microwave transmitting window according to the present invention is that at least one surface is provided with a light absorbing film for absorbing light capable of increasing dielectric loss.

【0040】以下に、誘電体窓に窒化アルミニウムを用
いた場合の例を挙げて、本発明に用いることができる遮
光膜の作用について説明する。
The function of the light-shielding film that can be used in the present invention will be described below with reference to an example in which aluminum nitride is used for the dielectric window.

【0041】例えば、窒化アルミニウムの場合窒化アル
ミニウムのマイクロ波波長域における誘電体損失(ta
nδ)発生のメカニズムは、窒素と置換された不純物と
アルミニウム空孔との対が窒化アルミニウム結晶中で形
成され、イオン化された不純物酸素が外部の電場に応答
して振動しているものと考えられる。
For example, in the case of aluminum nitride, the dielectric loss (ta) in the microwave wavelength region of aluminum nitride
nδ) It is considered that the mechanism of generation is that a pair of an impurity substituted with nitrogen and an aluminum vacancy is formed in the aluminum nitride crystal, and the ionized impurity oxygen oscillates in response to an external electric field. .

【0042】数百℃の熱による酸素のイオン化率は余り
高くないが、2.0eV〜2.8eV(波長にすると4
40nm〜600nm)の光によるイオン化率は高い。
又、プラズマ処理に用いられるプラズマには上述した波
長範囲内の発光が見られる。
Although the ionization rate of oxygen due to heat at several hundreds of degrees Celsius is not so high, it is 2.0 eV to 2.8 eV (when the wavelength is 4 eV).
(40 nm to 600 nm) has a high ionization rate.
In the plasma used for the plasma processing, light emission within the above-mentioned wavelength range is observed.

【0043】よって、窒化アルミニウム製のマイクロ波
透過窓に、高密度プラズマから照射される強い光により
不純物酸素がイオン化されて誘電体損失が増大するもの
と考えられる。窒化アルミニウム以外の材料の中にも同
様のメカニズムで誘電体損失が生じるものがあるであろ
う。
Therefore, it is considered that the impurity oxygen is ionized by the strong light irradiated from the high-density plasma on the aluminum nitride microwave transmission window, and the dielectric loss increases. Some materials other than aluminum nitride may have dielectric loss due to a similar mechanism.

【0044】本発明によれば、誘電体損失を増大させる
原因となる光が、透過窓に入射することを妨げる遮光膜
を設けることにより、透過窓の誘電体損失を低く抑え
て、処理速度の経時劣化を抑制することができる。
According to the present invention, by providing a light-shielding film for preventing light, which causes an increase in dielectric loss, from entering the transmission window, the dielectric loss in the transmission window can be suppressed low, and the processing speed can be reduced. Deterioration over time can be suppressed.

【0045】本発明に用いられる遮光膜としては、窒化
アルミニウムなどの誘電体の透過窓に入射するプラズマ
光のうち、透過窓において誘電体損失を増大させる原因
となる光の入射量を減らすことができる膜が挙げられ
る。より詳しくは、誘電体損失を増大させる原因となる
光を吸収し得る光吸収膜、又は、誘電体損失を増大させ
る原因となる光を反射し得る反射膜、或いは、上記光吸
収膜と上記反射膜との組合わせが好ましく用いられる。
As the light-shielding film used in the present invention, of plasma light incident on a transmission window made of a dielectric such as aluminum nitride, it is necessary to reduce the amount of incident light which causes an increase in dielectric loss in the transmission window. Membranes that can be used. More specifically, a light absorbing film that can absorb light that causes an increase in dielectric loss, or a reflective film that can reflect light that causes an increase in dielectric loss, or the light absorbing film and the reflection film Combinations with membranes are preferably used.

【0046】[0046]

【発明の実施の形態】(実施形態1)図1は、本発明の
一実施の形態によるプラズマ処理装置を示す模式的断面
図である。1は被処理体Wを内部に収容し、プラズマを
プラズマ発生空間9に発生し得る真空容器であり、例え
ば大気開放型の容器或いは並設される不図示のロードロ
ック室により大気と遮断された容器である。
(Embodiment 1) FIG. 1 is a schematic sectional view showing a plasma processing apparatus according to an embodiment of the present invention. Reference numeral 1 denotes a vacuum container that accommodates the object to be processed W therein and can generate plasma in the plasma generation space 9 and is isolated from the atmosphere by, for example, an open-to-air container or a side-by-side load lock chamber (not shown). Container.

【0047】2は被処理体Wを容器1内に収容し、保持
するためのサセプタ或いはホルダーと呼ばれる被処理体
保持手段であり、被処理体Wを昇降し得るリフトピン1
2を有している。図ではリフトピンの先端が針状に描か
れているが、被処理体に触れる先端が平面の釘頭状であ
っても良い。更に必要に応じて保持手段2に、被処理体
Wを加熱するためのヒーター或いは被処理体を冷却する
ためのクーラー等の温度調整手段を付設してもよい。
Reference numeral 2 denotes a workpiece holding means called a susceptor or a holder for housing and holding the workpiece W in the container 1, and a lift pin 1 capable of moving the workpiece W up and down.
Two. In the figure, the tip of the lift pin is drawn in a needle shape, but the tip that touches the object to be processed may be a flat nail head. Further, if necessary, the holding means 2 may be provided with a temperature adjusting means such as a heater for heating the workpiece W or a cooler for cooling the workpiece.

【0048】3は容器1内にプラズマを発生させるため
の高周波エネルギーを供給する高周波エネルギー供給器
であり、ここではマイクロ波エネルギーを供給するマイ
クロ波供給器が図示されている。ここで採用されている
マイクロ波供給器は、内部に無終端の環状導波路を備え
ており、その周長はマイクロ波の路内波長の整数倍とさ
れている。
Reference numeral 3 denotes a high-frequency energy supply for supplying high-frequency energy for generating plasma in the container 1, and here, a microwave supply for supplying microwave energy is shown. The microwave supplier employed here has an endless annular waveguide inside, and its circumference is an integral multiple of the in-wavelength wavelength of the microwave.

【0049】4は容器1内を気密に封止するとともにマ
イクロ波を透過させる誘電体からなる高周波エネルギー
透過窓としてのマイクロ波透過窓である。
Reference numeral 4 denotes a microwave transmission window as a high-frequency energy transmission window made of a dielectric material that hermetically seals the inside of the container 1 and transmits microwaves.

【0050】5はマイクロ波導波管、6は高周波電源と
してのマイクロ波電源である。
Reference numeral 5 denotes a microwave waveguide, and reference numeral 6 denotes a microwave power supply as a high-frequency power supply.

【0051】7はマイクロ波によってプラズマ化される
処理ガスを供給するためのガス供給路であり、斜め上方
を向いた放出路の先にガス供給口17を有する。
Reference numeral 7 denotes a gas supply path for supplying a processing gas to be converted into a plasma by microwaves, and has a gas supply port 17 at a tip of a discharge path which is directed obliquely upward.

【0052】ガス供給路7は各種ガスボンベ57、バブ
ル47、流量コントローラー37等のガス供給系27に
連通している。
The gas supply path 7 communicates with a gas supply system 27 such as various gas cylinders 57, bubbles 47, and a flow controller 37.

【0053】8は、容器1内を排気するための排気路で
あり真空ポンプ18、バブル28等を含む排気系に不図
示の排気口を通じて連通している。
Reference numeral 8 denotes an exhaust path for exhausting the inside of the container 1, which communicates with an exhaust system including the vacuum pump 18, the bubble 28, and the like through an exhaust port (not shown).

【0054】図2は、図1の装置のマイクロ波供給器3
に用いられるスロット付平板23を示している。
FIG. 2 shows the microwave feeder 3 of the apparatus of FIG.
2 shows a slotted flat plate 23 used in the present embodiment.

【0055】スロット付平板23は、スロットアンテナ
と呼ばれることもあるもので、その面内に複数のスロッ
ト33を有している。スロットは、環状導波路13の中
心C1を結ぶ線上に設けられている。C3は環状導波路
13の外側面の位置を、C4はその内側面の位置を示し
ている。
The slotted flat plate 23 is sometimes called a slot antenna, and has a plurality of slots 33 in its plane. The slot is provided on a line connecting the center C <b> 1 of the annular waveguide 13. C3 indicates the position of the outer surface of the annular waveguide 13, and C4 indicates the position of the inner surface.

【0056】図1の装置によるプラズマ処理方法は以下
のとおりである。所定の圧力まで減圧、排気された容器
1内にガス供給口17から処理ガスを供給する。
The plasma processing method using the apparatus shown in FIG. 1 is as follows. The processing gas is supplied from the gas supply port 17 into the container 1 evacuated and reduced to a predetermined pressure.

【0057】処理ガスはプラズマ発生室となる空間9に
放出された後、排気路8へと流れていく。
After the processing gas is released into the space 9 serving as the plasma generation chamber, it flows into the exhaust path 8.

【0058】一方、マグネトロンのようなマイクロ波電
源6において発生したマイクロ波は、同軸導波管、円筒
導波管又は矩形導波管のような導波管5を介して伝搬
し、導入口15よりマイクロ波供給器3内に導入され
る。
On the other hand, a microwave generated in a microwave power source 6 such as a magnetron propagates through a waveguide 5 such as a coaxial waveguide, a cylindrical waveguide or a rectangular waveguide, and It is more introduced into the microwave supplier 3.

【0059】1つのスロット33に対向する上方のH面
から導入されたマイクロ波は、そのスロット33からマ
イクロ波を放射するとともに、図2中時計回わり乃至反
時計回わりにマイクロ波供給器3の無終端環状導波路1
3内を伝搬する。
The microwaves introduced from the upper H surface facing one slot 33 radiate the microwaves from the slot 33, and rotate clockwise or counterclockwise in FIG. Endless annular waveguide 1
3.

【0060】環状導波路13のH面には、例えばTE10
モードにて路内を伝搬・進行するマイクロ波の伝搬・進
行方向と交差する縦長のスロット33が設けられている
ために、そのスロット33から、空間9に向かって、マ
イクロ波が放射される。環状導波路13の周長を路内波
長の整数倍とし、少なくとも路内波長の半分或いは4分
の1間隔にスロット33を配置すれば、導波路13内に
定在波が生じ、スロットからマイクロ波が放出される。
スロットからのマイクロ波の放射を優先的に考える場合
には、周長を路内波長の整数倍とし、路内波長の半分の
間隔でスロットを、H面を流れるマイクロ波による表面
電流を横切る位置に、設けるとよい。
The H plane of the annular waveguide 13 has, for example, TE 10
Since a vertically elongated slot 33 intersecting with the propagation and traveling direction of the microwave propagating and traveling in the road in the mode is provided, the microwave is radiated from the slot 33 toward the space 9. If the circumference of the annular waveguide 13 is set to an integral multiple of the in-path wavelength and the slots 33 are arranged at least half or one-fourth of the in-path wavelength, a standing wave is generated in the waveguide 13, and a micro wave is generated from the slot. Waves are emitted.
When giving priority to microwave radiation from the slot, the circumference should be an integral multiple of the in-path wavelength, and the slot should be placed at half-interval of the in-path wavelength and cross the surface current caused by the microwave flowing on the H plane. It is good to provide.

【0061】或いは、スロットから放出された誘電体窓
に沿って伝播する表面波の干渉利用を優先する場合に
は、該表面波の波長の半分の間隔でスロットを、H面を
流れるマイクロ波による表面電流を横切る位置に、設け
るとよい。
Alternatively, when priority is given to utilizing interference of a surface wave propagating along the dielectric window emitted from the slot, the slot is formed at an interval of half the wavelength of the surface wave by the microwave flowing through the H plane. It may be provided at a position crossing the surface current.

【0062】マイクロ波は、誘電体からなるマイクロ波
透過窓4を透過して空間9に供給される。
The microwave is transmitted to the space 9 through the microwave transmission window 4 made of a dielectric.

【0063】空間9には、処理ガスが存在しており、こ
の処理ガスはマイクロ波エネルギーによって励起されプ
ラズマを発生させる。マイクロ波の放射及びプラズマ発
生の仕組みは、図12を参照して説明したとおりであ
る。
A processing gas is present in the space 9, and the processing gas is excited by microwave energy to generate plasma. The mechanism of microwave radiation and plasma generation is as described with reference to FIG.

【0064】被処理体Wの表面には、このプラズマを利
用して表面処理が施される。プラズマPは、投入される
マイクロ波の電力や容器内の圧力に応じて、図1のよう
にスロット下方のみに存在することもあるし、又、窓4
の下面全面に拡がることもある。更には、被処理体の近
傍にまで深く広げることも出来る。
The surface of the object W is subjected to a surface treatment using this plasma. The plasma P may be present only below the slot as shown in FIG. 1 depending on the power of the supplied microwave or the pressure in the container.
May spread over the entire lower surface of the. Further, it can be extended deeply to the vicinity of the object to be processed.

【0065】又、本発明においては、被処理体Wの大き
さや、マイクロ波供給器導波路の周長に応じて、スロッ
トを外方に偏在させることもできる。
In the present invention, the slots can be unevenly distributed outward according to the size of the object to be processed W and the circumference of the microwave supply waveguide.

【0066】そして、マイクロ波透過窓4の内面には、
遮光膜45が設けられている。
Then, on the inner surface of the microwave transmitting window 4,
A light shielding film 45 is provided.

【0067】(遮光膜)本発明に用いられる遮光膜45
としては、窒化アルミニウムなどの誘電体の透過窓4に
入射するプラズマ光のうち、透過窓4において誘電体損
失を増大させる原因となる光の入射量を減らすことがで
きる膜が挙げられる。より詳しくは、誘電体損失を増大
させる原因となる光を吸収し得る光吸収膜、又は、誘電
体損失を増大させる原因となる光を反射し得る反射膜、
或いは、上記光吸収膜と上記反射膜との組合わせが好ま
しく用いられる。
(Light shielding film) Light shielding film 45 used in the present invention
For example, among plasma light incident on the transmission window 4 made of a dielectric such as aluminum nitride, a film capable of reducing the amount of incident light that causes an increase in dielectric loss in the transmission window 4 may be used. More specifically, a light absorbing film that can absorb light that causes an increase in dielectric loss, or a reflective film that can reflect light that causes an increase in dielectric loss,
Alternatively, a combination of the light absorption film and the reflection film is preferably used.

【0068】(反射膜の場合)遮光膜45として反射膜
を用いる場合には、反射膜は単一の膜であっても互いに
屈折率の異なる複数の層の積層体であってもよい。
(In the case of a reflective film) When a reflective film is used as the light shielding film 45, the reflective film may be a single film or a laminate of a plurality of layers having different refractive indexes.

【0069】反射膜としては、マイクロ波透過性であり
且つ、マイクロ波透過窓における誘電体損失を増大させ
得る光を反射できる膜であればよい。例えば400nm
〜600nmの範囲に属する波長の光を反射できる膜で
あればよい。例えば窒化アルミニウムをマイクロ波透過
窓に用いる場合には、440nm〜500nmの範囲に
属する波長の光を反射できる膜であればよい。より好ま
しくはこれら波長域において反射率が80%以上より好
ましくは90%以上となるように膜厚を定めればよい。
The reflective film may be any film that is microwave permeable and can reflect light that can increase dielectric loss in the microwave transmission window. For example, 400 nm
Any film can be used as long as it can reflect light of a wavelength belonging to the range of -600 nm. For example, when aluminum nitride is used for the microwave transmission window, any film can be used as long as it can reflect light having a wavelength in the range of 440 nm to 500 nm. More preferably, the film thickness is determined so that the reflectance in these wavelength ranges is 80% or more, more preferably 90% or more.

【0070】具体的には、高屈折率の材料として、酸化
アルミニウム,フッ化ネオジウム,フッ化セリウム,フ
ッ化ランタン,フッ化鉛などの誘電体が挙げられる。
Specifically, as a material having a high refractive index, a dielectric such as aluminum oxide, neodymium fluoride, cerium fluoride, lanthanum fluoride, and lead fluoride can be used.

【0071】低屈折率の材料としては、フッ化アルミニ
ウム,フッ化マグネシウム,フッ化カルシウム,フッ化
リチウム,フッ化ナトリウムなどの誘電体が用いられ
る。
As a material having a low refractive index, a dielectric such as aluminum fluoride, magnesium fluoride, calcium fluoride, lithium fluoride, and sodium fluoride is used.

【0072】積層体の場合、各層の厚さdfは、略々λc
/4nfになるように設計すればよい。ここで、λcは反
射しようとする光の中心波長,nfは屈折率である。
In the case of a laminate, the thickness df of each layer is approximately λc
/ 4nf may be designed. Here, λc is the central wavelength of the light to be reflected, and nf is the refractive index.

【0073】このような厚さに設計された互いに屈折率
の異なる層を6〜20程くり返し交互に積層し、最表面
に位置する層を高屈折率の層とすると良い。更には任意
の層における中心波長λcを少しずつ変更して反射に有
効な波長範囲を広帯域化することもできる。
It is preferable that layers having different refractive indices designed to have such a thickness are alternately laminated about 6 to 20 alternately, and the layer located on the outermost surface is a layer having a high refractive index. Further, the wavelength range effective for reflection can be broadened by gradually changing the center wavelength λc in an arbitrary layer.

【0074】反射膜を積層体とする場合には、その最表
面は、耐酸素プラズマ特性,耐フッ素プラズマ特性に優
れた膜にするとよい。具体的には、酸化アルミニウム,
フッ化ネオジウム,フッ化セリウム,フッ化ランタン,
フッ化鉛から選択される材料を用いる。この膜の厚さは
100nm以上10μm以下にすると良い。
When the reflection film is formed as a laminate, the outermost surface is preferably a film having excellent oxygen-resistant plasma characteristics and fluorine-resistant plasma characteristics. Specifically, aluminum oxide,
Neodymium fluoride, cerium fluoride, lanthanum fluoride,
A material selected from lead fluoride is used. The thickness of this film is preferably 100 nm or more and 10 μm or less.

【0075】図3の(a)は、遮光膜45として、高屈
折率の材料からなる高屈折率層46と低屈折率の材料か
らなる低屈折率層47とを有する積層膜の例を示してい
る。
FIG. 3A shows an example of a laminated film having, as the light shielding film 45, a high refractive index layer 46 made of a high refractive index material and a low refractive index layer 47 made of a low refractive index material. ing.

【0076】そして、本発明に用いられる反射膜は、ス
パッタリング,CVD,真空蒸着,イオンプレーティン
グ等の周知の成膜法により形成できる。
The reflection film used in the present invention can be formed by a known film forming method such as sputtering, CVD, vacuum deposition, and ion plating.

【0077】(光吸収膜の場合)遮光膜45として、マ
イクロ波透過窓4の内面に、光吸収膜を設けることもで
きる。
(In the case of a light absorbing film) As the light shielding film 45, a light absorbing film may be provided on the inner surface of the microwave transmitting window 4.

【0078】光吸収膜は単一の膜であっても複数の膜の
積層体であってもよい。
The light absorbing film may be a single film or a laminate of a plurality of films.

【0079】光吸収膜としては、マイクロ波透過性であ
り且つ、マイクロ波透過窓における誘電体損失を増大さ
せ得る光を吸収できる膜であればよい。例えば400n
m〜600nmの範囲に属する波長の光を吸収できる膜
であればよい。例えば窒化アルミニウムをマイクロ波透
過窓に用いる場合には、440nm〜500nmの範囲
に属する波長の光を吸収できる膜であればよい。より好
ましくはこれら波長域において吸収率が80%以上より
好ましくは90%以上となるように膜厚を定めればよ
い。
The light absorbing film may be any film that is microwave permeable and can absorb light that can increase dielectric loss in the microwave transmitting window. For example, 400n
Any film can be used as long as it can absorb light having a wavelength belonging to the range of m to 600 nm. For example, when aluminum nitride is used for the microwave transmission window, any film may be used as long as it can absorb light having a wavelength in the range of 440 nm to 500 nm. More preferably, the film thickness may be determined so that the absorptance is 80% or more, more preferably 90% or more in these wavelength ranges.

【0080】具体的には、Si,Ge,C,SiGe,
SiC,GaAs,InP,CdS,CdTe,AgC
l,TlCl,SixN(ここでxは3/4より大き
い)から選択される少なくとも一種の材料や、金属イオ
ン含有ガラス等の誘電体で構成できる。
Specifically, Si, Ge, C, SiGe,
SiC, GaAs, InP, CdS, CdTe, AgC
It can be composed of at least one material selected from l, TlCl, and SixN (where x is larger than 3/4), or a dielectric such as glass containing metal ions.

【0081】半導体を用いる場合には、非単結晶シリコ
ン(多結晶シリコン,非晶質シリコン,微結晶シリコ
ン),炭素膜の場合には、ダイヤモンドライクカーボン
やグラファイトが好ましく用いられる。これらにはH,
F,C,N,O,P,B等の原子が意図的又は不可避的
に多少含まれてもよい。
In the case of using a semiconductor, non-single-crystal silicon (polycrystalline silicon, amorphous silicon, microcrystalline silicon) is used, and in the case of a carbon film, diamond-like carbon or graphite is preferably used. These include H,
Some atoms such as F, C, N, O, P, and B may be intentionally or inevitably contained.

【0082】所望の吸収率をA,膜を構成する材料の吸
収係数をαとした時、膜厚dは、d=−{In(1−
A)}α-1で定められる。例えばAを90%,αを5μ
-1とすると膜厚dは461nmとなる。
Assuming that the desired absorption rate is A and the absorption coefficient of the material constituting the film is α, the film thickness d is d = − {In (1-
A) It is determined by} α -1 . For example, A is 90%, α is 5μ
Assuming m −1 , the film thickness d is 461 nm.

【0083】光吸収膜を積層体とする場合には、その最
表面には、耐酸素プラズマ特性,耐フッ素プラズマ特性
に優れた保護膜を設けるとよい。具体的には、酸化アル
ミニウム,フッ化アルミニウム,フッ化ネオジウム,フ
ッ化セリウム,フッ化ランタン,フッ化鉛,フッ化マグ
ネシウム,フッ化カルシウム,フッ化リチウム,フッ化
ナトリウムから選択される材料を用いる。
When the light absorbing film is formed as a laminate, it is preferable to provide a protective film having excellent oxygen-resistant plasma characteristics and fluorine-resistant plasma characteristics on the outermost surface. Specifically, a material selected from aluminum oxide, aluminum fluoride, neodymium fluoride, cerium fluoride, lanthanum fluoride, lead fluoride, magnesium fluoride, calcium fluoride, lithium fluoride, and sodium fluoride is used. .

【0084】この保護膜の厚さは100nm以上10μ
m以下にすると良い。
The thickness of this protective film is 100 nm or more and 10 μm.
m or less.

【0085】図3の(b)は、遮光膜45として、光吸
収性の材料の膜48と保護膜49との積層体からなる光
吸収膜の例を示している。
FIG. 3B shows an example of a light absorbing film made of a laminate of a light absorbing material film 48 and a protective film 49 as the light shielding film 45.

【0086】そして、本発明に用いられる光吸収膜は、
スパッタリング,CVD,真空蒸着,イオンプレーティ
ング等の周知の成膜法により形成できる。
The light absorbing film used in the present invention comprises:
It can be formed by a known film forming method such as sputtering, CVD, vacuum deposition, or ion plating.

【0087】更に、好ましくは、上述した反射膜と光吸
収膜とを積層した構成を遮光膜45として採用してもよ
い。この場合には、反射膜による反射率は80%以上で
ある必要はなく、又、光吸収膜による光吸収率も80%
である必要はない。つまり、遮光膜としての光透過率が
20%以下、より好ましくは10%以下程度となればよ
いのである。
Further, preferably, a configuration in which the above-described reflecting film and light absorbing film are laminated may be employed as the light shielding film 45. In this case, the reflectance by the reflection film does not need to be 80% or more, and the light absorption by the light absorption film is also 80%.
Need not be. That is, the light transmittance of the light-shielding film should be about 20% or less, more preferably about 10% or less.

【0088】(実施形態2)次に述べる本発明の別の実
施の形態によるマイクロ波を放射するための複数のスロ
ットが設けられた平面を有する環状導波路を備えたマイ
クロ波供給器は、マイクロ波の進行方向に対して交差す
る方向に向いた不連続線状スロット(33、43)を有
することを特徴とする。
(Embodiment 2) A microwave supply device having an annular waveguide having a plane provided with a plurality of slots for radiating microwaves according to another embodiment of the present invention described below is a microwave supply device. It is characterized by having discontinuous linear slots (33, 43) oriented in a direction intersecting with the wave traveling direction.

【0089】図4はこのようなプラズマ処理装置を示す
模式的断面図である。
FIG. 4 is a schematic sectional view showing such a plasma processing apparatus.

【0090】この装置は、図5に示すようなスロット付
平板23を有している。図1の装置との相違点は、図5
のスロット付平板23が付設されている点と、被処理体
バイアス電源22が付設されている点である。
This device has a slotted flat plate 23 as shown in FIG. The difference from the apparatus of FIG.
And the point where the object bias power supply 22 is provided.

【0091】空間9内の圧力を低くして、プラズマがよ
り拡がるように調整し、被処理体Wにバイアス電源22
よりバイアス電圧を印加しながらプラズマ処理を行える
構成になっている。このような構成は異方性エッチング
に好適なものである。
The pressure in the space 9 is reduced to adjust the plasma so as to spread more.
The plasma processing can be performed while applying a bias voltage. Such a configuration is suitable for anisotropic etching.

【0092】更に、必要に応じて保持手段2にクーラー
を付設して被処理体Wの昇温を抑制することも好ましい
ものである。
Further, it is also preferable that a cooler is attached to the holding means 2 as required to suppress the temperature rise of the workpiece W.

【0093】図1、図2と同符号で示す部位は、図1の
実施形態の装置と同じ構成であるので、詳述を省略す
る。
1 and 2 have the same configuration as that of the apparatus of the embodiment shown in FIG.

【0094】図5は本発明に用いられるマイクロ波供給
器のスロット付平板の別の例を示す。
FIG. 5 shows another example of the slotted flat plate of the microwave supplier used in the present invention.

【0095】図4の例では一つのスロット33と一つの
スロット43とを同一直線上に設けている点が図2に示
した平板とは異なっている。
The example shown in FIG. 4 differs from the flat plate shown in FIG. 2 in that one slot 33 and one slot 43 are provided on the same straight line.

【0096】このスロット33,43はそれぞれ、その
中心を結ぶ線C2,C5が、環状導波路13の中心を結
ぶ線C1に対して、環の内方と外方に偏在して設けられ
ている。
The slots 33 and 43 are provided such that the lines C2 and C5 connecting the centers thereof are biased inward and outward of the ring with respect to the line C1 connecting the center of the annular waveguide 13. .

【0097】同一径方向にある一対のスロット33とス
ロット43とは不連続直線状に形成されることにより、
従来のスロットの場合よりも径方向に均一にマイクロ波
を放射することができる。又、スロット33とスロット
43とを一体化した長尺スロットした場合よりも、周方
向(マイクロ波の進行方向)において、より均一にマイ
クロ波を放射することができる。
A pair of slots 33 and 43 in the same radial direction are formed in a discontinuous straight line,
Microwaves can be radiated more uniformly in the radial direction than in the case of the conventional slot. Further, the microwave can be more uniformly radiated in the circumferential direction (the traveling direction of the microwave) than when a long slot in which the slot 33 and the slot 43 are integrated.

【0098】本発明に用いられるスロットの偏心量は、
使用する処理条件に応じて適宜定められる。特にスロッ
ト付平板23を導波路13となる凹部を有する導電性基
材に対して交換可能に構成すれば、処理条件の変更にも
柔軟に対応できる。
The eccentricity of the slot used in the present invention is:
It is determined appropriately according to the processing conditions used. In particular, if the slotted flat plate 23 is configured to be replaceable with respect to a conductive base material having a concave portion serving as the waveguide 13, it is possible to flexibly cope with a change in processing conditions.

【0099】本発明に用いられるスロットの中心が、環
状導波路の中心とは異なっている異中心スロットの形状
は、各々のスロットの中心が導波路の中心に対して内方
及び/又は外方に偏在しているのであれば、1つの矩形
状穿孔でも、長さが管内波長の1/4から3/8である
穿孔が複数、不連続かつ直線上に配置されたものでも適
用可能である。
The shape of the off-center slot, in which the center of the slot used in the present invention is different from the center of the annular waveguide, is such that the center of each slot is inward and / or outward with respect to the center of the waveguide. If the holes are unevenly distributed, a single rectangular hole or a plurality of holes having a length of 1/4 to 3/8 of the guide wavelength and arranged discontinuously and linearly can be applied. .

【0100】又、図6は別のスロット付平板を示してい
る。いずれの場合も、マイクロ波透過窓4としては、上
述した実施形態に用いられるものと同じ遮光膜45が付
設されており、遮光膜45がプラズマ発生側となるよう
に透過窓4でスロット付平板を覆うように装置を組立て
るとよい。
FIG. 6 shows another flat plate with slots. In any case, as the microwave transmission window 4, the same light shielding film 45 as that used in the above-described embodiment is additionally provided, and the transmission window 4 has a slotted flat plate so that the light shielding film 45 is on the plasma generation side. It is advisable to assemble the device so as to cover it.

【0101】(実施形態3)次に本発明に用いられるマ
イクロ波透過窓用誘電体の実施形態について説明する。
(Embodiment 3) Next, an embodiment of a microwave transmission window dielectric used in the present invention will be described.

【0102】比表面積が2.4〜3.0m2/gであ
り、金属不純物の総量が1000ppm以下の高純度A
lN粉末に、Y23等の希土類元素酸化物、CaO等の
アルカリ土類金属酸化物、YF3等の希土類元素ハロゲ
ン化物などからなる焼結助剤を添加し、さらにアルコー
ル系有機溶媒中でポリビニルアルコール系のバインダを
添加してスラリーを調製する。得られたスラリーをスプ
レードライヤを用いて造粒する。造粒粉を金型で円盤状
又は直方体状に成形し、更に静水圧プレス成形を行う。
得られた成形体をN2雰囲気中1650〜2050℃で
焼成し、窒化アルミニウム焼結体を得る。
High purity A having a specific surface area of 2.4 to 3.0 m 2 / g and a total amount of metal impurities of 1000 ppm or less
To the 1N powder, a sintering aid composed of a rare earth element oxide such as Y 2 O 3 , an alkaline earth metal oxide such as CaO, a rare earth element halide such as YF 3 is added, and further added in an alcoholic organic solvent. To prepare a slurry by adding a polyvinyl alcohol-based binder. The obtained slurry is granulated using a spray dryer. The granulated powder is formed into a disk shape or a rectangular parallelepiped shape by a mold, and is further subjected to isostatic pressing.
The obtained molded body is fired at 1650 to 2050 ° C. in an N 2 atmosphere to obtain an aluminum nitride sintered body.

【0103】得られた焼結体について、ダイヤモンド砥
石により粗加工を行い、次いで、仕上げ加工を行なう。
その後、ラップ盤にて鋳鉄製定盤を用いて研磨を行な
い、さらに研磨精度を上げるため、銅定盤を用いて仕上
げを行なう。こうして、平均表面粗さが0.5μm以
下、および焼結組織の平均結晶粒径が1〜20μmの範
囲の窒化アルミニウム焼結体のマイクロ波透過窓の母材
が得られる。
The obtained sintered body is roughly processed by a diamond grindstone and then finished.
Thereafter, polishing is performed on a lapping machine using a cast iron platen, and finishing is performed using a copper platen to further increase the polishing accuracy. In this way, a base material for a microwave transmitting window of an aluminum nitride sintered body having an average surface roughness of 0.5 μm or less and an average crystal grain size of the sintered structure of 1 to 20 μm is obtained.

【0104】蒸着、イオンプレーティング、CVD又は
スパッタリングにより、得られたマイクロ波透過窓の母
材の表面に低屈折率の層を形成し、その上に高屈折率の
層を形成する。更には、これらの層を交互に各6〜20
層くり返し形成してもよい。
A layer having a low refractive index is formed on the surface of the base material of the obtained microwave transmission window by vapor deposition, ion plating, CVD or sputtering, and a layer having a high refractive index is formed thereon. Furthermore, these layers are alternately formed in each of 6 to 20 layers.
The layers may be repeatedly formed.

【0105】こうして、本発明による反射膜付きの高周
波エネルギー透過窓用誘電体が得られる。
Thus, the dielectric for a high-frequency energy transmission window with a reflection film according to the present invention is obtained.

【0106】(実施形態4)比表面積が2.4〜3.0
/gであり、金属不純物の総量が1000ppm以
下の高純度AlN粉末に、Y等の希土類元素酸化
物、CaO等のアルカリ土類金属酸化物、YF等の希
土類元素ハロゲン化物などからなる焼結助剤を添加し、
さらにアルコール系有機溶媒中でポリビニルアルコール
系のバインダを添加してスラリーを調製する。得られた
スラリーをスプレードライヤを用いて造粒する。造粒粉
を金型で円盤状又は直方体状に成形し、更に静水圧プレ
ス成形を行う。得られた成形体をN雰囲気中1650
〜2050℃で焼成し、窒化アルミニウム焼結体を得
る。
(Embodiment 4) Specific surface area is 2.4 to 3.0
m 2 / g, a high purity AlN powder having a total amount of metal impurities of 1000 ppm or less, a rare earth element oxide such as Y 2 O 3 , an alkaline earth metal oxide such as CaO, and a rare earth halide such as YF 3 Add a sintering aid consisting of
Further, a slurry is prepared by adding a polyvinyl alcohol-based binder in an alcohol-based organic solvent. The obtained slurry is granulated using a spray dryer. The granulated powder is formed into a disk shape or a rectangular parallelepiped shape by a mold, and is further subjected to isostatic pressing. The obtained molded body was placed in an N 2 atmosphere at 1650.
It is fired at 202050 ° C. to obtain an aluminum nitride sintered body.

【0107】得られた焼結体について、ダイヤモンド砥
石により粗加工を行い、次いで、仕上げ加工を行なう。
その後、ラップ盤にて鋳鉄製定盤を用いて研磨を行な
い、さらに研磨精度を上げるため、銅定盤を用いて仕上
げを行なう。こうして、平均表面粗さが0.5μm以
下、および焼結組織の平均結晶粒径が1〜20μmの範
囲の窒化アルミニウム焼結体のマイクロ波透過窓の母材
が得られる。
The obtained sintered body is roughly processed by a diamond grindstone, and then finished.
Thereafter, polishing is performed on a lapping machine using a cast iron platen, and finishing is performed using a copper platen to further increase the polishing accuracy. In this way, a base material for a microwave transmitting window of an aluminum nitride sintered body having an average surface roughness of 0.5 μm or less and an average crystal grain size of the sintered structure of 1 to 20 μm is obtained.

【0108】CVDやスパッタリングにより、非晶質シ
リコンや、化学量論比よりもシリコンリッチの窒化シリ
コンなどからなる光吸収層を、得られたマイクロ波透過
窓の母材の表面に形成する。更に、スパッタリングによ
り保護層となる酸化アルミニウムの膜を形成する。
A light absorbing layer made of amorphous silicon or silicon nitride richer in silicon than the stoichiometric ratio is formed on the surface of the base material of the obtained microwave transmission window by CVD or sputtering. Further, a film of aluminum oxide serving as a protective layer is formed by sputtering.

【0109】こうして、本発明による光吸収膜付きの高
周波エネルギー透過窓用誘電体が得られる。
Thus, a dielectric for a high-frequency energy transmission window with a light absorbing film according to the present invention is obtained.

【0110】以上説明した本発明の各実施形態では、盤
(板)状の高周波エネルギー透過窓用誘電体について述
べたが、形状はそれらに限定されることはなくドーム
状、半球状などの曲面をもったものでもよい。
In each of the embodiments of the present invention described above, the board (plate) -shaped dielectric material for high-frequency energy transmitting windows has been described. However, the shape is not limited thereto, and a curved surface such as a dome shape or a hemispherical shape may be used. May be used.

【0111】本発明の高周波エネルギー供給器に用いら
れる環状導波路は、環状であれば、円環状に限らず、楕
円環状、四角環状、五角環状、等様々な形状であり得
る。
The annular waveguide used in the high-frequency energy supply device of the present invention is not limited to an annular shape as long as it is annular, and may have various shapes such as an elliptical annular shape, a square annular shape, and a pentagonal annular shape.

【0112】半導体ウエハや光ディスクや磁気ディスク
のような円盤状の被処理体を処理する場合には、円環状
が好適である。
When processing a disk-shaped object such as a semiconductor wafer, an optical disk or a magnetic disk, an annular shape is preferable.

【0113】本発明に用いられる環状導波路を有する高
周波エネルギー供給器としては、導波路となる環状凹部
を有する導電性基材と、スロット付平板との組み立て体
を用いることも好ましいものである。
As the high-frequency energy supply device having an annular waveguide used in the present invention, it is also preferable to use an assembly of a conductive base material having an annular concave portion serving as a waveguide and a flat plate with slots.

【0114】又、必要に応じて、導波路内に管内波長を
短くするべく誘電体を充てんすることも好ましいもので
ある。このような誘電体としてはテトラフルオロエチレ
ン等の樹脂が好ましく用いられる。
It is also preferable that the waveguide is filled with a dielectric as necessary, in order to shorten the guide wavelength. As such a dielectric, a resin such as tetrafluoroethylene is preferably used.

【0115】本発明に用いられる1つのスロットの長さ
は管内波長の1/4から3/8としたものが好ましく適
用可能である。
The length of one slot used in the present invention is preferably from 1/4 to 3/8 of the guide wavelength.

【0116】本発明に用いられるスロット付平板や環状
導波管の材質は、導電体であれば使用可能であるが、高
周波エネルギーの伝搬ロスをできるだけ抑えるため導電
率の高いAl,Cu,Ag/Cuメッキしたステンレス
スチールなどが最適である。本発明に用いられる環状導
波路への導入口の向きは、環状導波路内の高周波エネル
ギー伝搬空間に効率よく高周波エネルギーを導入できる
ものであれば、H面T分岐や接線導入のようにH面に平
行に高周波エネルギーを導入できる向き、又は、E面T
分岐のようにH面に垂直に導入できる向きでもよい。本
発明に用いられるマイクロ波進行方向にスロット間隔
は、管内波長の1/2もしくは1/4が最適である。
The material of the slotted flat plate and the annular waveguide used in the present invention can be used as long as it is a conductor. However, in order to minimize the propagation loss of high frequency energy, Al, Cu, Ag / Optimum is Cu-plated stainless steel. The direction of the introduction port to the annular waveguide used in the present invention may be any direction that can efficiently introduce high-frequency energy into the high-frequency energy propagation space in the annular waveguide, such as H-plane T-branch or tangential line introduction. Direction in which high-frequency energy can be introduced in parallel to
It may be a direction that can be introduced perpendicular to the H plane, such as a branch. The slot interval in the microwave traveling direction used in the present invention is optimally 1/2 or 1/4 of the guide wavelength.

【0117】以上は、環状導波路を有する高周波エネル
ギー供給器について述べたが、本発明に用いられる高周
波エネルギー供給器はこのタイプに限定されることはな
く、前述したRLSAや円筒共振器、矩形共振器からな
る高周波エネルギー供給器であってもよい。又は、棒状
アンテナであってもよい。
Although the high-frequency energy supply device having the annular waveguide has been described above, the high-frequency energy supply device used in the present invention is not limited to this type, and the RLSA, the cylindrical resonator, and the rectangular resonance device described above are used. It may be a high-frequency energy supply comprising a vessel. Alternatively, a rod-shaped antenna may be used.

【0118】本発明に用いられる高周波エネルギーとし
は、マイクロ波やVHF波が用いられるが、より好ましく
は0.8GHz乃至20GHzの範囲から適宜選択でき
るマイクロ波を用いるとより良い。
As the high-frequency energy used in the present invention, a microwave or a VHF wave is used, and it is more preferable to use a microwave which can be appropriately selected from a range of 0.8 GHz to 20 GHz.

【0119】本発明に用いられる高周波エネルギー透過
窓の誘電体としては、窒化アルミニウムが好ましいが、
石英ガラスやSiO 系のその他各種ガラス、Si
,NaCl,KCl,LiF,CaF ,BaF
,Al ,MgOなどの無機物或いは、ポリ
エチレン、ポリエステル、ポリカーボネート、セルロー
スアセテート、ポリプロピレン、ポリ塩化ビニル、ポリ
塩化ビニリデン、ポリスチレン、ポリアミド、ポリイミ
ドなどの有機物のフィルム、シートなども適用可能であ
る。
The dielectric material of the high-frequency energy transmission window used in the present invention is preferably aluminum nitride.
Quartz glass, various other SiO 2 -based glasses, Si 3
N 4 , NaCl, KCl, LiF, CaF 2 , BaF
Films and sheets of inorganic substances such as 2 , Al 2 O 3 and MgO or organic substances such as polyethylene, polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride, polyvinylidene chloride, polystyrene, polyamide and polyimide can also be applied. .

【0120】本発明のプラズマ処理装置及び処理方法に
おいては、磁界発生手段を用いても良い。本発明におい
て用いられる磁界としては、ミラー磁界なども適用可能
であるが、スロット近傍の磁界の磁束密度は基板近傍の
磁界の磁束密度よりも大きいマグネトロン磁界が最適で
ある。磁界発生手段としては、コイル以外でも、永久磁
石でも使用可能である。コイルを用いる場合には過熱防
止のため水冷機構や空冷など他の冷却手段を用いてもよ
い。
[0120] In the plasma processing apparatus and the processing method of the present invention, a magnetic field generating means may be used. As the magnetic field used in the present invention, a mirror magnetic field or the like can be applied, but the magnetron magnetic field near the slot is optimally a magnetron magnetic field larger than the magnetic field near the substrate. As the magnetic field generating means, a permanent magnet other than a coil can be used. When using a coil, other cooling means such as a water cooling mechanism or air cooling may be used to prevent overheating.

【0121】また、処理のより高品質化のため、紫外光
を被処理基体表面に照射してもよい。光源としては、被
処理体もしくはその上に付着したガスに吸収される光を
放射するものなら適用可能で、エキシマレーザ、エキシ
マランプ、希ガス共鳴線ランプ、低圧水銀ランプなどが
適当である。
In order to improve the quality of the treatment, the surface of the substrate to be treated may be irradiated with ultraviolet light. As the light source, any light source that emits light that is absorbed by the object to be processed or the gas adhered thereon can be used, and an excimer laser, an excimer lamp, a rare gas resonance line lamp, a low-pressure mercury lamp, and the like are suitable.

【0122】本発明のプラズマ処理室内の圧力は、例え
ば、1.33×10-2Pa乃至1.33×103 Paの
範囲から選択することができる。より好ましくは、CV
Dの場合1.33×10-1Pa乃至1.33×101
a、エッチングの場合6.65×10-2 Paから6.
65Pa、アッシングの場合1.33×101 Paから
1.33×103 Paの範囲から選択することができ
る。
The pressure in the plasma processing chamber of the present invention can be selected, for example, from the range of 1.33 × 10 −2 Pa to 1.33 × 10 3 Pa. More preferably, CV
1.33 × 10 -1 Pa to 1.33 × 10 1 P for D
a, In the case of etching, from 6.65 × 10 -2 Pa to 6.
65 Pa, and in the case of ashing, it can be selected from the range of 1.33 × 10 1 Pa to 1.33 × 10 3 Pa.

【0123】本発明によるプラズマ処理方法について図
7、8を参照して説明する。
The plasma processing method according to the present invention will be described with reference to FIGS.

【0124】図7の(a)に示すようにシリコン基板の
ような被処理体101の表面にCVD装置又は表面改質
装置により、酸化シリコン、窒化シリコン、窒化酸化シ
リコン、酸化アルミニウム、酸化タンタル等の無機物
や、テトラフルオロエチレン、ポリアリールエーテル等
の有機物からなる絶縁膜102を形成する。
As shown in FIG. 7A, silicon oxide, silicon nitride, silicon nitride oxide, aluminum oxide, tantalum oxide, etc. are formed on the surface of the object 101 such as a silicon substrate by a CVD apparatus or a surface reforming apparatus. Is formed, or an insulating film 102 made of an organic material such as tetrafluoroethylene or polyaryl ether is formed.

【0125】図7の(b)に示すようにフォトレジスト
を塗布して、ベーキングを行いフォトレジスト層103
を形成する。
As shown in FIG. 7B, a photoresist is applied and baked to form a photoresist layer 103.
To form

【0126】図7の(c)に示すように、露光装置によ
りホールパターン潜像の形成を行い、これを現像してホ
ール104を有するマスクパターン103′を形成す
る。
As shown in FIG. 7C, a hole pattern latent image is formed by an exposure device, and is developed to form a mask pattern 103 'having a hole 104.

【0127】図7の(d)に示すように、エッチング装
置により、マスクパターン103′の下の絶縁膜102
をエッチングしてホール105を形成する。
As shown in FIG. 7D, the insulating film 102 under the mask pattern 103 'is etched by an etching apparatus.
Is etched to form a hole 105.

【0128】図7の(e)に示すように、アッシング装
置を用いてマスクパターン103′をアッシングして除
去する。
As shown in FIG. 7E, the mask pattern 103 'is removed by ashing using an ashing device.

【0129】こうして、ホール付絶縁膜を有する構造体
が得られる。
Thus, a structure having the insulating film with holes is obtained.

【0130】続いて、ホール内に導電体等を堆積させる
場合には、前もって、クリーニング装置等によりホール
内をクリーニングすることも好ましいものである。
Subsequently, when depositing a conductor or the like in the hole, it is also preferable to previously clean the inside of the hole with a cleaning device or the like.

【0131】そして、図1〜図6を参照して説明した本
発明によるプラズマ処理装置は、前述した工程に用いら
れるCVD装置、表面改質装置、エッチング装置、アッ
シング装置のうちの少なくともいずれか1つとして利用
可能である。
The plasma processing apparatus according to the present invention described with reference to FIGS. 1 to 6 includes at least one of a CVD apparatus, a surface reforming apparatus, an etching apparatus, and an ashing apparatus used in the above-described steps. It is available as one.

【0132】図8は本発明による別のプラズマ処理方法
を示している。
FIG. 8 shows another plasma processing method according to the present invention.

【0133】図8の(a)に示すようにアルミニウム、
銅、モリブデン、クロム、タングステンのような金属或
いはこれらの金属のうち少なくとも一つを主成分とする
各種合金等からなる導電体のパターン又は多結晶シリコ
ンのパターン(ここではラインアンドスペース)を形成
する。
As shown in FIG. 8A, aluminum,
A conductor pattern or a polycrystalline silicon pattern (here, line and space) made of a metal such as copper, molybdenum, chromium, and tungsten or various alloys containing at least one of these metals as a main component is formed. .

【0134】図8の(b)に示すようにCVD装置等に
より絶縁膜107を形成する。ここで必要に応じてこの
絶縁膜107に化学機械研磨を施して、その表面を平坦
化してもよい。
As shown in FIG. 8B, an insulating film 107 is formed by a CVD apparatus or the like. Here, if necessary, the insulating film 107 may be subjected to chemical mechanical polishing to flatten its surface.

【0135】不図示のマスクパターンを形成した後、エ
ッチング装置にて絶縁膜107にホール108を形成す
る。
After forming a mask pattern (not shown), holes 108 are formed in the insulating film 107 by an etching apparatus.

【0136】マスクパターンをアッシング装置等により
除去すると図8の(c)に示すような構造体が得られ
る。
When the mask pattern is removed by an ashing device or the like, a structure as shown in FIG. 8C is obtained.

【0137】そして、本発明のプラズマ処理装置は、上
述CVD装置、エッチング装置、アッシング装置として
使用できるが、後述するようにこれらにのみ限定的に適
用されるわけではない。
The plasma processing apparatus of the present invention can be used as the above-described CVD apparatus, etching apparatus and ashing apparatus, but is not limited to these as described later.

【0138】本発明の波プラズマ処理方法による堆積膜
の形成は、使用するガスを適宜選択することによりSi
34 ,SiO2 ,Ta25 ,TiO2 ,TiN,A
23 ,AlN,MgF2 、フルオロカーボンなどの
絶縁膜、a−Si,poly−Si,SiC,GaAs
などの半導体膜、Al,W,Mo,Ti,Taなどの金
属膜,アモーファスカーボン、ダイヤモンドライクカー
ボン、ダイヤモンド等、各種の堆積膜を効率よく形成す
ることが可能である。
The formation of a deposited film by the wave plasma processing method of the present invention can be performed by appropriately selecting a gas to be used.
3 N 4, SiO 2, Ta 2 O 5, TiO 2, TiN, A
Insulating film of l 2 O 3 , AlN, MgF 2 , fluorocarbon, etc., a-Si, poly-Si, SiC, GaAs
It is possible to efficiently form various kinds of deposited films such as a semiconductor film such as Al, W, Mo, Ti, and Ta, a metal film such as amorphous carbon, diamond-like carbon, and diamond.

【0139】本発明のプラズマ処理方法により処理する
被処理体の基体は、半導体であっても、導電性のもので
あっても、あるいは電気絶縁性のものであってもよい。
具体的にはSiウエハ、SOIウエハ等の半導体基体が
挙げられる。
The substrate of the object to be processed by the plasma processing method of the present invention may be a semiconductor, a conductive one, or an electrically insulating one.
Specific examples include a semiconductor substrate such as a Si wafer and an SOI wafer.

【0140】導電性基体としては、Fe,Ni,Cr,
Al,Mo,Au,Nb,Ta,V,Ti,Pt,Pb
などの金属またはこれらの合金、例えば真鍮、ステンレ
ス鋼などが挙げられる。
As the conductive substrate, Fe, Ni, Cr,
Al, Mo, Au, Nb, Ta, V, Ti, Pt, Pb
And alloys thereof, such as brass and stainless steel.

【0141】絶縁性基体としては、石英ガラスやそれ以
外の各種ガラス、Si34 ,NaCl,KCl,Li
F,CaF2 ,BaF2 ,Al23 ,AlN,MgO
などの無機物、ポリエステル、ポリカーボネート、セル
ロースアセテート、ポリプロピレン、ポリ塩化ビニル、
ポリ塩化ビニリデン、ポリスチレン、ポリアミド、ポリ
イミドなどの有機物のフィルム、シートなどが挙げられ
る。
Examples of the insulating substrate include quartz glass and various other glasses, Si 3 N 4 , NaCl, KCl, Li
F, CaF 2 , BaF 2 , Al 2 O 3 , AlN, MgO
Inorganic substances such as polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride,
Examples include films and sheets of organic substances such as polyvinylidene chloride, polystyrene, polyamide, and polyimide.

【0142】CVD法により基板上に薄膜を形成する場
合に用いられるガスとしては、一般に公知のガスが使用
できる。
As a gas used for forming a thin film on a substrate by the CVD method, a generally known gas can be used.

【0143】a−Si,poly−Si,SiCなどの
Si系半導体薄膜を形成する場合のSi原子を含有する
原料ガスとしては、SiH4 ,Si26 などの無機シ
ラン類、テトラエチルシラン(TES),テトラメチル
シラン(TMS)、ジメチルシラン(DMS),ジメチ
ルジフルオロシラン(DMDFS),ジメチルジクロル
シラン(DMDCS)などの有機シラン類、SiF4
Si26 ,Si3 8 ,SiHF3 ,SiH22
SiCl4 ,Si2 Cl6 ,SiHCl3 ,SiH2
2 ,SiH3 Cl,SiCl22 などのハロシラン
類等、常温常圧でガス状態であるものまたは容易にガス
化し得るものが挙げられる。また、この場合のSi原料
ガスと混合して導入してもよい添加ガスまたはキャリア
ガスとしては、H2 ,He,Ne,Ar,Kr,Xe,
Rnが挙げられる。
A-Si, poly-Si, SiC, etc.
Contains Si atoms when forming Si-based semiconductor thin films
The source gas is SiHFour , SiTwo H6 Inorganic materials such as
Orchids, tetraethylsilane (TES), tetramethyl
Silane (TMS), dimethylsilane (DMS), dimethyl
Rudifluorosilane (DMDFS), dimethyldichloro
Organic silanes such as silane (DMDCS), SiFFour ,
SiTwo F6 , SiThree F 8 , SiHFThree , SiHTwo FTwo ,
SiClFour , SiTwo Cl6 , SiHClThree , SiHTwo C
lTwo , SiHThree Cl, SiClTwo FTwo Halosilane such as
Such as those that are in a gaseous state at normal temperature and pressure, or
That can be converted. Also, in this case, the Si raw material
Additive gas or carrier that may be introduced by mixing with gas
As gas, HTwo , He, Ne, Ar, Kr, Xe,
Rn.

【0144】Si34 ,SiO2 などのSi化合物系
薄膜を形成する場合のSi原子を含有する原料として
は、SiH4 ,Si26 などの無機シラン類、テトラ
エトキシシラン(TEOS)、テトラメトキシシラン
(TMOS)、オクタメチルシクロテトラシラン(OM
CTS)、ジメチルジフルオロシラン(DMDFS)、
ジメチルジクロルシラン(DMDCS)などの有機シラ
ン類、SiF4 ,Si2 6 ,Si38 ,SiHF
3 ,SiH22 ,SiCl4 ,Si2 Cl6 ,SiH
Cl3 ,SiH2 Cl2 ,SiH3 Cl,SiCl2
2 などのハロゲン化シラン類等、常温常圧でガス状態で
あるものまたは容易にガス化し得るものが挙げられる。
また、この場合の同時に導入する窒素原料ガスまたは酸
素原料ガスとしては、N2 ,NH3 ,N24 、ヘキサ
メチルジシラザン(HMDS)、O2 、O3 、H2 O、
NO、N2 O、NO2 などが挙げられる。
SiThree NFour , SiOTwo Si compound such as
As a raw material containing Si atoms when forming thin films
Is SiHFour , SiTwo H6 Inorganic silanes, such as tetra
Ethoxysilane (TEOS), tetramethoxysilane
(TMOS), octamethylcyclotetrasilane (OM
CTS), dimethyldifluorosilane (DMDFS),
Organic sila such as dimethyldichlorosilane (DMDCS)
, SiFFour , SiTwo F 6 , SiThree F8 , SiHF
Three , SiHTwo FTwo , SiClFour , SiTwo Cl6 , SiH
ClThree , SiHTwo ClTwo , SiHThree Cl, SiClTwo F
Two Such as halogenated silanes in the gaseous state at normal temperature and pressure
Certain or those that can be easily gasified.
In this case, the nitrogen source gas or acid
As raw material gas, NTwo , NHThree , NTwo HFour , Hexa
Methyldisilazane (HMDS), OTwo , OThree , HTwo O,
NO, NTwo O, NOTwo And the like.

【0145】Al,W,Mo,Ti,Taなどの金属薄
膜を形成する場合の金属原子を含有する原料としては、
トリメチルアルミニウム(TMAl)、トリエチルアル
ミニウム(TEAl)、トリイソブチルアルミニウム
(TIBAl)、ジメチルアルミニウムハイドライド
(DMAlH)、タングステンカルボニル(W(CO)
6)、モリブデンカルボニル(Mo(CO)6 )、トリ
メチルガリウム(TMGa)、トリエチルガリウム(T
EGa)などの有機金属、AlCl3 ,WF6 、TiC
3 、TaCl3 などのハロゲン化金属等が挙げられ
る。また、この場合のSi原料ガスと混合して導入して
もよい添加ガスまたはキャリアガスとしては、H2 ,H
e,Ne,Ar,Kr,Xe,Rnが挙げられる。
As a raw material containing a metal atom when forming a metal thin film of Al, W, Mo, Ti, Ta, etc.,
Trimethylaluminum (TMAl), triethylaluminum (TEAl), triisobutylaluminum (TIBAl), dimethylaluminum hydride (DMAIH), tungsten carbonyl (W (CO)
6 ), molybdenum carbonyl (Mo (CO) 6 ), trimethylgallium (TMGa), triethylgallium (T
Organic metals such as EGa), AlCl 3 , WF 6 , TiC
l 3, metal halide, etc., such as TaCl 3 and the like. In this case, the additive gas or carrier gas which may be mixed with the Si source gas and introduced is H 2 , H
e, Ne, Ar, Kr, Xe, and Rn.

【0146】Al23 ,AlN,Ta25 ,TiO
2 ,TiN,WO3 などの金属化合物薄膜を形成する場
合の金属原子を含有する原料としては、トリメチルアル
ミニウム(TMAl)、トリエチルアルミニウム(TE
Al)、トリイソブチルアルミニウム(TIBAl)、
ジメチルアルミニウムハイドライド(DMAlH)、タ
ングステンカルボニル(W(CO)6 )、モリブデンカ
ルボニル(Mo(CO)6 ),トリメチルガリウム(T
MGa),トリエチルガリウム(TEGa)などの有機
金属、AlCl3 ,WF6 ,TiCl4 ,TaCl5
どのハロゲン化金属等が挙げられる。また、この場合の
同時に導入する酸素原料ガスまたは窒素原料ガスとして
は、O2 ,O3 ,H2 ,O,NO,N2 O,NO2 ,N
2 ,NH 3 ,N24 、ヘキサメチルジシラザン(HM
DS)などが挙げられる。
AlTwo OThree , AlN, TaTwo OFive , TiO
Two , TiN, WOThree For forming metal compound thin films such as
As a raw material containing a metal atom,
Minium (TMAl), Triethylaluminum (TE
Al), triisobutylaluminum (TIBAl),
Dimethyl aluminum hydride (DMAlH),
Nustene carbonyl (W (CO)6 ), Molybdenum
Rubonil (Mo (CO)6 ), Trimethylgallium (T
Organic such as MGa), triethylgallium (TEGa)
Metal, AlClThree , WF6 , TiClFour , TaClFive What
Any metal halide and the like can be mentioned. Also, in this case
As oxygen source gas or nitrogen source gas introduced at the same time
Is OTwo , OThree , HTwo , O, NO, NTwo O, NOTwo , N
Two , NH Three , NTwo HFour , Hexamethyldisilazane (HM
DS).

【0147】アモーファスカーボン、ダイヤモンドライ
クカーボン、ダイヤモンド等のカーボン膜を形成する場
合には、CH4 、C26 等の炭素含有ガスを、フルオ
ロカーボン膜を形成する場合には、CF4 やC26
のフッ素、炭素含有ガスを用いるとよい。
When a carbon film such as amorphous carbon, diamond-like carbon, or diamond is formed, a carbon-containing gas such as CH 4 or C 2 H 6 is used. When a fluorocarbon film is formed, CF 4 or C 2 is used. fluoride such as 2 F 6, or the use of carbon-containing gas.

【0148】基体表面をエッチングする場合のエッチン
グ用ガスとしては、F2 ,CF4 ,CH22 ,C2
6 ,C48 ,CF2 Cl2 ,SF6 ,NF3 ,Cl
2 ,CCl4 ,CH2 Cl2 ,C2 Cl6 などが挙げら
れる。
As the etching gas for etching the substrate surface, F 2 , CF 4 , CH 2 F 2 , C 2 F
6 , C 4 F 8 , CF 2 Cl 2 , SF 6 , NF 3 , Cl
2 , CCl 4 , CH 2 Cl 2 , C 2 Cl 6 and the like.

【0149】フォトレジストなど基体表面上の有機成分
をアッシング除去する場合アッシング用ガスとしては、
2 ,O3 ,H2 O,N2 ,NO,N2 O,NO2 など
が挙げられる。
In the case where an organic component such as a photoresist is removed by ashing on a substrate surface, an ashing gas is used.
O 2 , O 3 , H 2 O, N 2 , NO, N 2 O, NO 2 and the like can be mentioned.

【0150】また本発明のマイクロ波プラズマ処理装置
及び処理方法を表面改質にも適用する場合、使用するガ
スを適宜選択することにより、例えば基体もしくは表面
層としてSi,Al,Ti,Zn,Taなどを使用して
これら基体もしくは表面層の酸化処理あるいは窒化処理
さらにはB、As、Pなどのドーピング処理等が可能で
ある。更に本発明はクリーニング方法にも適用できる。
その場合酸化物あるいは有機物や重金属などを除去する
クリーニングに使用することもできる。
When the microwave plasma processing apparatus and the processing method of the present invention are applied to surface modification, for example, Si, Al, Ti, Zn, Ta can be used as a substrate or a surface layer by appropriately selecting a gas to be used. For example, an oxidation treatment or a nitridation treatment of these substrates or surface layers, and a doping treatment of B, As, P or the like can be performed. Further, the present invention can be applied to a cleaning method.
In that case, it can be used for cleaning to remove oxides, organic substances, heavy metals, and the like.

【0151】基体を酸化表面処理する場合の酸化性ガス
としては、O2 ,O3 ,H2 O,NO,N2 O,NO2
などが挙げられる。また、基体を窒化表面処理する場合
の窒化性ガスとしては、N2 ,NH3 ,N24 、ヘキ
サメチルジシラザン(HMDS)などが挙げられる。
Oxidizing gases used for oxidizing surface treatment of the substrate include O 2 , O 3 , H 2 O, NO, N 2 O, NO 2
And the like. Further, as the nitriding gas when the substrate is subjected to the nitriding surface treatment, N 2 , NH 3 , N 2 H 4 , hexamethyldisilazane (HMDS) and the like can be mentioned.

【0152】基体表面の有機物をクリーニングする場
合、またはフォトレジストなど基体表面上の有機成分を
アッシング除去する場合のクリーニング/アッシング用
ガスとしては、O2 ,O3 ,H2 O,H2 ,NO,N2
O,NO2 などが挙げられる。また、基体表面の無機物
をクリーニングする場合のクリーニング用ガスとして
は、F2 ,CF4 ,CH22 ,C26 ,C48
CF2 Cl2 ,SF6 ,NF3 などが挙げられる。
O 2 , O 3 , H 2 O, H 2 , NO are used as cleaning / ashing gas for cleaning organic substances on the substrate surface or for ashing removal of organic components such as photoresist on the substrate surface. , N 2
O, NO 2 and the like. Further, as cleaning gases for cleaning inorganic substances on the surface of the substrate, F 2 , CF 4 , CH 2 F 2 , C 2 F 6 , C 4 F 8 ,
CF 2 Cl 2 , SF 6 , NF 3 and the like.

【0153】[0153]

【実施例】(実施例1)本実施例では、図4、図6に示
したような構成の装置を作製してプラズマを発生させ
た。
(Embodiment 1) In this embodiment, an apparatus having a structure as shown in FIGS. 4 and 6 was manufactured to generate plasma.

【0154】アルミニウム製の導電性の部材にマイクロ
波の進行方向に垂直な断面が縦が27mm、横が96m
mの矩形断面であり、周長が路内波長(159mm)の
4倍、即ち直径202mmの円環状の無終端環状導波路
13となる環状溝を形成した。
A section perpendicular to the direction in which microwaves travel is 27 mm long and 96 m wide on a conductive member made of aluminum.
An annular groove having a rectangular cross section of m and a circumference of four times the in-path wavelength (159 mm), that is, an annular endless annular waveguide 13 having a diameter of 202 mm was formed.

【0155】導電性の平板に路内波長の2分の1間隔と
なるように長さ40mm、幅4mmの矩形スロット直線
上に2つ並べた組を8組形成し、図6のようなアルミニ
ウム製スロット付平板23を作製した。
Eight sets of two pairs arranged on a rectangular flat plate having a length of 40 mm and a width of 4 mm are formed on a conductive flat plate so as to have an interval of a half of the wavelength in the road. A slotted flat plate 23 was produced.

【0156】導電性の部材とスロット付平板とを組み合
わせて図4に示すようなマイクロ波供給器を作製した。
A microwave supplier as shown in FIG. 4 was manufactured by combining a conductive member and a flat plate with slots.

【0157】窒化アルミニウムからなるセラミック焼結
体を加工して窒化アルミニウムの円盤を形成し、その表
面に厚さ69nmの酸化アルミニウム層でコートし、更
にその表面に厚さ84nmのフッ化マグネシウム層をコ
ートした。この積層体の有効波長範囲は吸収率は425
nm〜515nmで、平均反射率は97%であった。こ
れを誘電体窓4に用いた。
A ceramic sintered body made of aluminum nitride was processed to form an aluminum nitride disk, the surface of which was coated with a 69 nm-thick aluminum oxide layer, and further a 84 nm-thick magnesium fluoride layer was coated on the surface. Coated. In the effective wavelength range of this laminate, the absorption is 425.
From nm to 515 nm, the average reflectance was 97%. This was used for the dielectric window 4.

【0158】実験の為、空間9内にプローブを配置し、
空間9内を排気後、ガス供給路7よりアルゴンガスを1
00sccm導入した。
For the experiment, a probe was placed in the space 9;
After exhausting the space 9, 1 argon gas is supplied from the gas supply path 7.
00 sccm was introduced.

【0159】排気系のコンダクタンスバルブとガス供給
系のマスフローコントローラーを調整し、空間9内の圧
力を1.33Paに維持した。
The pressure in the space 9 was maintained at 1.33 Pa by adjusting the conductance valve of the exhaust system and the mass flow controller of the gas supply system.

【0160】2.45GHz、3.0kWマイクロ波を
4Eチューナー、方向性結合器、アイソレータを介して
導波管5よりマイクロ波供給器3にTE10モードで導入
した。
A microwave of 2.45 GHz and 3.0 kW was introduced into the microwave supplier 3 from the waveguide 5 through the 4E tuner, the directional coupler and the isolator in the TE 10 mode.

【0161】スキャニング可能なラングミュアプローブ
を用いて電子密度を測定した。放電開始時60分経過後
のいずれにおいても電子密度は2.5×1012/cm3
であった。
The electron density was measured using a Langmuir probe capable of scanning. After 60 minutes from the start of the discharge, the electron density was 2.5 × 10 12 / cm 3.
Met.

【0162】(実施例2)マイクロ波透過窓4として、
窒化アルミニウムからなるセラミック焼結体の円盤の表
面に酸化アルミニウムの層とフッ化マグネシウムの層
を、スパッタリングにより、層厚を徐々に増加させつ
つ、交互に計16層形成した。
(Example 2) As the microwave transmitting window 4,
A total of 16 layers of aluminum oxide and magnesium fluoride were alternately formed on the surface of the disk of the ceramic sintered body made of aluminum nitride by sputtering while gradually increasing the layer thickness.

【0163】酸化アルミニウムは厚さを67nmから8
1nmまで増加させた。フッ化マグネシウムは厚さを8
2nmから99nmまで増加させた。
Aluminum oxide has a thickness of 67 nm to 8
Increased to 1 nm. Magnesium fluoride has a thickness of 8
Increased from 2 nm to 99 nm.

【0164】この積層体の有効波長範囲は400nm〜
600nmであり平均反射率は91%であった。そし
て、実施例1と同じく図4、図6に示すようなプラズマ
処理装置を用意した。
The effective wavelength range of this laminate is 400 nm to
It was 600 nm and the average reflectance was 91%. Then, a plasma processing apparatus as shown in FIGS. 4 and 6 was prepared as in Example 1.

【0165】実施例1と同様に、放電開始直後と開始か
ら60分経過後の電子密度を測定したところ、いずれも
2.2×1012/cm3であった。
As in Example 1, the electron density was measured immediately after the start of the discharge and 60 minutes after the start of the discharge, and was found to be 2.2 × 10 12 / cm 3 .

【0166】(実施例3)図1に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にてフォトレジスト
のアッシングを行った。マイクロ波透過窓としては、実
施例1で用いたものと同じ構成のものを用いた。
Example 3 Using the microwave plasma processing apparatus shown in FIG. 1, ashing of a photoresist was performed according to the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 1 was used.

【0167】被処理体Wとしては、フォトレジストパタ
ーン下の酸化シリコンからなる絶縁膜をエッチングし、
ビアホールを形成し直後のシリコン基板(直径300m
m)を使用した。まず、シリコン基板を保持手段2上に
設置した後、200℃まで加熱し、排気系を介して容器
1内を排気し、1.33×10-3Paまで減圧させた。
処理用ガス供給口17を介して酸素ガスを2slmの流
量で容器1内に導入した。ついで、排気系に設けられた
コンダクタンスバルブ28を調整し、容器1内を133
Paに保持した。容器1内に、マイクロ波電源6より
2.5kW、2.45GHzの電力をマイクロ波供給器
3を介して供給した。かくして、空間9内にプラズマを
発生させた。この際、処理用ガス供給口17を介して導
入された酸素ガスは空間9内でオゾンとなり、シリコン
基板Wの方向に輸送され、基板W上のフォトレジストを
酸化し、フォトレジストは気化し、除去された。アッシ
ング後、アッシング速度と基板表面電荷密度などについ
て評価した。
As the object to be processed W, an insulating film made of silicon oxide under the photoresist pattern is etched,
Immediately after forming a via hole, a silicon substrate (300 m in diameter)
m) was used. First, after the silicon substrate was placed on the holding means 2, it was heated to 200 ° C., the inside of the container 1 was evacuated through the exhaust system, and the pressure was reduced to 1.33 × 10 −3 Pa.
Oxygen gas was introduced into the container 1 through the processing gas supply port 17 at a flow rate of 2 slm. Next, the conductance valve 28 provided in the exhaust system was adjusted, and 133
It was kept at Pa. 2.5 kW, 2.45 GHz power was supplied from the microwave power supply 6 to the container 1 via the microwave supply device 3. Thus, plasma was generated in the space 9. At this time, the oxygen gas introduced through the processing gas supply port 17 becomes ozone in the space 9 and is transported in the direction of the silicon substrate W, oxidizes the photoresist on the substrate W, and vaporizes the photoresist, Removed. After the ashing, the ashing speed and the substrate surface charge density were evaluated.

【0168】得られたアッシング速度及び均一性は極め
て良好で6.3μm/min(ロット間に差異なし)で
あった。表面電荷密度は0.5×1011/cm2 と充分
低い値を示した。
The ashing speed and uniformity obtained were very good, 6.3 μm / min (no difference between lots). The surface charge density showed a sufficiently low value of 0.5 × 10 11 / cm 2 .

【0169】(実施例4)図1に示したマイクロ波プラ
ズマ処理装置を使用し、フォトレジストのアッシングを
行った。
Example 4 Photoresist ashing was performed using the microwave plasma processing apparatus shown in FIG.

【0170】用いたマイクロ波透過窓は実施例2で用い
たものと同じ構成のものであり、被処理体や処理方法は
は上記実施例3と同じものとした。
The microwave transmitting window used had the same configuration as that used in Example 2, and the object to be processed and the processing method were the same as those in Example 3 above.

【0171】得られたアッシング速度及び均一性は、
8.6μm/min(ロット間に差異なし)であった。
表面電荷密度は、1.2×1011/cm2 と充分低い値
を示した。
The ashing speed and uniformity obtained are:
It was 8.6 μm / min (no difference between lots).
The surface charge density showed a sufficiently low value of 1.2 × 10 11 / cm 2 .

【0172】(実施例5)図4に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にて半導体素子保護
用窒化シリコン膜の形成を行った。マイクロ波透過窓と
しては実施例1で用いたものと同じ構成のものを用い
た。
(Example 5) Using the microwave plasma processing apparatus shown in FIG. 4, a silicon nitride film for protecting a semiconductor element was formed in the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 1 was used.

【0173】被処理体Wとしては、ラインアンドスペー
スがそれぞれ0.5μmのAl配線パターンが形成され
た酸化シリコンからなる絶縁膜付きP型単結晶シリコン
基板(面方位〈100〉、抵抗率10Ωcm、直径30
0mm)を使用した。まず、シリコン基板を保持手段2
上に設置した後、排気系を介して容器1内を排気し、
1.33×10-5Paの値まで減圧させた。続いて保持
手段2に付設したヒータ(不図示)に通電し、シリコン
基板を300℃に加熱し、保持した。処理用ガス供給口
17を介して窒素ガスを600sccmの流量で、又、
モノシランガスを200sccmの流量で容器1内に導
入した。ついで、排気系に設けられたコンダクタンスバ
ルブ28を調整し、容器1内を2.66Paに保持し
た。ついで、マイクロ波電源6より3.0kW、2.4
5GHzの電力をTE10モードでマイクロ波供給器3を
介して供給した。かくして、空間9内にプラズマを発生
させた。この際、処理用ガス供給口17を介して導入さ
れた窒素ガス空間9内で励起、解離、イオン化されて活
性種となり、シリコン基板の方向に輸送され、モノシラ
ンガスと反応し、窒化シリコン膜がシリコン基板上に
1.0μmの厚さで形成された。成膜速度及び応力など
の膜質について評価した。応力は成膜前後の基板の反り
量の変化をレーザ干渉計Zygo(商品名)で測定し求
めた。
As the object to be processed W, a P-type single crystal silicon substrate with an insulating film made of silicon oxide on which an Al wiring pattern having a line and space of 0.5 μm is formed (plane orientation <100>, resistivity 10 Ωcm, Diameter 30
0 mm). First, the silicon substrate is held by holding means 2
After installing on top, exhaust the inside of the container 1 through the exhaust system,
The pressure was reduced to a value of 1.33 × 10 −5 Pa. Subsequently, a heater (not shown) attached to the holding means 2 was energized, and the silicon substrate was heated to 300 ° C. and held. Nitrogen gas is supplied at a flow rate of 600 sccm through the processing gas supply port 17 and
Monosilane gas was introduced into the container 1 at a flow rate of 200 sccm. Next, the conductance valve 28 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 2.66 Pa. Then, 3.0 kW, 2.4 kW from the microwave power source 6.
Power of 5 GHz was supplied via the microwave supplier 3 in TE 10 mode. Thus, plasma was generated in the space 9. At this time, it is excited, dissociated, and ionized in the nitrogen gas space 9 introduced through the processing gas supply port 17 to become an active species, transported in the direction of the silicon substrate, reacts with the monosilane gas, and converts the silicon nitride film into silicon. It was formed with a thickness of 1.0 μm on the substrate. The film quality such as film forming speed and stress was evaluated. The stress was determined by measuring the change in the amount of warpage of the substrate before and after film formation using a laser interferometer Zygo (trade name).

【0174】得られた窒化シリコン膜の成膜速度は、6
20nm/min(ロット間で差異なし)であった。応
力は1.1×109 dyne/cm2 (圧縮)、リーク
電流は1.2×10-10 A/cm2 、絶縁耐圧は10.
3MV/cmであり、極めて良質な膜であることが確認
された。
The rate of film formation of the obtained silicon nitride film is 6
20 nm / min (no difference between lots). The stress is 1.1 × 10 9 dyne / cm 2 (compression), the leak current is 1.2 × 10 −10 A / cm 2 , and the withstand voltage is 10.
It was 3 MV / cm, and it was confirmed that the film was extremely high quality.

【0175】(実施例6)図4に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にてプラスチックレ
ンズ防止用酸化シリコン膜及び窒化シリコン膜の形成を
行った。
(Example 6) Using the microwave plasma processing apparatus shown in FIG. 4, a silicon oxide film and a silicon nitride film for preventing plastic lenses were formed in the following procedure.

【0176】マイクロ波透過窓としては実施例2で用い
たものと同じ構成のものを用いた。
As the microwave transmitting window, a window having the same configuration as that used in Example 2 was used.

【0177】被処理体Wとしては、直径50mmプラス
チック凸レンズを使用した。レンズを保持手段2上に設
置した後、排気系を介して容器1内を排気し、1.33
×10-5Paの値まで減圧させた。処理用ガス供給口1
7を介して窒素ガスを150sccmの流量で、又、モ
ノシランガスを100sccmの流量で容器1内に導入
した。ついで、排気系に設けられたコンダクタンスバル
ブ8を調整し、容器1内を6.65×10-1Paに保持
した。ついで、マイクロ波電源6より3.0kW、2.
45GHzの電力をTE10モードでマイクロ波供給器3
を介して容器1内に供給した。かくして、空間9内にプ
ラズマを発生させた。この際、処理用ガス供給口17を
介して導入された窒素ガスは、空間9内で励起、解離、
イオン化されて窒素原子などの活性種となり、レンズの
方向に輸送され、モノシランガスと反応し、窒化シリコ
ン膜がレンズの表面上に20nmの厚さで形成された。
As the object to be processed W, a plastic convex lens having a diameter of 50 mm was used. After placing the lens on the holding means 2, the inside of the container 1 is evacuated through the exhaust system, and 1.33 is set.
The pressure was reduced to a value of × 10 −5 Pa. Processing gas supply port 1
7 and a monosilane gas were introduced into the vessel 1 at a flow rate of 150 sccm and a monosilane gas at a flow rate of 100 sccm. Next, the conductance valve 8 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 6.65 × 10 −1 Pa. Then, 3.0 kW from the microwave power source 6;
Microwave supplier 3 in 45 GHz power in TE 10 mode
And supplied into the container 1 through Thus, plasma was generated in the space 9. At this time, the nitrogen gas introduced through the processing gas supply port 17 excites, dissociates,
It was ionized to become active species such as nitrogen atoms, transported in the direction of the lens, reacted with monosilane gas, and formed a silicon nitride film with a thickness of 20 nm on the surface of the lens.

【0178】次に、処理用ガス供給口17を介して酸素
ガスを200sccmの流量で、又、モノシランガスを
100sccmの流量で容器1内に導入した。ついで、
排気系に設けられたコンダクタンスバルブ8を調整し、
容器1内を1.33×10-1Paに保持した。ついで、
マイクロ波電源6より2.0kW、2.45GHzの電
力をマイクロ波供給器3を介して容器1内に供給した。
かくして、空間9にプラズマを発生させた。この際、導
入された酸素ガスは、空間9で励起、分解されて酸素原
子などの活性種となり、レンズの方向に輸送され、モノ
シランガスと反応し、酸化シリコン膜がレンズ上に85
nmの厚さで形成された。成膜速度、反射特性について
評価した。
Next, oxygen gas and monosilane gas were introduced into the vessel 1 through the processing gas supply port 17 at a flow rate of 200 sccm and a monosilane gas at a flow rate of 100 sccm. Then
Adjust the conductance valve 8 provided in the exhaust system,
The inside of the container 1 was kept at 1.33 × 10 −1 Pa. Then
A power of 2.0 kW and 2.45 GHz was supplied from the microwave power source 6 into the container 1 via the microwave supplier 3.
Thus, plasma was generated in the space 9. At this time, the introduced oxygen gas is excited and decomposed in the space 9 to become active species such as oxygen atoms, is transported in the direction of the lens, reacts with the monosilane gas, and forms a silicon oxide film on the lens.
It was formed with a thickness of nm. The film forming speed and the reflection characteristics were evaluated.

【0179】得られた窒化シリコン膜及び酸化シリコン
膜の成膜速度はそれぞれ370nm/min(ロット間
に差異なし)400nm/minであった。又、500
nm付近の反射率が0.17%であり、極めて良好な光
学特性であることが確認された。
The deposition rates of the obtained silicon nitride film and silicon oxide film were 370 nm / min (no difference between lots), 400 nm / min. Also, 500
The reflectance in the vicinity of nm was 0.17%, and it was confirmed that the optical characteristics were very good.

【0180】(実施例7)図4に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にて半導体素子の層
間絶縁膜の形成を行った。マイクロ波透過窓としては、
実施例1で用いたものと同じ構成のものを用いた。
(Example 7) Using the microwave plasma processing apparatus shown in FIG. 4, an interlayer insulating film of a semiconductor element was formed in the following procedure. As a microwave transmission window,
The same configuration as that used in Example 1 was used.

【0181】被処理体Wとしては、最上部にラインアン
ドスペース0.5μmのAlパターンが形成されたP型
単結晶シリコン基板(面方位〈100〉、抵抗率10Ω
cm,直径300mm)を使用した。このシリコン基板
を保持手段上に設置した。排気系を介して容器1内を真
空排気し、1.33×10-5Paまで減圧させた。続い
て保持手段に付設したヒータに通電し、シリコン基板を
300℃に加熱し、保持した。処理用ガス供給口17を
介して酸素ガスを500sccmの流量で、又、モノシ
ランガスを200sccmの流量で容器1内に導入し
た。ついで、排気系に設けられたコンダクタンスバルブ
8を調整し、容器1内を4.00Paに保持した。つい
で、保持手段に付設したバイアス電圧印加手段を介して
300W、400KHzの高周波の電力を保持手段2に
印加するとともに、マイクロ波電源6より2.0kW、
2.45GHzの電力をTE10モードでマイクロ波供給
管3を介して容器1内に供給した。かくして、空間9に
プラズマを発生させた。処理用ガス供給口17を介して
導入された酸素ガスは空間9で励起、分解されて活性種
となり、シリコン基板の方向に輸送され、モノシランガ
スと反応し、酸化シリコン膜がシリコン基板上に0.8
μmの厚さで形成された。この時、イオン種はRFバイ
アスにより加速されて基板に入射しAlパターンの上の
酸化シリコン膜を削り平坦性を向上させる。そして、成
膜速度、均一性、絶縁耐圧、及び段差被覆性について評
価した。段差被覆性は、Alパターン上に成膜した酸化
シリコン膜の断面を走査型電子顕微鏡(SEM)で観測
し、ボイドを観測することにより評価した。
As the object to be processed W, a P-type single-crystal silicon substrate having a line and space 0.5 μm Al pattern formed on the uppermost portion (plane orientation <100>, resistivity 10 Ω)
cm, diameter 300 mm). This silicon substrate was set on the holding means. The inside of the container 1 was evacuated through an evacuation system to reduce the pressure to 1.33 × 10 −5 Pa. Subsequently, electricity was supplied to a heater attached to the holding means, and the silicon substrate was heated to 300 ° C. and held. Oxygen gas was introduced into the vessel 1 at a flow rate of 500 sccm and monosilane gas at a flow rate of 200 sccm through the processing gas supply port 17. Next, the conductance valve 8 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 4.00 Pa. Next, high-frequency power of 300 W, 400 KHz is applied to the holding means 2 through a bias voltage applying means attached to the holding means, and 2.0 kW from the microwave power source 6 is applied.
2.45 GHz power was supplied into the container 1 via the microwave supply pipe 3 in the TE 10 mode. Thus, plasma was generated in the space 9. Oxygen gas introduced through the processing gas supply port 17 is excited and decomposed in the space 9 to become active species, is transported in the direction of the silicon substrate, reacts with monosilane gas, and forms a silicon oxide film on the silicon substrate. 8
It was formed with a thickness of μm. At this time, the ion species are accelerated by the RF bias and are incident on the substrate to cut the silicon oxide film on the Al pattern to improve the flatness. Then, the film forming speed, uniformity, withstand voltage, and step coverage were evaluated. The step coverage was evaluated by observing a cross section of the silicon oxide film formed on the Al pattern with a scanning electron microscope (SEM) and observing voids.

【0182】得られた酸化シリコン膜の成膜速度は31
0nm/min(ロット間に差異なし)であった。絶縁
耐圧は9.1MV/cm、ボイドフリーであって良質な
膜であることが確認された。
The rate of film formation of the obtained silicon oxide film was 31.
0 nm / min (no difference between lots). The withstand voltage was 9.1 MV / cm, and it was confirmed that the film was void-free and was of good quality.

【0183】(実施例8)図4に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にて半導体素子の層
間絶縁膜のエッチングを行った。マイクロ波透過窓とし
ては、実施例2で用いたものと同じ構成のものを用い
た。
Example 8 Using the microwave plasma processing apparatus shown in FIG. 4, an interlayer insulating film of a semiconductor element was etched according to the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 2 was used.

【0184】被処理体Wとして、ラインアンドスペース
0.35μmのAlパターン上に1μm厚の酸化シリコ
ンからなる絶縁膜及びその上にホストレジストパターン
が形成されたP型単結晶シリコン基板(面方位〈10
0〉、抵抗率10Ωcm、直径300mm)を使用し
た。まず、シリコン基板を保持手段2上に設置した後、
排気系を介して容器1内を排気し、1.33×10-5
aまで減圧させた。処理用ガス供給口17を介してC4
8 とArとO2との体積比2:3:1の混合ガスを3
00sccmの流量で容器1内に導入した。ついで、排
気系に設けられたコンダクタンスバルブ8を調整し、容
器1内を6.65×10-1Paの圧力に保持した。つい
で、保持手段に付設したバイアス電圧印加手段を介して
300W、400KHzの高周波の電力を保持手段2に
印加するとともに、マイクロ波電源より2.0kW、
2.45GHzの電力を、TE10モードでマイクロ波供
給器3を介して容器1内に供給した。かくして、空間9
にプラズマを発生させた。処理用ガス供給口17を介し
て容器1内に導入されたC48 ガスは空間9で励起、
分解されて活性種となり、シリコン基板の方向に輸送さ
れ、自己バイアスによって加速されたイオンによって酸
化シリコンからなる絶縁膜がエッチングされホールが形
成された。保持手段2に付設されたクーラ(不図示)に
より基板温度は90℃までしか上昇しなかった。エッチ
ング後、エッチング速度、選択比、及びエッチング形状
について評価した。エッチング形状は、エッチングされ
た酸化シリコン膜の断面を走査型電子顕微鏡(SEM)
で観測し、評価した。
As an object to be processed W, a P-type single-crystal silicon substrate (plane orientation < 10
0>, resistivity 10 Ωcm, diameter 300 mm). First, after placing the silicon substrate on the holding means 2,
The inside of the container 1 is evacuated through the evacuation system, and 1.33 × 10 −5 P
The pressure was reduced to a. C 4 through the processing gas supply port 17
A mixed gas of F 8 , Ar and O 2 at a volume ratio of 2: 3: 1 was mixed with 3
It was introduced into the container 1 at a flow rate of 00 sccm. Next, the conductance valve 8 provided in the exhaust system was adjusted, and the inside of the container 1 was maintained at a pressure of 6.65 × 10 −1 Pa. Next, high-frequency power of 300 W, 400 KHz is applied to the holding means 2 via a bias voltage applying means attached to the holding means, and 2.0 kW from the microwave power supply.
2.45 GHz power was supplied into the container 1 via the microwave supplier 3 in the TE 10 mode. Thus, space 9
A plasma was generated. The C 4 F 8 gas introduced into the container 1 through the processing gas supply port 17 is excited in the space 9,
Decomposed into active species, transported in the direction of the silicon substrate, and the ions accelerated by the self-bias were used to etch the insulating film made of silicon oxide to form holes. The substrate temperature rose only to 90 ° C. by a cooler (not shown) attached to the holding means 2. After the etching, the etching rate, the selectivity, and the etching shape were evaluated. The etched shape is obtained by scanning the cross section of the etched silicon oxide film with a scanning electron microscope (SEM).
Observed and evaluated.

【0185】エッチング速度と対ポリシリコン選択比は
それぞれ、720nm/min(ロット間に差異な
し)、20であった。ホールはほぼ垂直な側面を呈して
おり、マイクロローティング効果も少ないことが確認さ
れた。
The etching rate and the selectivity to polysilicon were 720 nm / min (no difference between lots) and 20, respectively. The hole had a substantially vertical side surface, and it was confirmed that the micro-rotating effect was small.

【0186】(実施例9)図4に示したマイクロ波プラ
ズマ処理装置を使用し、以下の手順にて半導体素子ゲー
ト電極間ポリシリコン膜のエッチングを行った。マイク
ロ波透過窓としては実施例1で用いたものと同じ構成の
ものを用いた。
Embodiment 9 Using the microwave plasma processing apparatus shown in FIG. 4, the polysilicon film between the gate electrodes of the semiconductor elements was etched by the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 1 was used.

【0187】被処理体Wとしては、最上部にポリシリコ
ン膜が形成されたP型単結晶シリコンウエハ(面方向
〈100〉、抵抗率10Ωcm、直径300mm)を用
意した。まず、シリコンウエハを保持手段2上に設置し
た後、容器1内を真空排気し、約1.33×10-5Pa
まで減圧した。CF4 ガスを300sccm、酸素を2
0sccmの流量で容器1内に導入し、容器1内を約
0.27Paの圧力に保持した。ついで、400kH
z、300Wの高周波電力を保持手段2に印加するとと
もに、2.45GHz、2.0kWのマイクロ波電力
を、TE10モードでマイクロ波供給器3を介して容器1内
に供給した。かくして、容器1内にプラズマを発生させ
た。導入されたCF4 ガス及び酸素は容器1内で励起、
解離、イオン化されて活性種となり、シリコンウエハの
方向に輸送され、自己バイアスによって加速されたイオ
ンによりポリシリコン膜がエッチングされる。処理時に
は、(不図示)により、基板温度は80℃までしか上昇
しなかった。エッチング時のエッチング速度、エッチン
グ選択比、及びエッチング形状について評価した。エッ
チング形状は、エッチングされたポリシリコン膜の断面
を走査型電子顕微鏡(SEM)で観測し、評価した。
As the workpiece W, a P-type single-crystal silicon wafer (plane direction <100>, resistivity 10 Ωcm, diameter 300 mm) having a polysilicon film formed on the top was prepared. First, after the silicon wafer is placed on the holding means 2, the inside of the container 1 is evacuated to about 1.33 × 10 −5 Pa
The pressure was reduced to 300 sccm of CF 4 gas and 2 of oxygen
It was introduced into the container 1 at a flow rate of 0 sccm, and the inside of the container 1 was maintained at a pressure of about 0.27 Pa. Then, 400kHz
z, applies a high frequency power of 300W to the holding means 2, 2.45 GHz, a microwave power of 2.0 kW, were fed into the container 1 through the microwave applicator 3 in TE 10 mode. Thus, plasma was generated in the container 1. The introduced CF 4 gas and oxygen are excited in the vessel 1,
The polysilicon film is dissociated and ionized to become active species, transported toward the silicon wafer, and etched by the ions accelerated by the self-bias. During processing, the substrate temperature rose only to 80 ° C. due to (not shown). The etching rate, etching selectivity, and etching shape during etching were evaluated. The etched shape was evaluated by observing the cross section of the etched polysilicon film with a scanning electron microscope (SEM).

【0188】エッチング速度と対SiO2 選択比はそれ
ぞれ850nm/min(ロット間に差異なし)、24
と良好で、エッチング形状も垂直で、マイクロローディ
ング効果も少ないことが確認された。
The etching rate and the selectivity to SiO 2 were 850 nm / min (no difference between lots) and 24, respectively.
It was confirmed that the etching shape was vertical and the microloading effect was small.

【0189】(実施例10)本実施例では、図4、図6
に示したような構成の装置を作製してプラズマを発生さ
せた。
(Embodiment 10) In this embodiment, FIGS.
The apparatus having the configuration shown in FIG.

【0190】アルミニウム製の導電性の部材にマイクロ
波の進行方向に垂直な断面が縦が27mm、横が96m
mの矩形断面であり、周長が路内波長(159mm)の
4倍、即ち直径202mmの円環状の無終端環状導波路
13となる環状溝を形成した。
A cross section perpendicular to the direction of microwave propagation is 27 mm long and 96 m wide on a conductive member made of aluminum.
An annular groove having a rectangular cross section of m and a circumference of four times the in-path wavelength (159 mm), that is, an annular endless annular waveguide 13 having a diameter of 202 mm was formed.

【0191】導電性の平板に路内波長の2分の1間隔と
なるように長さ40mm、幅4mmの矩形スロット直線
上に2つ並べた組を8組形成し、図6のようなアルミニ
ウム製スロット付平板23を作製した。
Eight sets of two sets are arranged on a conductive flat plate on a rectangular slot straight line having a length of 40 mm and a width of 4 mm so as to have an interval of a half of the wavelength in the road. A slotted flat plate 23 was produced.

【0192】導電性の部材とスロット付平板とを組み合
わせて図4に示すようなマイクロ波供給器を作製した。
A microwave supplier as shown in FIG. 4 was manufactured by combining a conductive member and a flat plate with slots.

【0193】窒化アルミニウムからなるセラミック焼結
体を加工して窒化アルミニウムの円盤を形成し、その表
面を厚さ540nmの非晶質シリコン膜でコートし、更
にその表面に厚さ480nmの酸化アルミニウム膜をコ
ートした。この積層体の吸収率は440nm〜500n
mの範囲で平均93%であった。これを誘電体窓4に用
いた。
A ceramic sintered body made of aluminum nitride was processed to form an aluminum nitride disk, the surface of which was coated with an amorphous silicon film having a thickness of 540 nm, and the surface thereof was further coated with an aluminum oxide film having a thickness of 480 nm. Was coated. The absorptance of this laminate is 440 nm to 500 n.
The average was 93% in the range of m. This was used for the dielectric window 4.

【0194】実験の為、空間9内にプローブを配置し、
空間9内を排気後、ガス供給路7よりアルゴンガスを1
00sccm導入した。
For the experiment, a probe was placed in the space 9;
After exhausting the space 9, 1 argon gas is supplied from the gas supply path 7.
00 sccm was introduced.

【0195】排気系のコンダクタンスバルブとガス供給
系のマスフローコントローラーを調整し、空間9内の圧
力を1.33Paに維持した。
The pressure in the space 9 was maintained at 1.33 Pa by adjusting the conductance valve of the exhaust system and the mass flow controller of the gas supply system.

【0196】2.45GHz、3.0kWマイクロ波を
4Eチューナー、方向性結合器、アイソレータを介して
導波管5よりマイクロ波供給器3にTE10モードで導入
した。
[0196] 2.45 GHz, 4E tuner 3.0kW microwave directional coupler, introduced in TE 10 mode than waveguide 5 via the isolator microwave applicator 3.

【0197】スキャニング可能なラングミュアプローブ
を用いて電子密度を測定した。放電開始時60分経過後
のいずれにおいても電子密度は2.3×1012/cm3
であった。
The electron density was measured using a scantable Langmuir probe. The electron density was 2.3 × 10 12 / cm 3 every 60 minutes after the start of discharge.
Met.

【0198】(実施例11)マイクロ波透過窓4とし
て、窒化アルミニウムからなるセラミック焼結体の円盤
の表面にシランに少量の窒素(アンモニアでもよい)を
添加してPCVDで形成した厚さ720nmのSiリッ
チのSiN膜、即ち化学量論比で決まるSiの量より多
いSi原子を含む窒化シリコン膜SixNと、スパッタ
リングにより成膜した厚さ360nmの酸化アルミニウ
ム膜とを形成したものを用いた。この積層体の吸収率は
440nm〜500nmの範囲で平均86%であった。
そして、実施例10と同じく図4、図6に示すようなプ
ラズマ処理装置を用意した。
(Example 11) As a microwave transmission window 4, a 720 nm-thick layer formed by adding a small amount of nitrogen (or ammonia) to silane and subjecting it to the surface of a disk of a ceramic sintered body made of aluminum nitride by PCVD. A Si-rich SiN film, that is, a silicon nitride film SixN containing more Si atoms than the amount of Si determined by the stoichiometric ratio, and a 360-nm-thick aluminum oxide film formed by sputtering were used. The average absorptance of this laminate was 86% in the range of 440 nm to 500 nm.
Then, a plasma processing apparatus as shown in FIGS.

【0199】実施例1と同様に、放電開始直後と開始か
ら60分経過後の電子密度を測定したところ、いずれも
2.2×1012/cm3であった。
As in Example 1, the electron density was measured immediately after the start of the discharge and 60 minutes after the start of the discharge, and was found to be 2.2 × 10 12 / cm 3 .

【0200】(実施例12)図1に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にてフォトレジス
トのアッシングを行った。マイクロ波透過窓としては、
実施例10で用いたものと同じ構成のものを用いた。
Example 12 Using the microwave plasma processing apparatus shown in FIG. 1, ashing of a photoresist was performed in the following procedure. As a microwave transmission window,
The same configuration as that used in Example 10 was used.

【0201】被処理体Wとしては、フォトレジストパタ
ーン下の酸化シリコンからなる絶縁膜をエッチングし、
ビアホールを形成し直後のシリコン基板(直径300m
m)を使用した。まず、シリコン基板を保持手段2上に
設置した後、200℃まで加熱し、排気系を介して容器
1内を排気し、1.33×10-3Paまで減圧させた。
処理用ガス供給口17を介して酸素ガスを2slmの流
量で容器1内に導入した。ついで、排気系に設けられた
コンダクタンスバルブ28を調整し、容器1内を133
Paに保持した。容器1内に、マイクロ波電源6より
2.5kW、2.45GHzの電力を、TE10モードでマ
イクロ波供給器3を介して供給した。かくして、空間9
内にプラズマを発生させた。この際、処理用ガス供給口
17を介して導入された酸素ガスは空間9内でオゾンと
なり、シリコン基板Wの方向に輸送され、基板W上のフ
ォトレジストを酸化し、フォトレジストは気化し、除去
された。アッシング後、アッシング速度と基板表面電荷
密度などについて評価した。
As the object to be processed W, an insulating film made of silicon oxide under the photoresist pattern is etched,
Immediately after forming a via hole, a silicon substrate (300 m in diameter)
m) was used. First, after the silicon substrate was placed on the holding means 2, it was heated to 200 ° C., the inside of the container 1 was evacuated through the exhaust system, and the pressure was reduced to 1.33 × 10 −3 Pa.
Oxygen gas was introduced into the container 1 through the processing gas supply port 17 at a flow rate of 2 slm. Next, the conductance valve 28 provided in the exhaust system was adjusted, and 133
It was kept at Pa. 2.5 kW, 2.45 GHz power from the microwave power source 6 was supplied into the container 1 via the microwave supplier 3 in the TE 10 mode. Thus, space 9
A plasma was generated inside. At this time, the oxygen gas introduced through the processing gas supply port 17 becomes ozone in the space 9 and is transported in the direction of the silicon substrate W, oxidizes the photoresist on the substrate W, and vaporizes the photoresist, Removed. After the ashing, the ashing speed and the substrate surface charge density were evaluated.

【0202】得られたアッシング速度及び均一性は極め
て良好で6.5μm/min(ロット間に差異なし)で
あった。表面電荷密度は0.5×1011/cm2 と充分
低い値を示した。
The obtained ashing speed and uniformity were extremely good and were 6.5 μm / min (no difference between lots). The surface charge density showed a sufficiently low value of 0.5 × 10 11 / cm 2 .

【0203】(実施例13)図1に示したマイクロ波プ
ラズマ処理装置を使用し、フォトレジストのアッシング
を行った。
Example 13 Ashing of a photoresist was performed using the microwave plasma processing apparatus shown in FIG.

【0204】用いたマイクロ波透過窓は実施例11で用
いたものと同じ構成のものであり、被処理体や処理方法
はは上記実施例12と同じものとした。
The microwave transmitting window used had the same structure as that used in Example 11, and the object to be processed and the processing method were the same as those in Example 12.

【0205】得られたアッシング速度及び均一性は、
8.9μm/min(ロット間に差異なし)であった。
表面電荷密度は、1.2×1011/cm2 と充分低い値
を示した。
The obtained ashing speed and uniformity are as follows:
It was 8.9 μm / min (no difference between lots).
The surface charge density showed a sufficiently low value of 1.2 × 10 11 / cm 2 .

【0206】(実施例14)図3に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にて半導体素子保
護用窒化シリコン膜の形成を行った。マイクロ波透過窓
としては実施例10で用いたものと同じ構成のものを用
いた。
Example 14 Using the microwave plasma processing apparatus shown in FIG. 3, a silicon nitride film for protecting a semiconductor element was formed in the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 10 was used.

【0207】被処理体Wとしては、ラインアンドスペー
スがそれぞれ0.5μmのAl配線パターンが形成され
た酸化シリコンからなる絶縁膜付きP型単結晶シリコン
基板(面方位〈100〉、抵抗率10Ωcm、直径30
0mm)を使用した。まず、シリコン基板を保持手段2
上に設置した後、排気系を介して容器1内を排気し、
1.33×10-5Paの値まで減圧させた。続いて保持
手段2に付設したヒータ(不図示)に通電し、シリコン
基板を300℃に加熱し、保持した。処理用ガス供給口
17を介して窒素ガスを600sccmの流量で、又、
モノシランガスを200sccmの流量で容器1内に導
入した。ついで、排気系に設けられたコンダクタンスバ
ルブ28を調整し、容器1内を2.66Paに保持し
た。ついで、マイクロ波電源6より3.0kW、2.4
5GHzの電力をTE10モードでマイクロ波供給器3を介
して供給した。かくして、空間9内にプラズマを発生さ
せた。この際、処理用ガス供給口17を介して導入され
た窒素ガス空間9内で励起、解離、イオン化されて活性
種となり、シリコン基板の方向に輸送され、モノシラン
ガスと反応し、窒化シリコン膜がシリコン基板上に1.
0μmの厚さで形成された。成膜速度及び応力などの膜
質について評価した。応力は成膜前後の基板の反り量の
変化をレーザ干渉計Zygo(商品名)で測定し求め
た。
As the object to be processed W, a P-type single crystal silicon substrate with an insulating film made of silicon oxide on which an Al wiring pattern having a line and space of 0.5 μm is formed (plane orientation <100>, resistivity 10 Ωcm, Diameter 30
0 mm). First, the silicon substrate is held by holding means 2
After installing on top, exhaust the inside of the container 1 through the exhaust system,
The pressure was reduced to a value of 1.33 × 10 −5 Pa. Subsequently, a heater (not shown) attached to the holding means 2 was energized, and the silicon substrate was heated to 300 ° C. and held. Nitrogen gas is supplied at a flow rate of 600 sccm through the processing gas supply port 17 and
Monosilane gas was introduced into the container 1 at a flow rate of 200 sccm. Next, the conductance valve 28 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 2.66 Pa. Then, 3.0 kW, 2.4 kW from the microwave power source 6.
Power of 5 GHz was supplied via the microwave supplier 3 in TE 10 mode. Thus, plasma was generated in the space 9. At this time, it is excited, dissociated, and ionized in the nitrogen gas space 9 introduced through the processing gas supply port 17 to become an active species, transported in the direction of the silicon substrate, reacts with the monosilane gas, and converts the silicon nitride film into silicon. 1. On the substrate
It was formed with a thickness of 0 μm. The film quality such as film forming speed and stress was evaluated. The stress was determined by measuring the change in the amount of warpage of the substrate before and after film formation using a laser interferometer Zygo (trade name).

【0208】得られた窒化シリコン膜の成膜速度は、6
9nm/min(ロット間で差異なし)であった。応力
は1.1×109 dyne/cm2 (圧縮)、リーク電
流は1.2×10-10 A/cm2 、絶縁耐圧は10.8
MV/cmであり、極めて良質な膜であることが確認さ
れた。
The film formation rate of the obtained silicon nitride film is 6
9 nm / min (no difference between lots). The stress is 1.1 × 10 9 dyne / cm 2 (compression), the leak current is 1.2 × 10 −10 A / cm 2 , and the dielectric strength is 10.8.
MV / cm, and it was confirmed that the film was extremely high quality.

【0209】(実施例15)図4に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にてプラスチック
レンズ防止用酸化シリコン膜及び窒化シリコン膜の形成
を行った。
Example 15 Using the microwave plasma processing apparatus shown in FIG. 4, a silicon oxide film and a silicon nitride film for preventing plastic lenses were formed by the following procedure.

【0210】マイクロ波透過窓としては実施例11で用
いたものと同じ構成のものを用いた。
The microwave transmission window used had the same configuration as that used in Example 11.

【0211】被処理体Wとしては、直径50mmプラス
チック凸レンズを使用した。レンズを保持手段2上に設
置した後、排気系を介して容器1内を排気し、1.33
×10-5Paの値まで減圧させた。処理用ガス供給口1
7を介して窒素ガスを150sccmの流量で、又、モ
ノシランガスを100sccmの流量で容器1内に導入
した。ついで、排気系に設けられたコンダクタンスバル
ブ8を調整し、容器1内を6.65×10-1Paに保持
した。ついで、マイクロ波電源6より3.0kW、2.
45GHzの電力をマイクロ波供給器3を介して容器1
内に供給した。かくして、空間9内にプラズマを発生さ
せた。この際、処理用ガス供給口17を介して導入され
た窒素ガスは、空間9内で励起、解離、イオン化されて
窒素原子などの活性種となり、レンズの方向に輸送さ
れ、モノシランガスと反応し、窒化シリコン膜がレンズ
の表面上に20nmの厚さで形成された。
As the object to be processed W, a plastic convex lens having a diameter of 50 mm was used. After placing the lens on the holding means 2, the inside of the container 1 is evacuated through the exhaust system, and 1.33 is set.
The pressure was reduced to a value of × 10 −5 Pa. Processing gas supply port 1
7 and a monosilane gas were introduced into the vessel 1 at a flow rate of 150 sccm and a monosilane gas at a flow rate of 100 sccm. Next, the conductance valve 8 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 6.65 × 10 −1 Pa. Then, 3.0 kW from the microwave power source 6;
The 45 GHz power is supplied to the container 1 via the microwave feeder 3.
Supplied within. Thus, plasma was generated in the space 9. At this time, the nitrogen gas introduced through the processing gas supply port 17 is excited, dissociated, and ionized in the space 9 to become active species such as nitrogen atoms, is transported in the direction of the lens, reacts with the monosilane gas, A silicon nitride film was formed on the surface of the lens with a thickness of 20 nm.

【0212】次に、処理用ガス供給口17を介して酸素
ガスを200sccmの流量で、又、モノシランガスを
100sccmの流量で容器1内に導入した。ついで、
排気系に設けられたコンダクタンスバルブ8を調整し、
容器1内を1.33×10-1Paに保持した。ついで、
マイクロ波電源6より2.0kW、2.45GHzの電
力を、TE10モードでマイクロ波供給器3を介して容器1
内に供給した。かくして、空間9にプラズマを発生させ
た。この際、導入された酸素ガスは、空間9で励起、分
解されて酸素原子などの活性種となり、レンズの方向に
輸送され、モノシランガスと反応し、酸化シリコン膜が
レンズ上に85nmの厚さで形成された。成膜速度、反
射特性について評価した。
Next, oxygen gas was introduced into the vessel 1 at a flow rate of 200 sccm, and monosilane gas was introduced at a flow rate of 100 sccm through the processing gas supply port 17. Then
Adjust the conductance valve 8 provided in the exhaust system,
The inside of the container 1 was kept at 1.33 × 10 −1 Pa. Then
2.0 kW, 2.45 GHz power from the microwave power source 6 is supplied to the container 1 via the microwave supplier 3 in the TE 10 mode.
Supplied within. Thus, plasma was generated in the space 9. At this time, the introduced oxygen gas is excited and decomposed in the space 9 to become active species such as oxygen atoms, is transported in the direction of the lens, reacts with the monosilane gas, and a silicon oxide film is formed on the lens with a thickness of 85 nm. Been formed. The film forming speed and the reflection characteristics were evaluated.

【0213】得られた窒化シリコン膜及び酸化シリコン
膜の成膜速度はそれぞれ390nm/min(ロット間
に差異なし)420nm/minであった。又、500
nm付近の反射率が0.15%であり、極めて良好な光
学特性であることが確認された。
The deposition rates of the obtained silicon nitride film and silicon oxide film were 390 nm / min (no difference between lots), respectively, and 420 nm / min. Also, 500
The reflectance in the vicinity of nm was 0.15%, confirming that the optical characteristics were extremely good.

【0214】(実施例16)図4に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にて半導体素子の
層間絶縁膜の形成を行った。マイクロ波透過窓として
は、実施例10で用いたものと同じ構成のものを用い
た。
Example 16 Using the microwave plasma processing apparatus shown in FIG. 4, an interlayer insulating film of a semiconductor element was formed in the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 10 was used.

【0215】被処理体Wとしては、最上部にラインアン
ドスペース0.5μmのAlパターンが形成されたP型
単結晶シリコン基板(面方位〈100〉、抵抗率10Ω
cm,直径300mm)を使用した。このシリコン基板
を保持手段上に設置した。排気系を介して容器1内を真
空排気し、1.33×10-5Paまで減圧させた。続い
て保持手段に付設したヒータに通電し、シリコン基板を
300℃に加熱し、保持した。処理用ガス供給口17を
介して酸素ガスを500sccmの流量で、又、モノシ
ランガスを200sccmの流量で容器1内に導入し
た。ついで、排気系に設けられたコンダクタンスバルブ
8を調整し、容器1内を4.00Paに保持した。つい
で、保持手段に付設したバイアス電圧印加手段を介して
300W、400KHzの高周波の電力を保持手段2に
印加するとともに、マイクロ波電源6より2.0kW、
2.45GHzの電力をTE10モードで、マイクロ波供給
管3を介して容器1内に供給した。かくして、空間9に
プラズマを発生させた。処理用ガス供給口17を介して
導入された酸素ガスは空間9で励起、分解されて活性種
となり、シリコン基板の方向に輸送され、モノシランガ
スと反応し、酸化シリコン膜がシリコン基板上に0.8
μmの厚さで形成された。この時、イオン種はRFバイ
アスにより加速されて基板に入射しAlパターンの上の
酸化シリコン膜を削り平坦性を向上させる。そして、成
膜速度、均一性、絶縁耐圧、及び段差被覆性について評
価した。段差被覆性は、Alパターン上に成膜した酸化
シリコン膜の断面を走査型電子顕微鏡(SEM)で観測
し、ボイドを観測することにより評価した。
As the object to be processed W, a P-type single-crystal silicon substrate having a line and space 0.5 μm Al pattern formed on the top (plane orientation <100>, resistivity 10Ω)
cm, diameter 300 mm). This silicon substrate was set on the holding means. The inside of the container 1 was evacuated through an evacuation system to reduce the pressure to 1.33 × 10 −5 Pa. Subsequently, electricity was supplied to a heater attached to the holding means, and the silicon substrate was heated to 300 ° C. and held. Oxygen gas was introduced into the vessel 1 at a flow rate of 500 sccm and monosilane gas at a flow rate of 200 sccm through the processing gas supply port 17. Next, the conductance valve 8 provided in the exhaust system was adjusted to maintain the inside of the container 1 at 4.00 Pa. Next, high-frequency power of 300 W, 400 KHz is applied to the holding means 2 through a bias voltage applying means attached to the holding means, and 2.0 kW from the microwave power source 6 is applied.
2.45 GHz power was supplied into the container 1 through the microwave supply pipe 3 in the TE 10 mode. Thus, plasma was generated in the space 9. Oxygen gas introduced through the processing gas supply port 17 is excited and decomposed in the space 9 to become active species, is transported in the direction of the silicon substrate, reacts with monosilane gas, and forms a silicon oxide film on the silicon substrate. 8
It was formed with a thickness of μm. At this time, the ion species are accelerated by the RF bias and are incident on the substrate to cut the silicon oxide film on the Al pattern to improve the flatness. Then, the film forming speed, uniformity, withstand voltage, and step coverage were evaluated. The step coverage was evaluated by observing a cross section of the silicon oxide film formed on the Al pattern with a scanning electron microscope (SEM) and observing voids.

【0216】得られた酸化シリコン膜の成膜速度は36
0nm/min(ロット間に差異なし)であった。絶縁
耐圧は9.1MV/cm、ボイドフリーであって良質な
膜であることが確認された。
The film formation rate of the obtained silicon oxide film was 36.
0 nm / min (no difference between lots). The withstand voltage was 9.1 MV / cm, and it was confirmed that the film was void-free and was of good quality.

【0217】(実施例17)図4に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にて半導体素子の
層間絶縁膜のエッチングを行った。マイクロ波透過窓と
しては、実施例11で用いたものと同じ構成のものを用
いた。
Example 17 Using the microwave plasma processing apparatus shown in FIG. 4, an interlayer insulating film of a semiconductor element was etched according to the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 11 was used.

【0218】被処理体Wとして、ラインアンドスペース
0.35μmのAlパターン上に1μm厚の酸化シリコ
ンからなる絶縁膜及びその上にホストレジストパターン
が形成されたP型単結晶シリコン基板(面方位〈10
0〉、抵抗率10Ωcm、直径300mm)を使用し
た。まず、シリコン基板を保持手段2上に設置した後、
排気系を介して容器1内を排気し、1.33×10-5
aまで減圧させた。処理用ガス供給口17を介してC4
8 とArとO2との体積比2:3:1の混合ガスを3
00sccmの流量で容器1内に導入した。ついで、排
気系に設けられたコンダクタンスバルブ8を調整し、容
器1内を6.65×10-1Paの圧力に保持した。つい
で、保持手段に付設したバイアス電圧印加手段を介して
300W、400KHzの高周波の電力を保持手段2に
印加するとともに、マイクロ波電源より2.0kW、
2.45GHzの電力をTE10モードでマイクロ波供給
器3を介して容器1内に供給した。かくして、空間9に
プラズマを発生させた。処理用ガス供給口17を介して
容器1内に導入されたC48 ガスは空間9で励起、分
解されて活性種となり、シリコン基板の方向に輸送さ
れ、自己バイアスによって加速されたイオンによって酸
化シリコンからなる絶縁膜がエッチングされホールが形
成された。保持手段2に付設されたクーラ(不図示)に
より基板温度は90℃までしか上昇しなかった。エッチ
ング後、エッチング速度、選択比、及びエッチング形状
について評価した。エッチング形状は、エッチングされ
た酸化シリコン膜の断面を走査型電子顕微鏡(SEM)
で観測し、評価した。
As an object to be processed W, a P-type single-crystal silicon substrate (plane orientation <1 μm) in which a 1 μm thick insulating film made of silicon oxide is formed on a 0.35 μm line and space Al pattern and a host resist pattern is formed thereon. 10
0>, resistivity 10 Ωcm, diameter 300 mm). First, after placing the silicon substrate on the holding means 2,
The inside of the container 1 is evacuated through the evacuation system, and 1.33 × 10 −5 P
The pressure was reduced to a. C 4 through the processing gas supply port 17
A mixed gas of F 8 , Ar and O 2 at a volume ratio of 2: 3: 1 was mixed with 3
It was introduced into the container 1 at a flow rate of 00 sccm. Then, the conductance valve 8 provided in the exhaust system was adjusted, and the inside of the container 1 was maintained at a pressure of 6.65 × 10 -1 Pa. Next, high-frequency power of 300 W, 400 KHz is applied to the holding means 2 via a bias voltage applying means attached to the holding means, and 2.0 kW from the microwave power supply.
The 2.45GHz power was fed into the container 1 through the microwave applicator 3 in TE 10 mode. Thus, plasma was generated in the space 9. The C 4 F 8 gas introduced into the container 1 through the processing gas supply port 17 is excited and decomposed in the space 9 to become active species, transported in the direction of the silicon substrate, and accelerated by the self-bias. The insulating film made of silicon oxide was etched to form a hole. The substrate temperature rose only to 90 ° C. by a cooler (not shown) attached to the holding means 2. After the etching, the etching rate, the selectivity, and the etching shape were evaluated. The etched shape is obtained by scanning the cross section of the etched silicon oxide film with a scanning electron microscope (SEM).
Was observed and evaluated.

【0219】エッチング速度と対ポリシリコン選択比は
それぞれ、540nm/min(ロット間に差異な
し)、20であった。ホールはほぼ垂直な側面を呈して
おり、マイクロローティング効果も少ないことが確認さ
れた。
The etching rate and the selectivity to polysilicon were 540 nm / min (no difference between lots) and 20, respectively. The hole had a substantially vertical side surface, and it was confirmed that the micro-rotating effect was small.

【0220】(実施例18)図4に示したマイクロ波プ
ラズマ処理装置を使用し、以下の手順にて半導体素子ゲ
ート電極間ポリシリコン膜のエッチングを行った。マイ
クロ波透過窓としては実施例10で用いたものと同じ構
成のものを用いた。
Example 18 Using the microwave plasma processing apparatus shown in FIG. 4, the polysilicon film between the gate electrodes of the semiconductor element was etched by the following procedure. As the microwave transmission window, one having the same configuration as that used in Example 10 was used.

【0221】被処理体Wとしては、最上部にポリシリコ
ン膜が形成されたP型単結晶シリコンウエハ(面方向
〈100〉、抵抗率10Ωcm、直径300mm)を用
意した。まず、シリコンウエハを保持手段2上に設置し
た後、容器1内を真空排気し、約1.33×10-5Pa
まで減圧した。CF4 ガスを300sccm、酸素を2
0sccmの流量で容器1内に導入し、容器1内を約
0.27Paの圧力に保持した。ついで、400kH
z、300Wの高周波電力を保持手段2に印加するとと
もに、2.45GHz、2.0kWのマイクロ波電力
を、TE10モードでマイクロ波供給器3を介して容器1内
に供給した。かくして、容器1内にプラズマを発生させ
た。導入されたCF4 ガス及び酸素は容器1内で励起、
解離、イオン化されて活性種となり、シリコンウエハの
方向に輸送され、自己バイアスによって加速されたイオ
ンによりポリシリコン膜がエッチングされる。処理時に
は、クーラ414により、基板温度は80℃までしか上
昇しなかった。エッチング時のエッチング速度、エッチ
ング選択比、及びエッチング形状について評価した。エ
ッチング形状は、エッチングされたポリシリコン膜の断
面を走査型電子顕微鏡(SEM)で観測し、評価した。
As the object to be processed W, a P-type single-crystal silicon wafer (plane direction <100>, resistivity 10 Ωcm, diameter 300 mm) having a polysilicon film formed on the top was prepared. First, after the silicon wafer is placed on the holding means 2, the inside of the container 1 is evacuated to about 1.33 × 10 −5 Pa
The pressure was reduced to 300 sccm of CF 4 gas and 2 of oxygen
It was introduced into the container 1 at a flow rate of 0 sccm, and the inside of the container 1 was maintained at a pressure of about 0.27 Pa. Then, 400kHz
z, applies a high frequency power of 300W to the holding means 2, 2.45 GHz, a microwave power of 2.0 kW, were fed into the container 1 through the microwave applicator 3 in TE 10 mode. Thus, plasma was generated in the container 1. The introduced CF 4 gas and oxygen are excited in the vessel 1,
The polysilicon film is dissociated and ionized to become active species, transported toward the silicon wafer, and etched by the ions accelerated by the self-bias. During processing, the cooler 414 increased the substrate temperature only to 80 ° C. The etching rate, etching selectivity, and etching shape during etching were evaluated. The etched shape was evaluated by observing the cross section of the etched polysilicon film with a scanning electron microscope (SEM).

【0222】エッチング速度と対SiO2 選択比はそれ
ぞれ820nm/min(ロット間に差異なし)、24
と良好で、エッチング形状も垂直で、マイクロローディ
ング効果も少ないことが確認された。
The etching rate and the selectivity to SiO 2 were 820 nm / min (no difference between lots), 24
It was confirmed that the etching shape was vertical and the microloading effect was small.

【0223】[0223]

【発明の効果】本発明によれば、マイクロ波透過窓への
誘電体損失の経時変化を引き起こす光の入射を抑制でき
るので、プラズマ処理特性の経時劣化を抑制できる。
According to the present invention, it is possible to suppress the incidence of light that causes a temporal change in dielectric loss to the microwave transmitting window, and therefore it is possible to suppress the plasma processing characteristics from deteriorating with time.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明によるプラズマ処理装置を示す断面図。FIG. 1 is a sectional view showing a plasma processing apparatus according to the present invention.

【図2】本発明に用いられるスロット付平板の他の一例
を示す平面図。
FIG. 2 is a plan view showing another example of the slotted flat plate used in the present invention.

【図3】図3Aは本発明に用いられる透過窓の模式的断
面図、図3Bは本発明に用いられる別の透過窓の模式的
断面図。
FIG. 3A is a schematic cross-sectional view of a transmission window used in the present invention, and FIG. 3B is a schematic cross-sectional view of another transmission window used in the present invention.

【図4】本発明による別のプラズマ処理装置を示す断面
図。
FIG. 4 is a sectional view showing another plasma processing apparatus according to the present invention.

【図5】本発明に用いられるスロット付平板の他の例を
示す平面図。
FIG. 5 is a plan view showing another example of the slotted flat plate used in the present invention.

【図6】本発明に用いられるスロット付平板の別の例を
示す平面図。
FIG. 6 is a plan view showing another example of the slotted flat plate used in the present invention.

【図7】本発明によるプラズマ処理方法を用いた素子の
製造工程の一例を示す図。
FIG. 7 is a diagram showing an example of a device manufacturing process using the plasma processing method according to the present invention.

【図8】本発明によるプラズマ処理方法を用いた素子の
製造工程の別の例を示す図。
FIG. 8 is a view showing another example of a device manufacturing process using the plasma processing method according to the present invention.

【図9】プラズマ処理装置の構成を示す図。FIG. 9 is a diagram illustrating a configuration of a plasma processing apparatus.

【図10】マイクロ波供給器の断面図。FIG. 10 is a cross-sectional view of a microwave supply device.

【図11】導波路の断面図。FIG. 11 is a sectional view of a waveguide.

【図12】マイクロ波の放射の様子を示す図。FIG. 12 is a diagram showing a state of microwave radiation.

【符号の説明】[Explanation of symbols]

1 容器 2 被処理体保持手段 3 高周波エネルギー供給器 4 高周波エネルギー透過窓 5 導波管 6 電源 7 ガス供給路 8 排気路 9 空間 13 環状導波路 17 ガス供給口 23 スロット付平板 27 ガス供給系 33、43 スロット 45 遮光膜 DESCRIPTION OF SYMBOLS 1 Container 2 Object holding means 3 High frequency energy supply device 4 High frequency energy transmission window 5 Waveguide 6 Power supply 7 Gas supply path 8 Exhaust path 9 Space 13 Annular waveguide 17 Gas supply port 23 Slotted flat plate 27 Gas supply system 33 , 43 slot 45 light shielding film

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G02B 1/10 G02B 5/26 5F004 5/22 5/28 5F045 5/26 H01L 21/31 C 5/28 H05H 1/46 B H01L 21/31 H01L 21/302 B H05H 1/46 G02B 1/10 Z (72)発明者 北川 英夫 東京都大田区下丸子3丁目30番2号キヤノ ン株式会社内 Fターム(参考) 2H048 CA01 CA05 CA09 CA14 CA17 FA01 FA05 FA07 FA09 FA15 FA24 GA01 GA04 GA07 GA09 GA34 GA60 GA61 2K009 BB11 CC02 CC03 CC06 CC14 DD04 DD09 EE00 4G075 AA30 AA62 AA65 BA05 BA06 BC02 BC04 BC06 BC10 BD14 CA26 CA47 EC14 FB04 4K030 AA06 AA14 AA18 BA40 BA44 CA04 FA01 KA37 KA47 LA15 4K057 DA01 DB01 DB06 DD01 DE06 DE20 DM02 DM29 DN01 5F004 AA01 BA03 BA14 BA20 BB11 BB14 BB18 BB30 BB31 BD01 BD04 CA02 CA04 DA23 DA26 DB02 DB03 DB26 5F045 AA09 AB32 AB33 AC01 AC11 AC15 AD07 AE17 AF03 AF07 BB03 DP03 DQ10 EB03 EH03 EH07 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) G02B 1/10 G02B 5/26 5F004 5/22 5/28 5F045 5/26 H01L 21/31 C 5/28 H05H 1/46 B H01L 21/31 H01L 21/302 B H05H 1/46 G02B 1/10 Z (72) Inventor Hideo Kitagawa 3-30-2 Shimomaruko, Ota-ku, Tokyo F-term (reference) ) 2H048 CA01 CA05 CA09 CA14 CA17 FA09 FA15 FA24 GA01 GA04 GA07 GA09 GA34 GA60 GA61 2K009 BB11 CC02 CC03 CC06 CC14 DD04 DD09 EE00 4G075 AA30 AA62 AA65 BA05 BA06 BC02 BC04 BC06 BC10 ABA14A04 FB04 CA04 FA01 KA37 KA47 LA15 4K057 DA01 DB01 DB06 DD01 DE06 DE20 DM02 DM29 DN01 5F004 AA01 BA03 BA14 BA20 BB11 BB14 BB18 BB30 BB31 BD01 BD04 CA02 CA04 DA23 DA26 DB02 DB03 DB26 5F045 AA09 AB32 AB33 AC01 AC11 AC15 AD07 AE17 AF03 AF07 BB03 DP03 DQ10 EB03 EH03 EH07

Claims (45)

【特許請求の範囲】[Claims] 【請求項1】 内部が排気可能な容器と、前記容器内に
処理ガスを供給するガス供給口とを有し、前記容器内に
配された被処理体にプラズマ処理を施すプラズマ処理装
置において、 前記容器内に前記ガスのプラズマを発生させるための高
周波エネルギーを透過する透過窓の内面に、該透過窓の
誘電体損失を増大させ得る光の該誘電体窓への入射を妨
げる遮光膜が設けられていることを特徴とするプラズマ
処理装置。
1. A plasma processing apparatus, comprising: a container whose inside can be evacuated; and a gas supply port for supplying a processing gas into the container, wherein the plasma processing apparatus performs plasma processing on an object to be processed disposed in the container. On the inner surface of the transmission window that transmits high-frequency energy for generating the plasma of the gas in the container, a light-shielding film that prevents light that can increase the dielectric loss of the transmission window from entering the dielectric window is provided. A plasma processing apparatus, comprising:
【請求項2】 前記透過窓は窒化アルミニウムを主成分
とする請求項1記載のプラズマ処理装置。
2. The plasma processing apparatus according to claim 1, wherein said transmission window mainly comprises aluminum nitride.
【請求項3】 前記遮光膜は440nm乃至500nm
の光を反射する反射膜を含む請求項1記載のプラズマ処
理装置。
3. The light shielding film has a thickness of 440 to 500 nm.
The plasma processing apparatus according to claim 1, further comprising a reflection film that reflects the light.
【請求項4】 前記遮光膜は低屈折率の膜と高屈折率の
膜の積層体である請求項1記載のプラズマ処理装置。
4. The plasma processing apparatus according to claim 1, wherein the light shielding film is a laminate of a film having a low refractive index and a film having a high refractive index.
【請求項5】 前記遮光膜の最表面は耐プラズマ保護膜
からなる請求項1記載のプラズマ処理装置。
5. The plasma processing apparatus according to claim 1, wherein the outermost surface of the light-shielding film is formed of a plasma-resistant protective film.
【請求項6】 前記遮光膜は、酸化アルミニウム,フッ
化アルミニウム,フッ化マグネシウム,フッ化カルシウ
ム,フッ化セリウム,フッ化ランタン,フッ化リチウ
ム,フッ化ナトリウム,フッ化鉛,フッ化ネオジウムか
ら選択される少なくとも一種の膜を含む請求項1記載の
プラズマ処理装置。
6. The light-shielding film is selected from aluminum oxide, aluminum fluoride, magnesium fluoride, calcium fluoride, cerium fluoride, lanthanum fluoride, lithium fluoride, sodium fluoride, lead fluoride, and neodymium fluoride. 2. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus includes at least one kind of film to be formed.
【請求項7】 前記高周波エネルギーはマイクロ波エネ
ルギーである請求項1記載のプラズマ処理装置。
7. The plasma processing apparatus according to claim 1, wherein said high-frequency energy is microwave energy.
【請求項8】 前記遮光膜は440nm乃至500nm
の光を吸収する光吸収膜を含む請求項1記載のプラズマ
処理装置。
8. The light shielding film has a thickness of 440 nm to 500 nm.
The plasma processing apparatus according to claim 1, further comprising a light absorbing film that absorbs the light.
【請求項9】 前記遮光膜は、Si,Ge,GaAs,
InP,SiC,SiGe,CdS,CdTe,AgC
l,TlCl,Cから選択される請求項1記載のプラズ
マ処理装置。
9. The light shielding film is made of Si, Ge, GaAs,
InP, SiC, SiGe, CdS, CdTe, AgC
2. The plasma processing apparatus according to claim 1, wherein the apparatus is selected from l, TlCl, and C.
【請求項10】 前記遮光膜は、SixN(x>3/
4)又は金属イオン含有ガラスである請求項1記載のプ
ラズマ処理装置。
10. The light-shielding film is formed of SixN (x> 3 /
4. The plasma processing apparatus according to claim 1, wherein the apparatus is glass containing metal ions.
【請求項11】 前記遮光膜は、2種以上の誘電体膜の
積層体である請求項1記載のプラズマ処理装置。
11. The plasma processing apparatus according to claim 1, wherein the light shielding film is a laminate of two or more dielectric films.
【請求項12】 前記遮光膜の最表面は、酸化アルミニ
ウム,フッ化アルミニウム,フッ化マグネシウム,フッ
化カルシウム,フッ化セリウム,フッ化ランタン,フッ
化リチウム,フッ化ナトリウム,フッ化鉛,フッ化ネオ
ジウムから選択される請求項1記載のプラズマ処理装
置。
12. The outermost surface of the light-shielding film is made of aluminum oxide, aluminum fluoride, magnesium fluoride, calcium fluoride, cerium fluoride, lanthanum fluoride, lithium fluoride, sodium fluoride, lead fluoride, or fluoride. 2. The plasma processing apparatus according to claim 1, wherein the apparatus is selected from neodymium.
【請求項13】 被処理体をプラズマ処理するためのプ
ラズマ処理方法において、 請求項1に記載のプラズマ処理装置を用いて前記被処理
体をプラズマ処理することを特徴とするプラズマ処理方
法。
13. A plasma processing method for performing plasma processing on an object to be processed, wherein the object to be processed is subjected to plasma processing using the plasma processing apparatus according to claim 1.
【請求項14】 前記プラズマ処理方法は、アッシン
グ、エッチング、クリーニング、CVD、プラズマ重
合、ドーピング、酸化、窒化の少なくともいずれか一種
である請求項13記載のプラズマ処理方法。
14. The plasma processing method according to claim 13, wherein the plasma processing method is at least one of ashing, etching, cleaning, CVD, plasma polymerization, doping, oxidation, and nitriding.
【請求項15】 構造体の製造方法において、 請求項1記載のプラズマ処理装置を用意する工程、 被処理体を前記プラズマ処理装置内に配する工程、 少なくとも一種のガスを導入し、高周波エネルギーを供
給することにより、前記透過窓の誘電体損失を増大させ
得る光の発光を伴なうプラズマを発生させ、前記被処理
体にプラズマ処理を施す工程、 を含むことを特徴とする構造体の製造方法。
15. A method of manufacturing a structure, comprising: preparing a plasma processing apparatus according to claim 1; arranging a target object in the plasma processing apparatus; introducing at least one gas to generate high-frequency energy; Producing a plasma accompanied by emission of light capable of increasing the dielectric loss of the transmission window by supplying the plasma, and performing a plasma treatment on the object to be processed. Method.
【請求項16】 前記プラズマ処理方法は、アッシン
グ、エッチング、クリーニング、CVD、プラズマ重
合、ドーピング、酸化、窒化の少なくともいずれか一種
である請求項15記載の構造体の製造方法。
16. The method according to claim 15, wherein the plasma processing method is at least one of ashing, etching, cleaning, CVD, plasma polymerization, doping, oxidation, and nitriding.
【請求項17】 前記透過窓は窒化アルミニウムを主成
分とし、前記遮光膜は440nm乃至500nmの光の
入射を妨げる膜を含み、前記プラズマは440nm乃至
500nmの光を発光を伴なう請求項15記載の構造体
の製造方法。
17. The transmission window according to claim 15, wherein the transmission window is mainly composed of aluminum nitride, the light-shielding film includes a film for preventing light of 440 to 500 nm from being incident, and the plasma emits light of 440 to 500 nm. A method for producing the structure according to the above.
【請求項18】 少なくとも一面に、誘電体損失を増大
させ得る光の入射を防止する遮光膜が設けられているこ
とを特徴とする高周波透過窓用誘電体。
18. A dielectric for a high-frequency transmission window, wherein a light-shielding film for preventing incidence of light that can increase dielectric loss is provided on at least one surface.
【請求項19】 請求項13記載のプラズマ処理方法に
より処理された構造体。
19. A structure processed by the plasma processing method according to claim 13.
【請求項20】 請求項15記載のプラズマ処理方法に
より処理された構造体。
20. A structure processed by the plasma processing method according to claim 15.
【請求項21】 内部が排気可能な容器と、前記容器内
に処理ガスを供給するガス供給口とを有し、前記容器内
に配された被処理体にプラズマ処理を施すプラズマ処理
装置において、 前記容器内に前記ガスのプラズマを発生させるためのマ
イクロ波エネルギーを透過するマイクロ波透過窓の内面
に、誘電体損失を増大させ得る光を反射する反射膜が設
けられていることを特徴とするプラズマ処理装置。
21. A plasma processing apparatus, comprising: a container whose inside can be evacuated; and a gas supply port for supplying a processing gas into the container, wherein the plasma processing apparatus performs plasma processing on an object to be processed disposed in the container. A reflection film that reflects light that can increase dielectric loss is provided on an inner surface of a microwave transmission window that transmits microwave energy for generating plasma of the gas in the container. Plasma processing equipment.
【請求項22】 前記マイクロ波透過窓は窒化アルミニ
ウムを主成分とする請求項21記載のプラズマ処理装
置。
22. The plasma processing apparatus according to claim 21, wherein the microwave transmission window mainly includes aluminum nitride.
【請求項23】 前記反射膜は440nm乃至500n
mの光を反射する請求項21記載のプラズマ処理装置。
23. The reflection film has a thickness of 440 nm to 500 n.
22. The plasma processing apparatus according to claim 21, which reflects light of m.
【請求項24】 前記反射膜は低屈折率の膜と高屈折率
の膜の積層体である請求項1記載のプラズマ処理装置。
24. The plasma processing apparatus according to claim 1, wherein the reflection film is a laminate of a film having a low refractive index and a film having a high refractive index.
【請求項25】 前記反射膜の最表面は耐プラズマ保護
膜からなる請求項24記載のプラズマ処理装置。
25. The plasma processing apparatus according to claim 24, wherein the outermost surface of the reflection film is formed of a plasma-resistant protective film.
【請求項26】 前記反射膜は、酸化アルミニウム,フ
ッ化アルミニウム,フッ化マグネシウム,フッ化カルシ
ウム,フッ化セリウム,フッ化ランタン,フッ化リチウ
ム,フッ化ナトリウム,フッ化鉛,フッ化ネオジウムか
ら選択される少なくとも一種の膜を含む請求項21記載
のプラズマ処理装置。
26. The reflection film is selected from aluminum oxide, aluminum fluoride, magnesium fluoride, calcium fluoride, cerium fluoride, lanthanum fluoride, lithium fluoride, sodium fluoride, lead fluoride, and neodymium fluoride. 22. The plasma processing apparatus according to claim 21, including at least one kind of film to be formed.
【請求項27】 被処理体をプラズマ処理するためのプ
ラズマ処理方法において、 請求項21記載のプラズマ処理装置を用いて前記被処理
体をプラズマ処理することを特徴とするプラズマ処理方
法。
27. A plasma processing method for performing plasma processing on an object to be processed, wherein the object to be processed is subjected to plasma processing using the plasma processing apparatus according to claim 21.
【請求項28】 前記プラズマ処理方法は、アッシン
グ、エッチング、クリーニング、CVD、プラズマ重
合、ドーピング、酸化、窒化の少なくともいずれか一種
である請求項27記載のプラズマ処理方法。
28. The plasma processing method according to claim 27, wherein the plasma processing method is at least one of ashing, etching, cleaning, CVD, plasma polymerization, doping, oxidation, and nitriding.
【請求項29】 請求項28記載のプラズマ処理方法に
より処理された構造体。
29. A structure processed by the plasma processing method according to claim 28.
【請求項30】 少なくとも一面に、誘電体損失を増大
させ得る光を反射する反射膜が設けられていることを特
徴とするマイクロ波透過窓用誘電体。
30. A dielectric for a microwave transmission window, wherein a reflective film for reflecting light capable of increasing dielectric loss is provided on at least one surface.
【請求項31】 内部が排気可能な容器と、前記容器内
に処理ガスを供給するガス供給口とを有し、前記容器内
に配された被処理体にプラズマ処理を施すプラズマ処理
装置において、 前記容器内に前記ガスのプラズマを発生させるためのマ
イクロ波エネルギーを透過するマイクロ波透過窓の内面
に、誘電体損失を増大させ得る光を吸収する光吸収膜が
設けられていることを特徴とするプラズマ処理装置。
31. A plasma processing apparatus, comprising: a container whose inside can be evacuated; and a gas supply port for supplying a processing gas into the container, wherein the plasma processing apparatus performs a plasma process on a processing target disposed in the container. A light absorbing film that absorbs light that can increase dielectric loss is provided on an inner surface of a microwave transmission window that transmits microwave energy for generating plasma of the gas in the container. Plasma processing equipment.
【請求項32】 前記マイクロ波透過窓は窒化アルミニ
ウムを生成分とする請求項31記載のプラズマ処理装
置。
32. The plasma processing apparatus according to claim 31, wherein the microwave transmission window is made of aluminum nitride.
【請求項33】 前記光吸収膜は440nm乃至500
nmの光を吸収する請求項31記載のプラズマ処理装
置。
33. The light-absorbing film has a thickness of 440 nm to 500 nm.
32. The plasma processing apparatus according to claim 31, which absorbs light of nm.
【請求項34】 前記光吸収膜は、Si,Ge,GaA
s,InP,SiC,SiGe,CdS,CdTe,A
gCl,TlCl,Cから選択される請求項31記載の
プラズマ処理装置。
34. The light absorbing film is made of Si, Ge, GaAs.
s, InP, SiC, SiGe, CdS, CdTe, A
The plasma processing apparatus according to claim 31, wherein the apparatus is selected from gCl, TlCl, and C.
【請求項35】 前記光吸収膜は、SixN(x>3/
4)又は金属イオン含有ガラスである請求項31記載の
プラズマ処理装置。
35. The light-absorbing film is composed of SixN (x> 3 /
32. The plasma processing apparatus according to claim 31, which is glass containing metal ions.
【請求項36】 前記光吸収膜は2つ以上の膜の積層体
である請求項31記載のプラズマ処理装置。
36. The plasma processing apparatus according to claim 31, wherein the light absorbing film is a laminate of two or more films.
【請求項37】 前記光吸収膜の最表面は耐プラズマ保
護膜からなる請求項36記載のプラズマ処理装置。
37. The plasma processing apparatus according to claim 36, wherein the outermost surface of the light absorbing film is formed of a plasma-resistant protective film.
【請求項38】 前記光吸収膜の最表面は、酸化アルミ
ニウム,フッ化アルミニウム,フッ化マグネシウム,フ
ッ化カルシウム,フッ化セリウム,フッ化ランタン,フ
ッ化リチウム,フッ化ナトリウム,フッ化鉛,フッ化ネ
オジウムから選択される請求項37記載のプラズマ処理
装置。
38. The outermost surface of the light absorbing film is made of aluminum oxide, aluminum fluoride, magnesium fluoride, calcium fluoride, cerium fluoride, lanthanum fluoride, lithium fluoride, sodium fluoride, lead fluoride, or fluorine fluoride. 38. The plasma processing apparatus according to claim 37, wherein the apparatus is selected from neodymium oxide.
【請求項39】 被処理体をプラズマ処理するためのプ
ラズマ処理方法において、 請求項31記載のプラズマ処理装置を用いて前記被処理
体をプラズマ処理することを特徴とするプラズマ処理方
法。
39. A plasma processing method for performing plasma processing on an object to be processed, wherein the object to be processed is subjected to plasma processing using the plasma processing apparatus according to claim 31.
【請求項40】 前記プラズマ処理方法は、アッシン
グ、エッチング、クリーニング、CVD、プラズマ重
合、ドーピング、酸化、窒化の少なくともいずれか一種
である請求項39記載のプラズマ処理方法。
40. The plasma processing method according to claim 39, wherein the plasma processing method is at least one of ashing, etching, cleaning, CVD, plasma polymerization, doping, oxidation, and nitriding.
【請求項41】 請求項40記載のプラズマ処理方法に
より処理された構造体。
41. A structure processed by the plasma processing method according to claim 40.
【請求項42】 少なくとも一面に、誘電体損失を増大
させ得る光を吸収する光吸収膜が設けられていることを
特徴とするマイクロ波透過窓用誘電体。
42. A dielectric for a microwave transmitting window, wherein a dielectric film for absorbing light capable of increasing dielectric loss is provided on at least one surface.
【請求項43】 構造体の製造方法において、 請求項21又は31記載のプラズマ処理装置を用意する
工程、 被処理体を前記プラズマ処理装置内に配する工程、 少なくとも一種のガスを導入し、マイクロ波エネルギー
を供給することにより、前記透過窓の誘電体損失を増大
させ得る光の発光を伴なうプラズマを発生させ、前記被
処理体にプラズマ処理を施す工程、 を含むことを特徴とする構造体の製造方法。
43. A method of manufacturing a structure, comprising: providing the plasma processing apparatus according to claim 21 or 31; arranging an object to be processed in the plasma processing apparatus; Supplying a wave energy to generate plasma accompanied by emission of light capable of increasing a dielectric loss of the transmission window, and performing a plasma treatment on the object to be processed. How to make the body.
【請求項44】 前記プラズマ処理方法は、アッシン
グ、エッチング、クリーニング、CVD、プラズマ重
合、ドーピング、酸化、窒化の少なくともいずれか一種
である請求項43記載の構造体の製造方法。
44. The method according to claim 43, wherein the plasma processing method is at least one of ashing, etching, cleaning, CVD, plasma polymerization, doping, oxidation, and nitriding.
【請求項45】 前記透過窓は窒化アルミニウムを主成
分とし、前記遮光膜は440nm乃至500nmの光の
入射を妨げる膜を含み、前記プラズマは440nm乃至
500nmの光を発光を伴なう請求項43記載の構造体
の製造方法。
45. The transmission window according to claim 43, wherein the transmission window is mainly composed of aluminum nitride, the light-shielding film includes a film for preventing light of 440 nm to 500 nm from entering, and the plasma emits light of 440 nm to 500 nm. A method for producing the structure according to the above.
JP2001215286A 2000-07-24 2001-07-16 Plasma treatment apparatus having transmission window covered with light shielding film Withdrawn JP2002164330A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001215286A JP2002164330A (en) 2000-07-24 2001-07-16 Plasma treatment apparatus having transmission window covered with light shielding film

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2000-222076 2000-07-24
JP2000-222195 2000-07-24
JP2000222195 2000-07-24
JP2000222076 2000-07-24
JP2001215286A JP2002164330A (en) 2000-07-24 2001-07-16 Plasma treatment apparatus having transmission window covered with light shielding film

Publications (1)

Publication Number Publication Date
JP2002164330A true JP2002164330A (en) 2002-06-07

Family

ID=27344143

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001215286A Withdrawn JP2002164330A (en) 2000-07-24 2001-07-16 Plasma treatment apparatus having transmission window covered with light shielding film

Country Status (1)

Country Link
JP (1) JP2002164330A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004105114A1 (en) * 2003-05-22 2004-12-02 Tokyo Electron Limited Method of forming fluorinated carbon film
WO2006038623A1 (en) * 2004-10-05 2006-04-13 Tokyo Electron Limited Plasma film forming method and plasma film forming device
WO2007020810A1 (en) * 2005-08-12 2007-02-22 Tohoku University Plasma processing apparatus
KR100782623B1 (en) * 2002-10-10 2007-12-06 동경 엘렉트론 주식회사 Plasma processing apparatus, processing vessel used in plasma processing apparatus, dielectric plate used in plasma processing apparatus
WO2010038900A1 (en) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 Silicon oxide film, method for forming silicon oxide film, and plasma cvd apparatus
KR101198107B1 (en) * 2006-12-01 2012-11-12 도쿄엘렉트론가부시키가이샤 Amorphous carbon film, semiconductor device, film forming method, film forming apparatus and storage medium
CN116390320A (en) * 2023-05-30 2023-07-04 安徽农业大学 Electron cyclotron resonance discharge device and application

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100782623B1 (en) * 2002-10-10 2007-12-06 동경 엘렉트론 주식회사 Plasma processing apparatus, processing vessel used in plasma processing apparatus, dielectric plate used in plasma processing apparatus
KR100791660B1 (en) * 2002-10-10 2008-01-03 동경 엘렉트론 주식회사 Plasma processing apparatus
WO2004105114A1 (en) * 2003-05-22 2004-12-02 Tokyo Electron Limited Method of forming fluorinated carbon film
US7538012B2 (en) 2003-05-22 2009-05-26 Tadahiro Ohmi Fluorine-containing carbon film forming method
WO2006038623A1 (en) * 2004-10-05 2006-04-13 Tokyo Electron Limited Plasma film forming method and plasma film forming device
WO2007020810A1 (en) * 2005-08-12 2007-02-22 Tohoku University Plasma processing apparatus
JP2007048718A (en) * 2005-08-12 2007-02-22 Tohoku Univ Plasma treatment device
KR101198107B1 (en) * 2006-12-01 2012-11-12 도쿄엘렉트론가부시키가이샤 Amorphous carbon film, semiconductor device, film forming method, film forming apparatus and storage medium
WO2010038900A1 (en) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 Silicon oxide film, method for forming silicon oxide film, and plasma cvd apparatus
CN116390320A (en) * 2023-05-30 2023-07-04 安徽农业大学 Electron cyclotron resonance discharge device and application

Similar Documents

Publication Publication Date Title
US6677549B2 (en) Plasma processing apparatus having permeable window covered with light shielding film
EP0930376B1 (en) Method of processing substrate
US6884318B2 (en) Plasma processing system and surface processing method
EP0880164B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
KR100234509B1 (en) Microwave plasma processing apparatus and method therefor
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
JP2925535B2 (en) Microwave supplier having annular waveguide, plasma processing apparatus and processing method having the same
US20050005854A1 (en) Surface wave plasma treatment apparatus using multi-slot antenna
US20080053816A1 (en) Plasma processing apparatus and method
JP2002164330A (en) Plasma treatment apparatus having transmission window covered with light shielding film
JP3907444B2 (en) Plasma processing apparatus and structure manufacturing method
JP4478352B2 (en) Plasma processing apparatus, plasma processing method, and structure manufacturing method
JP2001308071A (en) Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing
JP3530788B2 (en) Microwave supplier, plasma processing apparatus and processing method
JP3118121B2 (en) Microwave plasma CVD apparatus and deposited film forming method
JP4298049B2 (en) Microwave plasma processing equipment using dielectric window
KR100425658B1 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
JP4669153B2 (en) Plasma processing apparatus, plasma processing method, and device manufacturing method
JPH09306900A (en) Microwave plasma processor and plasma processing method
JPH10158846A (en) Batch type microwave plasma treating system and treatment
JP2001043997A (en) Plasma processing device
JPH11193466A (en) Plasma treating device and plasma treating method
JPH11329792A (en) Microwave supply container
JP4532632B2 (en) Plasma processing equipment
JP2001250778A (en) Plasma treatment device and method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20081007