JP2002118175A - Semiconductor device and its fabricating method - Google Patents

Semiconductor device and its fabricating method

Info

Publication number
JP2002118175A
JP2002118175A JP2000306457A JP2000306457A JP2002118175A JP 2002118175 A JP2002118175 A JP 2002118175A JP 2000306457 A JP2000306457 A JP 2000306457A JP 2000306457 A JP2000306457 A JP 2000306457A JP 2002118175 A JP2002118175 A JP 2002118175A
Authority
JP
Japan
Prior art keywords
gate
metal
insulating film
work function
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000306457A
Other languages
Japanese (ja)
Inventor
Yasushi Akasaka
泰志 赤坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000306457A priority Critical patent/JP2002118175A/en
Publication of JP2002118175A publication Critical patent/JP2002118175A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To fabricate a MISFET having a low threshold voltage by employing materials having optimal work functions in the metal gate electrode of a p-type MISFET and an n-type MISFET. SOLUTION: The gate electrode of an N type MIS transistor has a first metal film 616 touching a gate insulation film 615 and having a work function ϕf in the range of Vth+3.9<=ϕf<=Vth+4.1, where Vth is a threshold voltage. The gate electrode of a P type MIS transistor has a second metal film 617 touching the gate insulation film 615 and having a work function ϕf in the range of 5.1+Vth<=ϕf<=5.3+Vth, where Vth is the threshold voltage.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法、特にN型MISトランジスタ及びP型MI
Sトランジスタのゲート電極の改良に関するものであ
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly to an N-type MIS transistor and a P-type MI transistor.
The present invention relates to improvement of a gate electrode of an S transistor.

【0002】[0002]

【従来の技術】微細なMISFETに於いて低いしきい
値を実現するために、N型MISFET,P型MISF
ETそれぞれに対して異なる仕事関数のゲート電極を用
いることが行われている。従来、ゲート電極には多結晶
シリコンが用いられており、N型MISFET,P型M
ISFETそれぞれの多結晶シリコン(ゲート電極)に
対してドーピングし、n+ ,p- 型にし、それぞれの多
結晶シリコンの仕事関数を伝導帯(Conduction Band)
と価電子帯(Valence Band)の近傍に設定することで、
低いしきい値を容易に実現することができる。
2. Description of the Related Art In order to realize a low threshold value in a fine MISFET, an N-type MISFET, a P-type MISF
It has been practiced to use gate electrodes having different work functions for each ET. Conventionally, polycrystalline silicon has been used for the gate electrode, and N-type MISFET, P-type M
The doping of each polycrystalline silicon (gate electrode) of the ISFET is made n + and p type, and the work function of each polycrystalline silicon is set to a conduction band.
And near the valence band (Valence Band),
A low threshold can be easily achieved.

【0003】しかし、多結晶シリコンからなるゲート電
極は、不純物濃度が導電性不純物の固溶限である1020
cm-3台になるように高濃度にドーピングしても、ゲー
ト電極側に空乏層が形成されるために、ゲート容量はそ
の分減少する。このことは、特にP型MISFETに於
いて深刻な問題になる。B(ボロン)がドープされたp
+ 型多結晶シリコンからは、熱工程によって、Bがゲー
ト絶縁膜を通過してチャネル領域まで拡散することが知
られている。
However, a gate electrode made of polycrystalline silicon has an impurity concentration of 10 20, which is the solid solubility limit of conductive impurities.
Even if the doping is performed at a high concentration to the order of cm −3 , the gate capacitance is reduced correspondingly because a depletion layer is formed on the gate electrode side. This becomes a serious problem particularly in the P-type MISFET. P doped with B (boron)
It is known that B diffuses from a + type polycrystalline silicon through a gate insulating film to a channel region by a thermal process.

【0004】この現象による制約を受けるため、p+
多結晶シリコン・ゲート電極では、Bを高濃度にドープ
することができず、ゲートの空乏化によるゲート容量の
低下はn+ 型多結晶シリコン・ゲート電極の場合より一
層深刻である。
[0004] Since restricted by this phenomenon, the p + -type polysilicon gate electrode can not be doped with B at a high concentration, reduction in the gate capacitance due to depletion of the gate is n + -type polycrystalline silicon -It is more serious than in the case of a gate electrode.

【0005】このゲート電極の空乏化を防止するため
に、ゲート電極に金属を用いる検討が行われている。し
かし、一般にn+ ,p+ 多結晶シリコンと同様の効果を
得るために、シリコンのバンドギャップ中央から上下に
0.56eV程度離れた仕事関数を有する金属材料で、
耐熱性、耐酸化性などの観点からLSIプロセスと整合
性の良い材料を選択することは非常に難しい。
In order to prevent the gate electrode from being depleted, studies have been made to use a metal for the gate electrode. However, in general, in order to obtain the same effect as n + and p + polycrystalline silicon, a metal material having a work function about 0.56 eV above and below the center of the band gap of silicon,
It is very difficult to select a material that is compatible with the LSI process from the viewpoint of heat resistance, oxidation resistance, and the like.

【0006】そこで、基板のバンドギャップ中央の近傍
に位置する仕事関数を持った一種類の金属を用いる方法
が現実的と考えられている。しかしながら、上述したよ
うに仕事関数が基板のバンドギャップの中央に位置する
金属ゲート電極を用いると、低しきい値を得ることが難
しい。チャネル表面に逆導電型の不純物をドーピングし
(カウンタードーピング)、埋め込みチャネルを形成す
ることにより低いしきい値を実現することができる。し
かし、埋め込みチャネルでは、ゲート絶縁膜とシリコン
基板の界面にチャネルが形成されるのではなく、界面か
ら基板奥に入ったところにチャネルが形成される。これ
は実効的なゲート絶縁膜厚が増加していることになり、
ショートチャネル効果を抑制することが難しく、また、
S−factorが劣化するという問題がある。
Therefore, a method using one kind of metal having a work function located near the center of the band gap of the substrate is considered to be realistic. However, when a metal gate electrode whose work function is located at the center of the band gap of the substrate is used as described above, it is difficult to obtain a low threshold value. A low threshold value can be realized by doping the surface of the channel with an impurity of the opposite conductivity type (counter doping) and forming a buried channel. However, in the buried channel, a channel is not formed at the interface between the gate insulating film and the silicon substrate, but is formed at a position deep in the substrate from the interface. This means that the effective gate insulating film thickness has increased,
It is difficult to suppress the short channel effect,
There is a problem that the S-factor deteriorates.

【0007】[0007]

【発明が解決しようとする課題】上述したように、p型
MISFET及びn型MISFETの金属ゲート電極
に、仕事関数が基板のバンドギャップの中央に位置する
材料を用いると、低しきい値を得ることが難しいという
問題があった。
As described above, a low threshold value can be obtained by using a material whose work function is located at the center of the band gap of the substrate for the metal gate electrodes of the p-type MISFET and the n-type MISFET. There was a problem that it was difficult.

【0008】本発明の目的は、p型MISFET及びn
型MISFETの金属ゲート電極として、それぞれ最適
な仕事関数を有する材料を用い、低しきい値電圧のMI
SFETを形成することが可能な半導体装置及びその製
造方法を提供することにある。
An object of the present invention is to provide a p-type MISFET and an n-type MISFET.
For the metal gate electrode of the MISFET, a material having an optimum work function is used, and a low threshold voltage MI
An object of the present invention is to provide a semiconductor device capable of forming an SFET and a method for manufacturing the same.

【0009】[0009]

【課題を解決するための手段】[構成]本発明は、上記
目的を達成するために以下のように構成されている。
Means for Solving the Problems [Configuration] The present invention is configured as follows to achieve the above object.

【0010】(1)本発明(請求項1)は、N型MIS
トランジスタ及びP型MISトランジスタが形成された
半導体装置であって、前記N型MISトランジスタのゲ
ート電極は、ゲート絶縁膜に接し、仕事関数φf[e
V]が、閾値電圧Vth[V]に対し、Vth+3.9≦φ
f≦Vth+4.1である第1の金属含有膜を具備し、前
記P型MISトランジスタのゲート電極は、前記ゲート
絶縁膜に接し、仕事関数φf[eV]が、前記閾値電圧
th[V]に対し、5.1+Vth≦φf≦5.3+Vth
である第2の金属含有膜を具備してなることを特徴とす
る。
(1) The present invention (claim 1) provides an N-type MIS
A semiconductor device in which a transistor and a P-type MIS transistor are formed, wherein a gate electrode of the N-type MIS transistor is in contact with a gate insulating film and has a work function φ f [e
V] is equal to V th + 3.9 ≦ φ with respect to the threshold voltage V th [V].
a first metal-containing film that satisfies f ≦ V th +4.1, a gate electrode of the P-type MIS transistor is in contact with the gate insulating film, and a work function φ f [eV] is equal to the threshold voltage V th [V], 5.1 + V th ≦ φ f ≦ 5.3 + V th
Wherein the second metal-containing film is provided.

【0011】(2)本発明(請求項2)は、N型MIS
トランジスタ及びP型MISトランジスタそれぞれのゲ
ート電極を半導体基板上の絶縁膜に形成された開口部内
にゲート絶縁膜を介して形成する半導体装置の製造方法
であって、前記ゲート電極を形成する工程は、N型MI
Sトランジスタ用の第1のゲート形成領域及びP型MI
Sトランジスタ用の第2のゲート形成領域の双方の領域
の開口部内に形成されたゲート絶縁膜上に、仕事関数φ
f[eV]が、閾値電圧Vth[V]に対し、Vt h+3.
9≦φf≦Vth+4.1の条件を満たす第1の金属含有
膜を形成する工程と、第2のゲート形成領域に形成され
た第1の金属含有膜を除去する工程と、第1のゲート形
成領域の第1の金属含有膜上、及び第2のゲート形成領
域のゲート絶縁膜上に、仕事関数φf[eV]が、前記
閾値電圧Vth[V]に対し、5.1+Vth≦φf≦5.
3+Vthの条件を満たす第2の金属含有膜を形成する工
程とを含むことを特徴とする。
(2) The present invention (claim 2) provides an N-type MIS
A method of manufacturing a semiconductor device, wherein a gate electrode of each of a transistor and a P-type MIS transistor is formed in an opening formed in an insulating film on a semiconductor substrate via a gate insulating film, wherein the step of forming the gate electrode includes: N-type MI
First gate forming region for S transistor and P-type MI
The work function φ is formed on the gate insulating film formed in the openings of both regions of the second gate formation region for the S transistor.
f [eV] is, with respect to the threshold voltage V th [V], V t h +3.
A step of forming a first metal-containing film satisfying a condition of 9 ≦ φ f ≦ V th +4.1, a step of removing the first metal-containing film formed in the second gate formation region, On the first metal-containing film in the gate formation region and on the gate insulating film in the second gate formation region, the work function φ f [eV] is 5.1 + V with respect to the threshold voltage V th [V]. thφ f ≦ 5.
Forming a second metal-containing film satisfying the condition of 3 + V th .

【0012】(3)本発明(請求項3)は、N型MIS
トランジスタ及びP型MISトランジスタそれぞれのゲ
ート電極をシリコン基板上の絶縁膜に形成された開口部
内にゲート絶縁膜を介して形成する半導体装置の製造方
法であって、前記ゲート電極を形成する工程は、N型M
ISトランジスタ用の第1のゲート形成領域及びP型M
ISトランジスタ用の第2のゲート形成領域の双方の領
域の開口部内に形成されたゲート絶縁膜上に、第1の金
属含有膜を形成する工程と、第1及び第2のゲート形成
領域の少なくとも一方の領域の第1の金属含有膜に対し
て所定の処理を行い、第1の金属含有膜の仕事関数φf
[eV]が、閾値電圧Vth[V]に対し、第1のゲート
形成領域において、Vth+3.9≦φf≦Vth+4.
1、の条件を満たすと共に、第2のゲート形成領域にお
いて、5.1+Vth≦φf≦5.3+Vth、の条件を満
たすようにすることを特徴とする。
(3) The present invention (claim 3) provides an N-type MIS
A method for manufacturing a semiconductor device, wherein a gate electrode of each of a transistor and a P-type MIS transistor is formed in an opening formed in an insulating film on a silicon substrate via a gate insulating film, wherein the step of forming the gate electrode comprises: N type M
First gate forming region for IS transistor and P-type M
Forming a first metal-containing film on the gate insulating film formed in the openings of both of the second gate forming regions for the IS transistor; and forming at least one of the first and second gate forming regions. A predetermined process is performed on the first metal-containing film in one region, and the work function φ f of the first metal-containing film is
[EV] with respect to the threshold voltage V th [V], in the first gate formation region, V th + 3.9 ≦ φ f ≦ V th +4.
And the condition of 5.1 + V th ≦ φ f ≦ 5.3 + V th in the second gate formation region.

【0013】[作用]本発明は、上記構成によって以下
の作用・効果を有する。
[Function] The present invention has the following functions and effects by the above configuration.

【0014】N型MISトランジスタのゲート電極は、
ゲート絶縁膜に接し、仕事関数φfが、閾値電圧Vth
対し、Vth+3.9≦φf≦Vth+4.1eVである第
1の金属含有膜を具備し、P型MISトランジスタのゲ
ート電極は、ゲート絶縁膜に接し、仕事関数φfが、
5.1+Vth≦φf≦5.3+Vthである第2の金属含
有膜を具備することによって、低しきい値電圧のN型及
びP型MISFETを得ることができる。また、N型及
びP型MISFETは、ショートチャネル効果を抑制
し、S−factorの値の低い良好なMISFETを
得ることができる。
The gate electrode of the N-type MIS transistor is
A first metal-containing film which is in contact with the gate insulating film and has a work function φ f of V th + 3.9 ≦ φ f ≦ V th +4.1 eV with respect to the threshold voltage V th ; The gate electrode is in contact with the gate insulating film, and the work function φ f is
With the provision of the second metal-containing film satisfying 5.1 + V th ≦ φ f ≦ 5.3 + V th , N-type and P-type MISFETs with low threshold voltages can be obtained. In addition, the N-type and P-type MISFETs can suppress a short channel effect and obtain a good MISFET having a low S-factor value.

【0015】[0015]

【発明の実施の形態】本発明の実施の形態を以下に図面
を参照して説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0016】[第1実施形態]先ず、本発明者は、金属
ゲート電極を用いて低しきい値電圧のMISFETに対
して必要な条件を調べるために、MISFETに対して
シミュレーションを行った。
[First Embodiment] First, the present inventor performed a simulation for a MISFET in order to examine necessary conditions for a low threshold voltage MISFET using a metal gate electrode.

【0017】シミュレーションに用いたN型MISFE
Tの構成を図1に示す。図1は、シミュレーションに用
いたトランジスタの構造を示す模式図である。
N-type MISFE used for simulation
The structure of T is shown in FIG. FIG. 1 is a schematic diagram illustrating a structure of a transistor used in the simulation.

【0018】図1において、100はシリコン基板、1
01はソース/ドレイン、102はエクステンション領
域、103はチャネル領域、104はカウンタードーピ
ング領域、105はゲート絶縁膜、106はゲート電
極、106はゲート側壁絶縁膜である。
In FIG. 1, reference numeral 100 denotes a silicon substrate, 1
01 is a source / drain, 102 is an extension region, 103 is a channel region, 104 is a counter doping region, 105 is a gate insulating film, 106 is a gate electrode, and 106 is a gate sidewall insulating film.

【0019】シミュレーションに用いたパラメータを以
下に示す。
The parameters used in the simulation are shown below.

【0020】ゲート長:L=95nm ゲート絶縁膜のシリコン酸化膜換算膜厚:Tox=2.4
nm ゲート側壁絶縁膜厚さ:70nm 接合深さ:xj=35nm チャネルカウンタードープ深さ:Dc=30nm チャネルの不純物平均濃度:Np=5×1018cm-3 ゲート電圧:Vd=1.2V 図1に示す、N型MISFETに対して、ゲート電極の
仕事関数を変えてトランジスタ特性のシミュレーション
を行った。上述したパラメータのもとで、ゲート電極の
仕事関数φf=4.2〜4.7eVの範囲全てに対し、
th=0,395Vになるようにチャネルカウンタード
ープの不純物濃度Ncを調節した。φf=4.6eVが
バンドギャップ中央である。
Gate length: L = 95 nm equivalent silicon oxide film thickness of gate insulating film: T ox = 2.4
nm Gate sidewall insulating film thickness: 70 nm Junction depth: x j = 35 nm Channel counter doping depth: Dc = 30 nm Average impurity concentration of channel: Np = 5 × 10 18 cm -3 Gate voltage: Vd = 1.2 V For the N-type MISFET shown in FIG. 1, a simulation of transistor characteristics was performed by changing the work function of the gate electrode. Under the above-mentioned parameters, for the entire range of the work function φ f = 4.2 to 4.7 eV of the gate electrode,
The channel counter-doped impurity concentration Nc was adjusted so that V th = 0,395 V. φ f = 4.6 eV is the band gap center.

【0021】図2にゲート電極の仕事関数とカウンター
チャネルの濃度の関係を示す。図2の縦軸(カウンター
チャネル濃度)において、+側はチャネル表面をp型に
ドーピングしていることを示し、−側はチャネル表面が
n型にドーピングされていることを示す。チャネル表面
のn型不純物濃度が増すほど、チャネル構造は埋め込み
型の動作をするようになってくる。
FIG. 2 shows the relationship between the work function of the gate electrode and the concentration of the counter channel. In the vertical axis of FIG. 2 (counter channel concentration), the + side indicates that the channel surface is doped with p-type, and the − side indicates that the channel surface is doped with n-type. As the n-type impurity concentration on the channel surface increases, the channel structure operates more buried.

【0022】また、図3にゲート電極の仕事関数を変え
たときのゲート長Lと閾値電圧Vthの関係(ショートチ
ャネル効果)を示す。仕事関数(WF)が4.5eV以
下の領域では、ほとんど曲線が重なっていることがわか
る。
FIG. 3 shows the relationship between the gate length L and the threshold voltage Vth (short channel effect) when the work function of the gate electrode is changed. It can be seen that the curves almost overlap in the region where the work function (WF) is 4.5 eV or less.

【0023】また、図4にゲート長L=95nmの変化
(±5%)に対する閾値電圧Vthの変化量dVthを示
す。仕事関数が4.5eVを越えるあたりからdVth
急激に上昇するが、それ以下ではほぼ同じ値を示すこと
がわかる。
FIG. 4 shows a change amount dV th of the threshold voltage V th with respect to a change (± 5%) of the gate length L = 95 nm. It can be seen that dV th rises sharply when the work function exceeds 4.5 eV, but shows almost the same value below that.

【0024】図5に、仕事関数を変えた場合のVg−Id
特性を示す。ここでも、仕事関数が4.5eV以下にな
ると特性がほぼ同じになることが確認される。
FIG. 5 shows V g -I d when the work function is changed.
Show characteristics. Here also, it is confirmed that the characteristics become almost the same when the work function becomes 4.5 eV or less.

【0025】図6に、仕事関数に対するS−facto
rの値を示す。仕事関数が4.5eV以上ではS−fa
ctorは急激に上昇し、特性の劣化が顕著になるが、
4.5eV以下では、S−factorが80程度のほ
ぼ一定の値を示すことがわかる。
FIG. 6 shows the S-factor for the work function.
Indicates the value of r. When the work function is 4.5 eV or more, S-fa
ctor rises sharply, and characteristic deterioration becomes remarkable,
At 4.5 eV or less, the S-factor shows a substantially constant value of about 80.

【0026】これらの結果から、閾値電圧Vth=0.4
Vのトランジスタを作成するにあたり、ゲート電極の仕
事関数はバンドギャップ中央から伝導電子帯の方向に
0.1eVだけずらしたものを用いれば、それ以上ずら
したものと同等の効果が得られることがわかった。ま
た、0.4Vよりも低いしきい値を実現するには(0.
5−Vth)の分だけバンドギャップ中央より伝導電子帯
側にずらせば、同様の効果が得られることがわかった。
From these results, it can be seen that the threshold voltage V th = 0.4
It is understood that, when a transistor having a V function is used, when the work function of the gate electrode is shifted from the center of the band gap by 0.1 eV in the direction of the conduction electron band, the same effect as that obtained by shifting the work function more than that can be obtained. Was. In order to realize a threshold value lower than 0.4 V, (0.
It has been found that the same effect can be obtained by shifting the band gap center to the conduction electron band side by the amount of 5-V th ).

【0027】この理由を調べるために、仕事関数が異な
る場合のチャネルのポテンシャルを調べた。図7は、φ
f=4.2〜4.7の間で0.1eV刻みで仕事関数を
変化させた場合のチャネル中央の基板深さ方向へのポテ
ンシャル変化を示す。図7において、縦軸はポテンシャ
ル、横軸は基板深さである。
In order to investigate the reason, the potential of the channel when the work functions are different was examined. FIG.
The potential change in the substrate depth direction at the center of the channel when the work function is changed in steps of 0.1 eV between f = 4.2 and 4.7 is shown. In FIG. 7, the vertical axis represents the potential, and the horizontal axis represents the substrate depth.

【0028】図7に示すように、Φf=4.6,4.7
eVではゲート絶縁膜との界面でのポテンシャルの傾き
は−(下向き)、或いはほぼ0である。それに対し、Φ
f≦4.5では+(上向き)になっており、典型的な表
面チャネルの特徴を備えている。このことによって、シ
ョートチャネル効果が抑制され、また、S−facto
rが改善されていると考えられる。
As shown in FIG. 7, Φ f = 4.6, 4.7
At eV, the slope of the potential at the interface with the gate insulating film is-(downward) or almost zero. On the other hand, Φ
It is + (upward) at f ≦ 4.5 and has the characteristics of a typical surface channel. As a result, the short channel effect is suppressed, and the S-factor
r is considered to be improved.

【0029】また、再び図2に戻ってチャネル濃度につ
いて考察する。φf=4.5eV付近では表面チャネル
型の動作をするだけでなく、チャネル濃度が低くなって
いる。チャネル濃度が低いと、チャネルの移動度は向上
し、またエクステンション領域との接合に於けるリーク
が少ないという利点がある。この観点からすると、ゲー
ト絶縁膜に接するゲート電極の仕事関数は伝導電子帯端
にあるよりも、シリコン基板のバンドギャップ中央に近
い方が望ましい。
Returning to FIG. 2, the channel concentration will be considered. In the vicinity of φ f = 4.5 eV, not only the surface channel type operation is performed, but also the channel concentration is low. When the channel concentration is low, there is an advantage that the mobility of the channel is improved and leakage at the junction with the extension region is small. From this viewpoint, the work function of the gate electrode in contact with the gate insulating film is preferably closer to the center of the band gap of the silicon substrate than to the end of the conduction electron band.

【0030】一般にチャネル濃度は1×1018cm-3
下であることが望ましいと考えられており、4.3eV
よりもバンドギャップ中央近くに位置するのが良い。
It is generally considered that the channel concentration is desirably 1 × 10 18 cm −3 or less, and 4.3 eV
It is better to be located near the center of the band gap.

【0031】上述の条件を満たすように仕事関数を設定
するならば、Vth=0.4Vに対しては、4.3≦φf
≦4.5eVが好適である。また他のしきい値に対して
は、 3.9+Vth≦φf≦4.1+Vth の範囲に設定すると同様の効果が得られる。
If the work function is set so as to satisfy the above-mentioned condition, for V th = 0.4 V, 4.3 ≦ φ f
≦ 4.5 eV is preferred. Also for other thresholds, the same effect can be obtained by setting the range of 3.9 + V th ≦ φ f ≦ 4.1 + V th.

【0032】P型MISFETに関しては、シリコン基
板のバンドギャップの中央に対してN型MISFETと
対称と考えれば良く、5.1+Vth≦φf≦5.3+V
th(Vth<0)の範囲に設定すると同様の効果が得られ
る。
The P-type MISFET can be considered to be symmetrical to the N-type MISFET with respect to the center of the band gap of the silicon substrate, and 5.1 + V th ≦ φ f ≦ 5.3 + V
th (V th <0), a similar effect can be obtained.

【0033】多結晶シリコンをゲート電極に用いた場合
にはシリコン基板のバンドギャップ中央から0.56ず
つeVずらす必要があった。ところが、上述したよう
に、金属材料電極を用いることにより、しきい値電圧が
0.4Vの場合には、シリコン基板のバンドギャップ中
央から0.1eVずれた金属電極材料を用いればよいこ
とが分かった。
When polycrystalline silicon is used for the gate electrode, it is necessary to shift the band gap center of the silicon substrate by 0.56 eV. However, as described above, by using a metal material electrode, when the threshold voltage is 0.4 V, it is found that a metal electrode material shifted from the center of the band gap of the silicon substrate by 0.1 eV may be used. Was.

【0034】シリコン基板のバンドギャップ中央から
0.1eVずれた金属電極材料を見つけることは、0.
56eVずれた材料を探すことより容易である。
To find a metal electrode material that is shifted from the center of the band gap of the silicon substrate by 0.1 eV is equivalent to 0.1.
It is easier than looking for a material that is shifted by 56 eV.

【0035】例えば、表1に示す金属材料を用いればよ
い。
For example, metal materials shown in Table 1 may be used.

【0036】[0036]

【表1】 [Table 1]

【0037】表1に示す金属材料の中から、NMISF
ETには仕事関数が小さい材料、PMISFETには仕
事関数が大きいものを選択してゲート電極を形成すれば
よい。
From the metal materials shown in Table 1, NMISF
A material having a small work function may be selected for ET, and a material having a large work function may be selected for PMISFET to form a gate electrode.

【0038】次に、同一基板上に異なる仕事関数のゲー
ト電極を形成する方法について、図8(a)〜図11
(k)を用いて説明する。図8〜図11は、本発明の一
実施形態にかかわる半導体装置の製造工程を示す工程断
面図である。
Next, a method of forming gate electrodes having different work functions on the same substrate will be described with reference to FIGS.
This will be described using (k). 8 to 11 are process cross-sectional views illustrating a process for manufacturing a semiconductor device according to an embodiment of the present invention.

【0039】先ず、図8(a)に示すように、シリコン
基板600にSTI技術を用いた素子分離絶縁膜602
を形成した後、NMISFETを形成する領域にp−ウ
ェル601、PMISFETを形成する領域にn−ウェ
ル605を形成する。更に、シリコン基板600表面に
薄いシリコン酸化膜603を形成する。そして、後にゲ
ート電極が形成される領域のシリコン酸化膜603上
に、多結晶シリコン等からなるダミーゲート604を形
成する。
First, as shown in FIG. 8A, an element isolation insulating film 602 is formed on a silicon substrate 600 by using the STI technique.
Is formed, a p-well 601 is formed in a region where an NMISFET is formed, and an n-well 605 is formed in a region where a PMISFET is formed. Further, a thin silicon oxide film 603 is formed on the surface of the silicon substrate 600. Then, a dummy gate 604 made of polycrystalline silicon or the like is formed on the silicon oxide film 603 in a region where a gate electrode is to be formed later.

【0040】次いで、図8(b)に示すように、シリコ
ン酸化膜606を形成し、PMISFET領域のシリコ
ン酸化膜606上に選択的にレジストを形成した後、レ
ジスト及びNMISFET領域のダミーゲート604を
マスクに、イオン注入を行うことで、N型エクステンシ
ョン607を形成する。さらに、NMISFET領域の
シリコン酸化膜606上に選択的にレジスト608を形
成した後、レジスト608及びPMISFET領域のダ
ミーゲート604をマスクに、イオン注入を行うことこ
とで、P型エクステンション609を形成する。なお、
シリコン酸化膜606は、シリコン基板600にレジス
トを直接塗布することで、基板が汚染されることを防止
するためのものである。
Next, as shown in FIG. 8B, after forming a silicon oxide film 606 and selectively forming a resist on the silicon oxide film 606 in the PMISFET region, the resist and the dummy gate 604 in the NMISFET region are formed. N-type extensions 607 are formed by performing ion implantation on the mask. Further, after a resist 608 is selectively formed on the silicon oxide film 606 in the NMISFET region, ion implantation is performed using the resist 608 and the dummy gate 604 in the PMISFET region as a mask to form a P-type extension 609. In addition,
The silicon oxide film 606 is for preventing the substrate from being contaminated by directly applying a resist to the silicon substrate 600.

【0041】次いで、図8(c)に示すように、シリコ
ン基板600表面にシリコン窒化膜等の絶縁膜を堆積
し、公知の側壁残し工程を行うことでゲート側壁絶縁膜
610を形成する。
Next, as shown in FIG. 8C, an insulating film such as a silicon nitride film is deposited on the surface of the silicon substrate 600, and a known sidewall leaving process is performed to form a gate sidewall insulating film 610.

【0042】次いで、図9(d)に示すように、PMI
SFET領域のシリコン酸化膜606上に選択的にレジ
ストを形成した後、レジスト及びNMISFET領域の
ダミーゲート604及びゲート側壁絶縁膜610をマス
クに、イオン注入を行うことことで、N型ソース/ドレ
イン611を形成する。さらに、NMISFET領域の
シリコン酸化膜606上に選択的にレジスト612を形
成した後、レジスト612及びPMISFET領域のダ
ミーゲート604及びゲート側壁絶縁膜610をマスク
に、イオン注入を行うことことで、P型ソース/ドレイ
ン613を形成する。
Next, as shown in FIG.
After a resist is selectively formed on the silicon oxide film 606 in the SFET region, ion implantation is performed using the resist and the dummy gate 604 and the gate side wall insulating film 610 in the NMISFET region as a mask, so that the N-type source / drain 611 is formed. To form Further, after selectively forming a resist 612 on the silicon oxide film 606 in the NMISFET region, ion implantation is performed using the resist 612 and the dummy gate 604 and the gate sidewall insulating film 610 in the PMISFET region as a mask, thereby forming a P-type. A source / drain 613 is formed.

【0043】次いで、図9(e)に示すように、シリコ
ン基板600表面にシリコン酸化膜などからなる絶縁膜
614をダミーゲート604の厚みより厚くなるよう
に、堆積する。
Next, as shown in FIG. 9E, an insulating film 614 made of a silicon oxide film or the like is deposited on the surface of the silicon substrate 600 so as to be thicker than the dummy gate 604.

【0044】次いで、図9(f)に示すように、CMP
法等を用いて、ダミーゲート604の頂上が露出するよ
うに、絶縁膜614を平坦に研削する。
Next, as shown in FIG.
Using a method or the like, the insulating film 614 is ground flat so that the top of the dummy gate 604 is exposed.

【0045】次いで、図10(g)に示すように、ダミ
ーゲート604をCDE(ChemicalDry Etching)又は
HFとHNO3 との混合液などを用いたエッチングで除
去し、更にシリコン酸化膜603の露出部分を除去す
る。
Next, as shown in FIG. 10G, the dummy gate 604 is removed by CDE (Chemical Dry Etching) or etching using a mixed solution of HF and HNO 3, and the exposed portion of the silicon oxide film 603 is further removed. Is removed.

【0046】次いで、図10(h)に示すように、ダミ
ーゲート604及びシリコン酸化膜603を除去した後
の溝部にゲート絶縁膜615、及び仕事関数が4.5e
Vより小さい金属膜616を堆積する。ゲート絶縁膜6
15としては、シリコン酸窒化膜、シリコン窒化膜、又
はTa25などのようなシリコン酸化膜より誘電率が高
い膜などが好適である。また、金属膜616としては、
NMISFET領域での仕事関数を決定するだけの物で
あるから、非常に薄い膜厚、例えば10nm以下で十分
である。
Next, as shown in FIG. 10H, a gate insulating film 615 and a work function of 4.5 e are formed in the trench after the dummy gate 604 and the silicon oxide film 603 are removed.
A metal film 616 smaller than V is deposited. Gate insulating film 6
As 15, a film having a higher dielectric constant than a silicon oxide film such as a silicon oxynitride film, a silicon nitride film, or Ta 2 O 5 is preferable. Further, as the metal film 616,
Since it only determines the work function in the NMISFET region, an extremely thin film thickness, for example, 10 nm or less is sufficient.

【0047】次いで、図10(i)に示すように、PM
ISFET側の金属膜616を除去し、金属膜616を
NMISFET側だけに残す。これはレジストをマスク
にウエットエッチング等で除去すれば良い。例えば金属
膜616として、W,Mo,TiNなどを用いている場
合、H22溶液を用いてエッチングすることが可能であ
る。なお、エッチングに用いる溶液は、これに限らず、
エッチングする金属の種類に応じて適宜選択すればよ
い。ゲート絶縁膜615に損傷を与えない範囲で有れ
ば、RIE法やCDE法を用いてエッチングしても良
い。
Next, as shown in FIG.
The metal film 616 on the ISFET side is removed, and the metal film 616 is left only on the NMISFET side. This may be removed by wet etching or the like using a resist as a mask. For example, when W, Mo, TiN, or the like is used for the metal film 616, etching can be performed using an H 2 O 2 solution. The solution used for etching is not limited to this,
What is necessary is just to select suitably according to the kind of metal to be etched. As long as the gate insulating film 615 is not damaged, the etching may be performed by RIE or CDE.

【0048】次いで、図11(j)に示すように、仕事
関数が4.7eVより大きい金属として第2の金属膜6
17を形成する。この第2の金属膜617は、PMIS
FET領域での仕事関数を決定すると共に、ゲート電極
の抵抗値を決定する。従って、仕事関数が適当な値であ
るだけでなく、抵抗率も低いことが望ましい。
Next, as shown in FIG. 11 (j), the second metal film 6 is formed as a metal having a work function larger than 4.7 eV.
17 is formed. This second metal film 617 is made of PMIS
The work function in the FET region is determined, and the resistance value of the gate electrode is determined. Therefore, it is desirable that not only the work function has an appropriate value but also the resistivity is low.

【0049】次に、図11(k)に示すように、少なく
とも第2の金属膜617が完全に絶縁膜614の表面か
ら除去されるように、CMP法等を用いて第2の金属膜
617を研削する。この時、絶縁膜614上のゲート絶
縁膜615を除去しても良いし、必要に応じて残しても
良い。
Next, as shown in FIG. 11 (k), the second metal film 617 is removed by a CMP method or the like so that at least the second metal film 617 is completely removed from the surface of the insulating film 614. Grinding. At this time, the gate insulating film 615 on the insulating film 614 may be removed or may be left as necessary.

【0050】上記の方法で、金属膜615,617は、
NMISFET及びPMISFETの閾値電圧が最適に
なるように、表1に示した材料から選ぶことができる。
表1に示す金属材料の中から、NMISFETには仕事
関数が小さい材料、PMISFETには仕事関数が大き
いものを選択してゲート電極を形成すればよい。
In the above method, the metal films 615 and 617 are
The materials shown in Table 1 can be selected so that the threshold voltages of the NMISFET and the PMISFET are optimized.
From among the metal materials shown in Table 1, a material having a small work function may be selected for the NMISFET and a material having a large work function may be selected for the PMISFET to form the gate electrode.

【0051】また、TiNのような導電性の金属化合物
も同様に金属電極として使うことができるが、結晶配向
によって、0.12〜0.14eV程度の仕事関数が異
なることが報告されている(Nakajima et al. 1999 Sym
posium on VLSI TechnologyDigest of Technical Paper
s p.96)。成膜方法や条件を変えることで、仕事関数が
異なるTiNを形成し、2種の電極材料として用いるこ
とも可能である。
A conductive metal compound such as TiN can also be used as a metal electrode, but it has been reported that the work function of about 0.12 to 0.14 eV differs depending on the crystal orientation ( Nakajima et al. 1999 Sym
posium on VLSI TechnologyDigest of Technical Paper
s p.96). By changing the film forming method and conditions, TiN having different work functions can be formed and used as two kinds of electrode materials.

【0052】次に、1種の金属の仕事関数を膜を形成し
た後に変化させ、2種の仕事関数のゲート電極を得る方
法について、図12を用いて説明する。図12は、本発
明の一実施形態にかかわる半導体装置の製造工程を示す
工程断面図である。まず、図8(a)〜図11(k)に
説明したのと全く同様の方法でダミーゲートを除去した
後にゲート絶縁膜615及び第1の金属膜616を形成
する。
Next, a method of changing the work function of one kind of metal after forming a film to obtain gate electrodes having two kinds of work functions will be described with reference to FIG. FIG. 12 is a process cross-sectional view illustrating a manufacturing process of the semiconductor device according to the embodiment of the present invention. First, the gate insulating film 615 and the first metal film 616 are formed after the dummy gate is removed in exactly the same manner as described with reference to FIGS. 8A to 11K.

【0053】次に、図12(a)に示すように、P型M
ISFET領域にレジストマスク710を形成した後、
In、Ga等の様に融点が低く、仕事関数が小さい金属
をイオン注入し、第1の金属膜616を改質した第3の
金属膜716を形成する。第3の金属膜716は、45
0℃程度の熱工程をかけることで、第3の金属膜716
の粒界を介してゲート絶縁膜615との界面に仕事関数
が低い金属が析出し、所望のしきい値を得ることができ
る。
Next, as shown in FIG.
After forming a resist mask 710 in the ISFET region,
A third metal film 716 obtained by modifying the first metal film 616 is formed by ion-implanting a metal having a low melting point and a low work function such as In or Ga. The third metal film 716 includes 45
By performing a heat process at about 0 ° C., the third metal film 716 is formed.
A metal having a low work function precipitates at the interface with the gate insulating film 615 through the grain boundary, and a desired threshold value can be obtained.

【0054】次いで、図12(b)に示すように、W、
Alなどからなる低抵抗である第2の金属膜617を堆
積し、図12(c)に示すようにCMP法などを用いて
絶縁膜614上に堆積した金属膜616、716、61
7を完全に除去する。
Next, as shown in FIG.
A low-resistance second metal film 617 made of Al or the like is deposited, and the metal films 616, 716, and 61 are deposited on the insulating film 614 by using a CMP method or the like as shown in FIG.
7 is completely removed.

【0055】また、ここでは第1の金属膜616より仕
事関数が低い金属をNMISFET領域に注入したが、
仕事関数が高い金属をPMISFET領域に注入するこ
とも可能であり、また必要に応じて両方の領域に異なる
金属を注入する事も可能である。
Although a metal having a lower work function than that of the first metal film 616 is injected into the NMISFET region here,
It is possible to inject a metal having a high work function into the PMISFET region, and it is also possible to inject a different metal into both regions as needed.

【0056】また、上記の例では、金属を析出させる例
を挙げたが、N等の元素をイオン注入し、組成の違う化
合物を形成することにより仕事関数を変化させることも
可能である。この方法についてはWakabayashi et al. ,
IEDM Technology Digest p.253 (1999) に開示されて
いる。
In the above example, an example of depositing a metal has been described. However, it is also possible to change the work function by ion-implanting an element such as N to form a compound having a different composition. Wakabayashi et al.,
IEDM Technology Digest p.253 (1999).

【0057】なお、本発明は、上記実施形態に限定され
るものではなく、その要旨を逸脱しない範囲で、種々変
形して実施することが可能である。
The present invention is not limited to the above embodiment, but can be implemented in various modifications without departing from the scope of the invention.

【0058】[0058]

【発明の効果】以上説明したように本発明によれば、N
型MISトランジスタのゲート電極は、ゲート絶縁膜に
接し、仕事関数φfが、閾値電圧Vthに対し、Vth
3.9≦φf≦Vth+4.1eVである第1の金属含有
膜を具備し、P型MISトランジスタのゲート電極は、
ゲート絶縁膜に接し、仕事関数φfが、5.1+Vth
φf≦5.3+Vthである第2の金属含有膜を具備する
ことによって、低しきい値電圧のN型及びP型MISF
ETを得ることができる。。
As described above, according to the present invention, N
The gate electrode of the type MIS transistor, contact with the gate insulating film, the work function phi f is, with respect to the threshold voltage V th, V th +
A first metal-containing film satisfying 3.9 ≦ φ f ≦ V th +4.1 eV, and the gate electrode of the P-type MIS transistor
In contact with the gate insulating film, the work function φ f is 5.1 + V th
By providing a second metal-containing film satisfying φ f ≦ 5.3 + V th , N-type and P-type
You can get ET. .

【図面の簡単な説明】[Brief description of the drawings]

【図1】シミュレーションに用いたトランジスタ構造を
示す図。
FIG. 1 is a diagram showing a transistor structure used for a simulation.

【図2】種々の仕事関数に対してしきい値を0.4Vに
合わせるのに要するチャネルドーピングの図。
FIG. 2 is a diagram of channel doping required to adjust the threshold to 0.4 V for various work functions.

【図3】種々の仕事関数に対するゲート長としきい値の
関係を示す図。
FIG. 3 is a diagram illustrating a relationship between a gate length and a threshold for various work functions.

【図4】仕事関数とLの10%の変化に対するしきい値
変化を示す図。
FIG. 4 is a diagram showing a threshold value change with respect to a 10% change in work function and L.

【図5】種々の仕事関数に対する、Vg−Idを示す
図。
FIG. 5 is a diagram showing Vg-Id for various work functions.

【図6】仕事関数とS−factorの関係を示す図。FIG. 6 is a diagram showing a relationship between a work function and an S-factor.

【図7】種々の仕事関数に対するチャネル中央に於ける
ポテンシャルを示す図。
FIG. 7 is a diagram showing the potential at the center of the channel for various work functions.

【図8】本発明の一実施形態に係わる半導体装置の製造
工程を示す図。
FIG. 8 is a diagram showing a manufacturing process of the semiconductor device according to the embodiment of the present invention.

【図9】本発明の一実施形態に係わる半導体装置の製造
工程を示す図。
FIG. 9 is a diagram showing a manufacturing process of the semiconductor device according to the embodiment of the present invention.

【図10】本発明の一実施形態に係わる半導体装置の製
造工程を示す図。
FIG. 10 is a view showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図11】本発明の一実施形態に係わる半導体装置の製
造工程を示す図。
FIG. 11 is a view showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図12】本発明の一実施形態に係わる半導体装置の製
造工程を示す図。
FIG. 12 is a view showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【符号の説明】[Explanation of symbols]

100…シリコン基板 101…ソース/ドレイン 102…エクステンション領域 103…チャネル領域 104…カウンタードーピング領域 105…ゲート絶縁膜 106…ゲート電極 106…ゲート側壁絶縁膜 REFERENCE SIGNS LIST 100 silicon substrate 101 source / drain 102 extension region 103 channel region 104 counter doping region 105 gate insulating film 106 gate electrode 106 gate sidewall insulating film

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4M104 AA01 BB02 BB16 BB18 BB30 BB39 CC05 DD03 DD04 DD26 DD64 DD75 DD81 EE03 EE16 EE17 FF13 GG09 GG10 HH16 HH20 5F048 AA00 AC03 BA01 BB09 BB10 BB11 BB12 BB14 BC06 BD04 BD05 BE03 BG14 DA25 DA27 DA30  ──────────────────────────────────────────────────続 き Continuing on the front page F term (reference) 4M104 AA01 BB02 BB16 BB18 BB30 BB39 CC05 DD03 DD04 DD26 DD64 DD75 DD81 EE03 EE16 EE17 FF13 GG09 GG10 HH16 HH20 5F048 AA00 AC03 BA01 BB09 BB10 BB11 BB12 BB11 DA03 BC03 DA30

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】N型MISトランジスタ及びP型MISト
ランジスタが形成された半導体装置であって、 前記N型MISトランジスタのゲート電極は、ゲート絶
縁膜に接し、仕事関数φf[eV]が、閾値電圧V
th[V]に対し、Vth+3.9≦φf≦Vth+4.1で
ある第1の金属含有膜を具備し、 前記P型MISトランジスタのゲート電極は、前記ゲー
ト絶縁膜に接し、仕事関数φf[eV]が、前記閾値電
圧Vth[V]に対し、5.1+Vth≦φf≦5.3+V
thである第2の金属含有膜を具備してなることを特徴と
する半導体装置。
1. A semiconductor device in which an N-type MIS transistor and a P-type MIS transistor are formed, wherein a gate electrode of the N-type MIS transistor is in contact with a gate insulating film, and a work function φ f [eV] is a threshold value. Voltage V
a first metal-containing film that satisfies V th + 3.9 ≦ φ f ≦ V th +4.1 with respect to th [V]; a gate electrode of the P-type MIS transistor is in contact with the gate insulating film; The work function φ f [eV] is 5.1 + V th ≦ φ f ≦ 5.3 + V with respect to the threshold voltage V th [V].
a semiconductor device comprising a second metal-containing film that is th .
【請求項2】N型MISトランジスタ及びP型MISト
ランジスタそれぞれのゲート電極を半導体基板上の絶縁
膜に形成された開口部内にゲート絶縁膜を介して形成す
る半導体装置の製造方法であって、 前記ゲート電極を形成する工程は、 N型MISトランジスタ用の第1のゲート形成領域及び
P型MISトランジスタ用の第2のゲート形成領域の双
方の領域の開口部内に形成されたゲート絶縁膜上に、仕
事関数φf[eV]が、閾値電圧Vth[V]に対し、V
th+3.9≦φf≦Vth+4.1の条件を満たす第1の
金属含有膜を形成する工程と、 第2のゲート形成領域に形成された第1の金属含有膜を
除去する工程と、 第1のゲート形成領域の第1の金属含有膜上、及び第2
のゲート形成領域のゲート絶縁膜上に、仕事関数φ
f[eV]が、前記閾値電圧Vth[V]に対し、5.1
+Vth≦φf≦5.3+Vthの条件を満たす第2の金属
含有膜を形成する工程とを含むことを特徴とする半導体
装置の製造方法。
2. A method for manufacturing a semiconductor device, comprising forming a gate electrode of each of an N-type MIS transistor and a P-type MIS transistor in an opening formed in an insulating film on a semiconductor substrate via a gate insulating film. The step of forming the gate electrode includes: forming a gate insulating film on the gate insulating film formed in the opening of both the first gate forming region for the N-type MIS transistor and the second gate forming region for the P-type MIS transistor; The work function φ f [eV] is higher than the threshold voltage V th [V] by V
forming a satisfying first metal-containing film of th + 3.9 ≦ φ f ≦ V th +4.1, and removing the first metal-containing film formed on the second gate forming region On the first metal-containing film in the first gate formation region;
Work function φ on the gate insulating film in the gate formation region of
f [eV] is 5.1 with respect to the threshold voltage V th [V].
Forming a second metal-containing film that satisfies the condition of + V th ≦ φ f ≦ 5.3 + V th .
【請求項3】N型MISトランジスタ及びP型MISト
ランジスタそれぞれのゲート電極をシリコン基板上の絶
縁膜に形成された開口部内にゲート絶縁膜を介して形成
する半導体装置の製造方法であって、 前記ゲート電極を形成する工程は、 N型MISトランジスタ用の第1のゲート形成領域及び
P型MISトランジスタ用の第2のゲート形成領域の双
方の領域の開口部内に形成されたゲート絶縁膜上に、第
1の金属含有膜を形成する工程と、 第1及び第2のゲート形成領域の少なくとも一方の領域
の第1の金属含有膜に対して所定の処理を行い、 第1の金属含有膜の仕事関数φf[eV]が、閾値電圧
th[V]に対し、 第1のゲート形成領域において、Vth+3.9≦φf
th+4.1、の条件を満たすと共に、 第2のゲート形成領域において、5.1+Vth≦φf
5.3+Vth、の条件を満たすようにすることを特徴と
する半導体装置の製造方法。
3. A method of manufacturing a semiconductor device, wherein a gate electrode of each of an N-type MIS transistor and a P-type MIS transistor is formed in an opening formed in an insulating film on a silicon substrate via a gate insulating film. The step of forming the gate electrode includes: forming a gate insulating film on the gate insulating film formed in the opening of both the first gate forming region for the N-type MIS transistor and the second gate forming region for the P-type MIS transistor; Forming a first metal-containing film; performing a predetermined process on the first metal-containing film in at least one of the first and second gate formation regions; The function φ f [eV] is larger than the threshold voltage V th [V] in the first gate formation region by V th + 3.9 ≦ φ f
V th +4.1, and in the second gate formation region, 5.1 + V th ≦ φ f
A method of manufacturing a semiconductor device, wherein a condition of 5.3 + V th is satisfied.
JP2000306457A 2000-10-05 2000-10-05 Semiconductor device and its fabricating method Pending JP2002118175A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000306457A JP2002118175A (en) 2000-10-05 2000-10-05 Semiconductor device and its fabricating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000306457A JP2002118175A (en) 2000-10-05 2000-10-05 Semiconductor device and its fabricating method

Publications (1)

Publication Number Publication Date
JP2002118175A true JP2002118175A (en) 2002-04-19

Family

ID=18787145

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000306457A Pending JP2002118175A (en) 2000-10-05 2000-10-05 Semiconductor device and its fabricating method

Country Status (1)

Country Link
JP (1) JP2002118175A (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005106950A1 (en) * 2004-04-20 2005-11-10 Intel Corporation A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
WO2006028690A1 (en) * 2004-09-08 2006-03-16 Intel Corporation A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
WO2006067099A1 (en) * 2004-12-20 2006-06-29 Infineon Technologies Ag Transistor device and method of manufacture thereof
JP2006524438A (en) * 2003-04-23 2006-10-26 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method of forming a metal gate structure with finely tuned work function by introducing silicon
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
JP2008219006A (en) * 2007-02-28 2008-09-18 Samsung Electronics Co Ltd Cmos semiconductor device and manufacturing method therefor
US7429770B2 (en) 2004-01-30 2008-09-30 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7446379B2 (en) 2004-03-18 2008-11-04 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7564061B2 (en) 2004-09-28 2009-07-21 Fujitsu Limited Field effect transistor and production method thereof
WO2009113241A1 (en) * 2008-03-13 2009-09-17 パナソニック株式会社 Semiconductor device and method for manufacturing the same
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US7964460B2 (en) 2004-12-20 2011-06-21 Infineon Technologies Ag Method of manufacturing an NMOS device and a PMOS device
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006524438A (en) * 2003-04-23 2006-10-26 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method of forming a metal gate structure with finely tuned work function by introducing silicon
US7429770B2 (en) 2004-01-30 2008-09-30 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7446379B2 (en) 2004-03-18 2008-11-04 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US8390080B2 (en) 2004-03-18 2013-03-05 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
KR100838851B1 (en) * 2004-04-20 2008-06-16 인텔 코포레이션 A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7671471B2 (en) 2004-04-20 2010-03-02 Intel Corporation Method for making a semiconductor device having a high-k dielectric layer and a metal gate electrode
WO2005106950A1 (en) * 2004-04-20 2005-11-10 Intel Corporation A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7355281B2 (en) 2004-04-20 2008-04-08 Intel Corporation Method for making semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US8476678B2 (en) 2004-06-17 2013-07-02 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8637357B2 (en) 2004-06-17 2014-01-28 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric and method of manufacture thereof
US8729633B2 (en) 2004-06-17 2014-05-20 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric
US9269635B2 (en) 2004-06-17 2016-02-23 Infineon Technologies Ag CMOS Transistor with dual high-k gate dielectric
US7390709B2 (en) 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
WO2006028690A1 (en) * 2004-09-08 2006-03-16 Intel Corporation A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7785958B2 (en) 2004-09-08 2010-08-31 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7564061B2 (en) 2004-09-28 2009-07-21 Fujitsu Limited Field effect transistor and production method thereof
US7709901B2 (en) 2004-12-06 2010-05-04 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
WO2006067099A1 (en) * 2004-12-20 2006-06-29 Infineon Technologies Ag Transistor device and method of manufacture thereof
US8685814B2 (en) 2004-12-20 2014-04-01 Infineon Technologies Ag Transistor device and method of manufacture thereof
US8669154B2 (en) 2004-12-20 2014-03-11 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7964460B2 (en) 2004-12-20 2011-06-21 Infineon Technologies Ag Method of manufacturing an NMOS device and a PMOS device
US7253050B2 (en) 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US8017484B2 (en) 2005-03-21 2011-09-13 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US8269289B2 (en) 2005-03-21 2012-09-18 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7755144B2 (en) 2005-11-15 2010-07-13 Infineon Technologies Ag Multiple-gate MOS transistors
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8169033B2 (en) 2005-12-14 2012-05-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7973369B2 (en) 2005-12-14 2011-07-05 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7749832B2 (en) 2005-12-14 2010-07-06 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8004047B2 (en) 2005-12-16 2011-08-23 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
JP2008219006A (en) * 2007-02-28 2008-09-18 Samsung Electronics Co Ltd Cmos semiconductor device and manufacturing method therefor
WO2009113241A1 (en) * 2008-03-13 2009-09-17 パナソニック株式会社 Semiconductor device and method for manufacturing the same
US8198686B2 (en) 2008-03-13 2012-06-12 Panasonic Corporation Semiconductor device
JP2009224386A (en) * 2008-03-13 2009-10-01 Panasonic Corp Semiconductor device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
US11424244B2 (en) Integrated circuit having a vertical power MOS transistor
US11810827B2 (en) FinFET device with different liners for PFET and NFET and method of fabricating thereof
US7256458B2 (en) Doubly asymmetric double gate transistor structure
US7378713B2 (en) Semiconductor devices with dual-metal gate structures and fabrication methods thereof
US7235472B2 (en) Method of making fully silicided gate electrode
US9768074B2 (en) Transistor structure and fabrication methods with an epitaxial layer over multiple halo implants
US8129794B2 (en) Semiconductor device including MISFETs having different threshold voltages
JP2002118175A (en) Semiconductor device and its fabricating method
US20070128820A1 (en) Apparatus and method of fabricating a MOSFET transistor having a self-aligned implant
JP2004241755A (en) Semiconductor device
JP2007123867A (en) Semiconductor device and manufacturing method of the same
JP5925740B2 (en) Tunnel field effect transistor
US6509609B1 (en) Grooved channel schottky MOSFET
US7919379B2 (en) Dielectric spacer removal
US7883944B2 (en) Ultra-thin semiconductor on insulator metal gate complementary field effect transistor with metal gate and method of forming thereof
US6376323B1 (en) Fabrication of gate of P-channel field effect transistor with added implantation before patterning of the gate
US20080093666A1 (en) Semiconductor Device and Manufacturing Method Thereof
JP2008305950A (en) Semiconductor device, and method of manufacturing the same
JP2004247341A (en) Semiconductor device
US20140175553A1 (en) Mos semiconductor device and method of manufacturing the same
JP6840199B2 (en) Semiconductor device
JP2022552417A (en) Horizontal gate all-around (hGAA) nanowire and nanoslab transistors
JPH04212467A (en) Semiconductor device and manufacture thereof
US9142674B2 (en) FINFET devices having a body contact and methods of forming the same
US11646361B2 (en) Electrical isolation structure using reverse dopant implantation from source/drain region in semiconductor fin

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060207