JP2002083869A - Semiconductor device and production method therefor - Google Patents

Semiconductor device and production method therefor

Info

Publication number
JP2002083869A
JP2002083869A JP2000274426A JP2000274426A JP2002083869A JP 2002083869 A JP2002083869 A JP 2002083869A JP 2000274426 A JP2000274426 A JP 2000274426A JP 2000274426 A JP2000274426 A JP 2000274426A JP 2002083869 A JP2002083869 A JP 2002083869A
Authority
JP
Japan
Prior art keywords
film
insulating layer
semiconductor device
layer
atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000274426A
Other languages
Japanese (ja)
Other versions
JP4484345B2 (en
Inventor
Takashi Akahori
孝 赤堀
Motoichi Tei
基市 鄭
Gohei Kawamura
剛平 川村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2000274426A priority Critical patent/JP4484345B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to EP01965571A priority patent/EP1320884A2/en
Priority to TW090122485A priority patent/TW530380B/en
Priority to KR10-2003-7003543A priority patent/KR100479796B1/en
Priority to PCT/JP2001/007880 priority patent/WO2002023625A2/en
Priority to EP07001638A priority patent/EP1777739A3/en
Priority to US10/380,038 priority patent/US6949829B2/en
Publication of JP2002083869A publication Critical patent/JP2002083869A/en
Application granted granted Critical
Publication of JP4484345B2 publication Critical patent/JP4484345B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a highly reliable semiconductor device provided with the etching stopper film of low dielectric constant suitable for a damascene method and a production method therefor. SOLUTION: A wiring layer HL of a top layer having a Cu layer 107 embedded in a trench hole 108 and a via hole 109 is formed on a wiring layer LL of a lower layer by the damanscene method. As an etching stopper film 110 to be used for the damascene method, a film (SiCN film) containing Si, C and B as main elements is used. This SiCN etching stopper film has a low dielectric constant (5 to 5.5) and a sufficient etching selection ratio can be taken with respect to a layer insulating film 106. Further, since Cu diffusibility is low, highly reliable wiring is formed.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置及び半
導体装置の製造方法に関する。
The present invention relates to a semiconductor device and a method for manufacturing a semiconductor device.

【0002】[0002]

【従来の技術】半導体集積回路の高性能化のために、配
線の高速化が進められている。配線の高速化には、層間
絶縁膜の低誘電率化及び配線抵抗の低減が有効な手段で
ある。低誘電率の層間絶縁膜としては、SiOF膜(約
3.5)等が従来のSiO膜(約4.0)に代わって
用いられている。また、配線抵抗の低減のため、従来の
Al(抵抗率2.7μΩ・cm)を主成分とする合金よ
りも、エレクトロマイグレーション耐性に優れ、低抵抗
な(1.9μΩ・cm)Cuを配線金属として用いるこ
とが多くなっている。
2. Description of the Related Art In order to improve the performance of semiconductor integrated circuits, the speed of wiring has been increased. To increase the speed of wiring, it is effective means to lower the dielectric constant of the interlayer insulating film and reduce the wiring resistance. As the low dielectric constant interlayer insulating film, an SiOF film (about 3.5) or the like is used instead of a conventional SiO 2 film (about 4.0). In addition, in order to reduce the wiring resistance, Cu (1.9 μΩ · cm), which is superior in electromigration resistance and low in resistance (1.9 μΩ · cm), is used as a wiring metal compared to a conventional alloy mainly containing Al (resistivity: 2.7 μΩ · cm). It is often used as.

【0003】Cu配線を使用する場合、従来のエッチン
グプロセスによる加工が困難であるため、Cuをエッチ
ングせずにCuの多層配線を実現する方法として、所謂
ダマシン法が用いられている。以下、図11(a)〜
(f)を参照して、ダマシン法を説明する。
In the case of using Cu wiring, it is difficult to process by a conventional etching process. Therefore, a so-called damascene method is used as a method for realizing a multilayer wiring of Cu without etching Cu. Hereinafter, FIGS.
The damascene method will be described with reference to FIG.

【0004】まず、基板又は下層配線層601上に、例
えば、SiOFから構成される層間絶縁膜(下地膜)6
02、エッチングストッパ膜603を順に形成する(図
11(a))。次いで、基板表面上に開口604aを有
するレジストパターン604を設け(図11(b))、
これをマスクとしてプラズマエッチング等により、エッ
チングストッパ膜603にスルーホール603aを形成
する(図11(c))。さらに、スルーホール603a
の形成されたエッチングストッパ膜603をマスクとし
たパターニングにより配線溝605を形成する(図11
(d))。続いて、金属膜606の密着層であるバリヤ
メタル膜606aをスパッタリング等によって形成した
後、金属膜606をめっき等により形成する(図11
(e))。その後、化学的機械的研磨(Chemical Mecha
nical Polishing:CMP)により、エッチングストッ
パ膜603をストッパとして不用なバリヤメタル膜及び
金属膜の除去を行うとともに、表面を平坦化する(図1
1(f))。以上のような工程によって、配線層が形成
され、この工程を繰り返して多層配線層が形成される。
First, an interlayer insulating film (base film) 6 made of, for example, SiOF is formed on a substrate or a lower wiring layer 601.
02, an etching stopper film 603 is formed in order (FIG. 11A). Next, a resist pattern 604 having an opening 604a is provided on the substrate surface (FIG. 11B).
Using this as a mask, a through hole 603a is formed in the etching stopper film 603 by plasma etching or the like (FIG. 11C). Furthermore, through holes 603a
A wiring groove 605 is formed by patterning using the etching stopper film 603 formed with the mask as a mask.
(D)). Subsequently, after forming a barrier metal film 606a as an adhesion layer of the metal film 606 by sputtering or the like, the metal film 606 is formed by plating or the like (FIG. 11).
(E)). After that, chemical mechanical polishing (Chemical Mecha
Unnecessary barrier metal film and metal film are removed by using the etching stopper film 603 as a stopper, and the surface is planarized by nical polishing (CMP) (FIG. 1).
1 (f)). A wiring layer is formed by the steps described above, and this step is repeated to form a multilayer wiring layer.

【0005】[0005]

【発明が解決しようとする課題】上記したダマシン法で
は、エッチングストッパ膜が用いられ、このエッチング
ストッパ膜は、下地膜のエッチングにおいてはマスクと
して働く。従って、下地膜との高いエッチング選択比が
求められる。また、エッチングストッパ膜は、半導体装
置中で層間絶縁膜としての働きも有するので、低い比誘
電率、そして、配線金属がCuである場合には特に、低
い金属拡散性が求められる。
In the above-described damascene method, an etching stopper film is used, and this etching stopper film functions as a mask in etching a base film. Therefore, a high etching selectivity with the base film is required. Further, since the etching stopper film also has a function as an interlayer insulating film in the semiconductor device, a low relative dielectric constant and a low metal diffusion property are required particularly when the wiring metal is Cu.

【0006】このようなエッチングストッパ膜として
は、SiとNを主要元素として構成されるもの(以下、
SiN系膜)、SiとCを主要元素として構成されるも
の(以下、SiC系膜)が知られている。しかしなが
ら、SiN系膜の比誘電率は7〜8と高いものである。
さらに、下地膜がSiOF等のフッ素含有膜であり、こ
れをプラズマエッチングする場合には、エッチング時に
発生したフッ素ラジカル等によってSiN系膜が損傷す
るなど、エッチング選択比が十分に取れず、加工精度が
劣化する。また、SiC系膜(特に、CH基を含む
膜)は、エッチング選択比が良好であり、比誘電率が5
付近のものもあるが、Cuの拡散性が高い。このよう
に、従来のエッチングストッパ膜は、ダマシン法による
配線構造の形成に必要な条件を十分に満たしてはいなか
った。
As such an etching stopper film, a film composed of Si and N as main elements (hereinafter, referred to as an etching stopper film).
A SiN-based film) and a film composed of Si and C as main elements (hereinafter, a SiC-based film) are known. However, the relative permittivity of the SiN-based film is as high as 7 to 8.
In addition, when the underlying film is a fluorine-containing film such as SiOF and plasma etching is performed, the SiN-based film is damaged by fluorine radicals and the like generated at the time of etching. Deteriorates. Also, SiC-based film (in particular, a film containing CH n group) has good etch selectivity relative dielectric constant of 5
Although there is a nearby one, the diffusivity of Cu is high. As described above, the conventional etching stopper film does not sufficiently satisfy the conditions necessary for forming the wiring structure by the damascene method.

【0007】従って、本発明は、信頼性の高い半導体装
置及びその製造方法の提供を目的とする。
Accordingly, it is an object of the present invention to provide a highly reliable semiconductor device and a method for manufacturing the same.

【0008】[0008]

【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の観点に係る半導体装置は、複数の溝
又は穴を有する低誘電率の第1の絶縁層と、前記第1の
絶縁層上に形成され、前記複数の溝又は穴と重なる複数
の開口を有し、SiとCとNとを主たる含有元素とする
第2の絶縁層と、前記複数の溝又は穴と前記複数の開口
とから形成される複数の配線溝又は穴に埋め込まれた導
体層と、を備えたことを特徴とする。
In order to achieve the above object, a semiconductor device according to a first aspect of the present invention comprises: a first insulating layer having a plurality of grooves or holes and having a low dielectric constant; Having a plurality of openings formed on the insulating layer, and overlapping the plurality of grooves or holes, a second insulating layer containing Si, C, and N as main elements, and the plurality of grooves or holes, And a conductor layer embedded in a plurality of wiring grooves or holes formed from the plurality of openings.

【0009】上記構成によれば、SiとCとNとから構
成される第2の絶縁層は、従来の、SiとC又はSiと
Nとから構成されるエッチングストッパ膜と比べて同等
又はそれ以下の誘電率を有するとともに、これらのエッ
チングストッパ膜に比べて、第1の絶縁膜との良好なエ
ッチング選択比を有している。このため、信頼性の高い
半導体装置が提供される。
According to the above structure, the second insulating layer composed of Si, C and N is equal to or smaller than a conventional etching stopper film composed of Si and C or Si and N. It has the following dielectric constant, and has a better etching selectivity with the first insulating film than these etching stopper films. Thus, a highly reliable semiconductor device is provided.

【0010】上記構成において、前記第2の絶縁層は、
Si原子の数に対するC原子の数の比が0.2〜0.8
であり、かつ、Si原子の数に対するN原子の数の比が
0.15〜1.0であることが好ましい。SiCN系膜
中のSi、C、Nの存在比が上記範囲にある場合、Si
CN膜の前記第1の絶縁層に対するエッチング選択比は
高く、かつ、Cu拡散性も低減される。
[0010] In the above structure, the second insulating layer includes:
When the ratio of the number of C atoms to the number of Si atoms is 0.2 to 0.8
And the ratio of the number of N atoms to the number of Si atoms is preferably 0.15 to 1.0. When the abundance ratio of Si, C, and N in the SiCN-based film is within the above range, Si
The etching selectivity of the CN film with respect to the first insulating layer is high, and the Cu diffusivity is reduced.

【0011】上記構成において、前記第1の絶縁層は、
フッ化酸化ケイ素又はフッ化カーボンから構成されてい
てもよい。これらのフッ素含有膜は、プラズマエッチン
グに際し、フッ素ラジカル等の発生を伴う。しかし、S
iCN系の前記第2の絶縁層(エッチングストッパ膜)
はこれらのラジカルに強く、前記第1の絶縁層に対して
エッチング選択比を十分に取れる。
In the above structure, the first insulating layer is
It may be composed of silicon fluoride oxide or carbon fluoride. These fluorine-containing films are accompanied by generation of fluorine radicals and the like during plasma etching. But S
iCN-based second insulating layer (etching stopper film)
Are resistant to these radicals, and have a sufficient etching selectivity with respect to the first insulating layer.

【0012】上記構成において、前記導体層は、Cuか
ら構成されていてもよい。すなわち、SiとCとNとか
ら構成される第2の絶縁層はCu拡散性が低いので、C
uを用いた、信頼性の高い配線層が形成される。
In the above structure, the conductor layer may be made of Cu. That is, since the second insulating layer composed of Si, C, and N has low Cu diffusivity,
A highly reliable wiring layer using u is formed.

【0013】上記構成において、前記配線溝又は穴には
バリアメタル層が形成され、前記導体層は、前記バリア
メタル層の上に形成されていることが好ましい。この構
成により、導体層を構成する金属の拡散を抑止すること
ができるだけでなく、導体層と層間絶縁層との密着性を
高めることができ、半導体装置の信頼性を向上させるこ
とができる。
In the above structure, it is preferable that a barrier metal layer is formed in the wiring groove or the hole, and the conductor layer is formed on the barrier metal layer. With this configuration, not only the diffusion of the metal forming the conductor layer can be suppressed, but also the adhesion between the conductor layer and the interlayer insulating layer can be increased, and the reliability of the semiconductor device can be improved.

【0014】上記構成において、さらに、前記第2の絶
縁層及び前記導体層の上に形成された、前記第2の絶縁
層と同一の構成を有する第3の絶縁層を備えることが好
ましい。これにより、Cu等の導体層からの金属の拡散
を抑えることができる。
In the above structure, it is preferable that a third insulating layer having the same structure as that of the second insulating layer is further formed on the second insulating layer and the conductor layer. Thus, diffusion of metal from a conductor layer such as Cu can be suppressed.

【0015】上記目的を達成するため、本発明の第2の
観点に係る半導体装置の製造方法は、第1の絶縁層を形
成する工程と、前記第1の絶縁層上に、SiとCとNと
を主たる含有元素とする第2の絶縁層を形成する工程
と、前記第1の絶縁層の表面が部分的に露出するよう、
前記第2の絶縁層を選択的にエッチングして開口を形成
する工程と、前記選択的にエッチングされた第2の絶縁
層をマスクとして前記第1の絶縁層をエッチングして、
配線溝又は穴を形成する工程と、前記開口及び前記配線
溝又は穴を埋めて導体層を形成する工程と、前記導体層
を、前記第2の絶縁層をストッパとして研磨する工程
と、を備えたことを特徴とする。
In order to achieve the above object, a method for manufacturing a semiconductor device according to a second aspect of the present invention includes a step of forming a first insulating layer, and a step of forming Si and C on the first insulating layer. Forming a second insulating layer containing N as a main element, and partially exposing the surface of the first insulating layer.
Selectively etching the second insulating layer to form an opening; and etching the first insulating layer using the selectively etched second insulating layer as a mask;
Forming a wiring groove or hole, filling the opening and the wiring groove or hole to form a conductor layer, and polishing the conductor layer using the second insulating layer as a stopper. It is characterized by having.

【0016】上記構成によれば、SiとCとNとから構
成される第2の絶縁層は、従来の、SiとC又はSiと
Nとから構成されるエッチングストッパ膜と比べて同等
又はそれ以下の誘電率を有するとともに、これらのエッ
チングストッパ膜に比べて、一般的な層間絶縁膜である
第1の絶縁層との良好なエッチング選択比を有する。こ
のため、信頼性の高い半導体装置の製造することができ
る。
According to the above configuration, the second insulating layer composed of Si, C and N is equal to or smaller than a conventional etching stopper film composed of Si and C or Si and N. In addition to having the following dielectric constant, it has a better etching selectivity with the first insulating layer, which is a general interlayer insulating film, than these etching stopper films. Therefore, a highly reliable semiconductor device can be manufactured.

【0017】上記構成において、前記第2の絶縁層は、
Si原子の数に対するC原子の数の比が0.2〜0.8
であり、かつ、Si原子の数に対するN原子の数の比が
0.15〜1.0であることが好ましい。SiCN系膜
中のSi、C、Nの存在比が上記範囲にある場合、Si
CN膜の下地膜に対するエッチング選択比は高く、か
つ、Cu拡散性も低減される。
In the above structure, the second insulating layer is
When the ratio of the number of C atoms to the number of Si atoms is 0.2 to 0.8
And the ratio of the number of N atoms to the number of Si atoms is preferably 0.15 to 1.0. When the abundance ratio of Si, C, and N in the SiCN-based film is within the above range, Si
The etching selectivity of the CN film to the underlying film is high, and the Cu diffusivity is reduced.

【0018】上記構成において、前記第1の絶縁層は、
フッ化酸化ケイ素又はフッ化カーボンから構成されてい
てもよい。これらのフッ素含有膜は、プラズマエッチン
グに際し、フッ素ラジカル等の発生を伴う。しかし、S
iCN系の前記第2の絶縁層(エッチングストッパ膜)
はこれらのラジカルに強く、前記第1の絶縁層に対して
エッチング選択比を十分に取れる。
In the above structure, the first insulating layer is
It may be composed of silicon fluoride oxide or carbon fluoride. These fluorine-containing films are accompanied by generation of fluorine radicals and the like during plasma etching. But S
iCN-based second insulating layer (etching stopper film)
Are resistant to these radicals, and have a sufficient etching selectivity with respect to the first insulating layer.

【0019】上記構成において、前記導体層は、Cuか
ら構成されていてもよい。すなわち、SiとCとNとか
ら構成される第2の絶縁層はCu拡散性が低いので、C
uを用いた、信頼性の高い配線層が形成される。
In the above structure, the conductor layer may be made of Cu. That is, since the second insulating layer composed of Si, C, and N has low Cu diffusivity,
A highly reliable wiring layer using u is formed.

【0020】上記構成において、さらに、前記導体層
と、前記開口及び前記配線溝又は穴との間にバリアメタ
ル層を形成する工程を備えることが好ましい。この構成
により、導体層を構成する金属の拡散を抑止することが
できるだけでなく、導体層と層間絶縁層との密着性を高
めることができ、半導体装置の信頼性を向上させること
ができる。
Preferably, the above structure further comprises a step of forming a barrier metal layer between the conductor layer and the opening and the wiring groove or hole. With this configuration, not only the diffusion of the metal forming the conductor layer can be suppressed, but also the adhesion between the conductor layer and the interlayer insulating layer can be increased, and the reliability of the semiconductor device can be improved.

【0021】上記構成において、さらに、前記第2の絶
縁層及び前記導体層の上に、前記第2の絶縁層と同一の
構成を有する第3の絶縁層を形成する工程を備えること
が好ましい。これにより、Cu等の導体層からの金属の
拡散を抑えることができる。
Preferably, the above structure further includes a step of forming a third insulating layer having the same structure as the second insulating layer on the second insulating layer and the conductor layer. Thus, diffusion of metal from a conductor layer such as Cu can be suppressed.

【0022】[0022]

【発明の実施の形態】本発明の実施の形態にかかる半導
体装置について、以下図面を参照して説明する。図1
は、本実施の形態の半導体装置の構成を示す部分断面図
である。この半導体装置は、Si等の基板上に形成され
たMOSトランジスタ等の素子を覆う絶縁膜(図示せ
ず)上に多層配線層を形成したものであり、図1は、基
板表面に形成された配線層を示している。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A semiconductor device according to an embodiment of the present invention will be described below with reference to the drawings. FIG.
FIG. 1 is a partial cross-sectional view illustrating a configuration of a semiconductor device according to the present embodiment. In this semiconductor device, a multilayer wiring layer is formed on an insulating film (not shown) covering elements such as MOS transistors formed on a substrate such as Si. FIG. 3 shows a wiring layer.

【0023】図1に示すように、最上層の配線層HLの
下に、第1の下地膜(層間絶縁膜)101、第1の導体
層102、第1のエッチングストッパ膜105、から構
成される下層配線層LLが形成されている。
As shown in FIG. 1, a first base film (interlayer insulating film) 101, a first conductor layer 102, and a first etching stopper film 105 are provided below the uppermost wiring layer HL. Lower wiring layer LL is formed.

【0024】第1の下地膜101は、フッ化酸化ケイ素
(SiOF)膜、フッ素含有カーボン膜等から構成さ
れ、第1のエッチングストッパ膜105とともに、第1
のトレンチホール103及び第1のビアホール104を
形成している。形成された第1のトレンチホール103
及び第1のビアホール104には第1の導体層102が
形成されている。第1の導体層102は、Cu等の導体
から構成される。第1の下地膜101と第1の導体層1
02との間には、第1のバリアメタル膜102aが形成
される。第1のバリアメタル膜102aは、Ta/Ta
N、W/WN、Ti/TiN等の高融点金属又はその金
属の合金の多層膜から構成され、Cu等の金属の拡散を
防ぐとともに、下地膜101と導体層102との密着性
を高める機能を持つ。第1の導体層102は、さらに下
の配線層(図示せず)又はSi基板に接続されている。
The first underlayer 101 is composed of a silicon fluoride oxide (SiOF) film, a fluorine-containing carbon film, or the like.
Trench hole 103 and a first via hole 104 are formed. First trench hole 103 formed
The first conductor layer 102 is formed in the first via hole 104. The first conductor layer 102 is made of a conductor such as Cu. First base film 101 and first conductor layer 1
02, a first barrier metal film 102a is formed. The first barrier metal film 102a is formed of Ta / Ta
It is composed of a multilayer film of a high melting point metal such as N, W / WN, Ti / TiN or an alloy of the metal, and functions to prevent diffusion of a metal such as Cu and to enhance adhesion between the base film 101 and the conductor layer 102. have. The first conductor layer 102 is connected to a lower wiring layer (not shown) or a Si substrate.

【0025】第1のエッチングストッパ膜105は、S
iとCとNとを主要元素として構成されたSiCN系の
絶縁膜である。このSiCN系膜は、C原子の数のSi
原子の数に対する比(C/Si)が0.2〜0.8、か
つ、N原子の数のSi原子の数に対する比(N/Si)
が0.15〜1.0であるように組成されている。ま
た、このSiCN系膜の比誘電率は5〜5.5であり、
エッチングストッパ膜として知られているSiN系(S
iとNを主要元素とする)膜(7付近)よりも低く、S
iC系(SiとCを主要元素とする)膜(5付近)と同
程度に低い。
The first etching stopper film 105 is made of S
This is an SiCN-based insulating film including i, C, and N as main elements. This SiCN-based film has a number of C atoms of Si
The ratio (C / Si) to the number of atoms is 0.2 to 0.8, and the ratio of the number of N atoms to the number of Si atoms (N / Si)
Is 0.15 to 1.0. The relative permittivity of the SiCN-based film is 5 to 5.5,
SiN-based (S) known as an etching stopper film
i) and (N) as main elements) film (around 7),
It is as low as an iC-based film (having Si and C as main elements) (around 5).

【0026】上述の下層配線層LLの上には、第2の下
地膜106及び第2のエッチングストッパ膜110が形
成されている。下層配線層LLと同様に、第2の下地膜
106及び第2のエッチングストッパ膜110は、第2
のトレンチホール108及び第2のビアホール109を
形成し、これらの内部には、第2のバリアメタル膜10
7aを介して、第2の導体層107が埋め込まれてい
る。
On the lower wiring layer LL, a second base film 106 and a second etching stopper film 110 are formed. Similarly to the lower wiring layer LL, the second base film 106 and the second etching stopper film 110
Is formed, and a second barrier metal film 10 is formed inside these.
The second conductor layer 107 is buried through 7a.

【0027】最上層の配線層HLの上には、第3のエッ
チングストッパ膜111が形成され、これは、SiとC
とNとを主要元素として構成された上記第1及び第2の
エッチングストッパ膜と同じ構成を有し、Cu等からな
る導体層からの金属の拡散を抑える機能を有する。さら
に第3のエッチングストッパ膜111の上には、第3の
下地膜112及びパッシベーション膜113(例えば、
SiO膜、SiON膜)が順に形成されている。これ
らは、酸化等されやすい基板表面の保護層である。
A third etching stopper film 111 is formed on the uppermost wiring layer HL.
And N have the same structure as the first and second etching stopper films constituted as main elements, and have a function of suppressing diffusion of metal from a conductor layer made of Cu or the like. Further, on the third etching stopper film 111, a third base film 112 and a passivation film 113 (for example,
An SiO 2 film and a SiON film) are sequentially formed. These are protective layers on the substrate surface that are easily oxidized.

【0028】次に、上述した半導体装置の製造方法を説
明する。本実施の形態では、半導体装置を、ダマシン法
の変形である、トレンチホールとビアホールを形成す
る、デュアルダマシン法を用いて製造する。
Next, a method of manufacturing the above-described semiconductor device will be described. In this embodiment mode, a semiconductor device is manufactured by using a dual damascene method in which a trench hole and a via hole are formed, which is a modification of the damascene method.

【0029】図2〜図6は、デュアルダマシン法による
Cu配線の形成工程を順に示す図である。以下、図を参
照して順次説明を行う。
FIGS. 2 to 6 are diagrams sequentially showing the steps of forming the Cu wiring by the dual damascene method. Hereinafter, description will be made sequentially with reference to the drawings.

【0030】まず、図2(a)に示すように、第1の下
地膜101、第1の導体層102、第1のエッチングス
トッパ膜105等から構成される下層配線層LL上に、
第2の下地膜106、第2のエッチングストッパ膜11
0を順次成膜する。第2の下地膜106は、SiOF膜
であり、電子サイクロトロン共鳴(Electron Cyclotron
Resonance:ECR)プラズマを用いる化学的気相成長
法(Chemical Vapor Deposition:CVD)により、例
えば、SiH/SiF/O(流量比:50/50
/200)という条件で、0.8μm(8000Å)程
度に形成する。
First, as shown in FIG. 2A, a lower wiring layer LL composed of a first underlying film 101, a first conductor layer 102, a first etching stopper film 105, and the like is formed.
Second base film 106, second etching stopper film 11
0 are sequentially formed. The second base film 106 is a SiOF film, and is provided with an electron cyclotron resonance (Electron Cyclotron).
For example, SiH 4 / SiF 4 / O 2 (flow ratio: 50/50) by chemical vapor deposition (CVD) using Resonance (ECR) plasma.
/ 200) under the condition of about 0.8 μm (8000 °).

【0031】第2のエッチングストッパ膜110は、S
iCN系膜であり、ECRプラズマCVD法により0.
05μm程度に成膜する。成膜には、例えば、SiH
/C /N(流量比:10/15/15)の混合
ガスが用いられる。
The second etching stopper film 110 is made of S
It is an iCN-based film.
A film is formed to a thickness of about 05 μm. For film formation, for example, SiH4
/ C 2H4/ N2(Flow rate ratio: 10/15/15)
Gas is used.

【0032】次に、図2(b)に示すように、有機材料
等から構成される第1のレジスト膜201を第2のエッ
チングストッパ膜110上に形成し、フォトリソグラフ
ィ技術によりビアホールのパターン201aを形成す
る。
Next, as shown in FIG. 2B, a first resist film 201 made of an organic material or the like is formed on the second etching stopper film 110, and a via hole pattern 201a is formed by photolithography. To form

【0033】続いて、図3(a)に示すように、ビアホ
ールパターン201aがパターニングされた第1のレジ
スト膜201をマスクとして、例えば、CFのプラズ
マガスで第2のエッチングストッパ膜110をエッチン
グし、ビアホール形成用の開口部110aを形成する。
Subsequently, as shown in FIG. 3A, the second etching stopper film 110 is etched by, for example, a plasma gas of CF 4 using the first resist film 201 on which the via hole pattern 201a is patterned as a mask. Then, an opening 110a for forming a via hole is formed.

【0034】次に、図3(a)に示すように、第2のエ
ッチングストッパ膜110をマスクとした異方性エッチ
ングを行い、第2の下地膜106にホール106aを形
成する。ここで、第2の下地膜(SiOF膜)106の
エッチングは、例えば、O/CFプラズマガスを用
いた反応性イオンエッチング(Reactive Ion Etching:
RIE)により行えばよい。また、Oプラズマガスが
添加されているので、第1のレジスト膜201も同時に
除去することができる。
Next, as shown in FIG. 3A, anisotropic etching is performed using the second etching stopper film 110 as a mask to form a hole 106a in the second base film 106. Here, the etching of the second base film (SiOF film) 106 is performed, for example, by reactive ion etching (Reactive Ion Etching: O 2 / CF 4 plasma gas).
RIE). Further, since the O 2 plasma gas is added, the first resist film 201 can be removed at the same time.

【0035】続いて、図4(a)に示すように、第2の
レジスト膜202を第2のエッチングストッパ膜110
上に塗布し、公知のリソグラフィ技術によりトレンチホ
ールのパターン202aを形成する。この第2のレジス
ト膜202をマスクとして第2のエッチングストッパ膜
110を異方性エッチングして、トレンチホール形成用
の開口部110bを形成する。
Subsequently, as shown in FIG. 4A, a second resist film 202 is formed on the second etching stopper film 110.
Then, a trench hole pattern 202a is formed by a known lithography technique. Using the second resist film 202 as a mask, the second etching stopper film 110 is anisotropically etched to form an opening 110b for forming a trench hole.

【0036】次に、図4(b)に示すように、トレンチ
ホール形成用の開口部110bが形成された第2のエッ
チングストッパ膜110をマスクとして第2の下地膜1
06をエッチングする。このとき、エッチング条件を適
当に調節することにより、第2の下地膜106の表面か
ら所定の深さまでエッチングする。これにより、第2の
下地膜106に、第2のトレンチホール108、第2の
ビアホール109が形成される。ここで、第2のトレン
チホール108及び第2のビアホール109の形成は、
上述したホール106aの形成と同様に、例えば、O
/CFプラズマガスを用いたRIEにより行われ、こ
のとき、第2のレジスト膜202も同時にエッチングす
ることができる。
Next, as shown in FIG. 4B, using the second etching stopper film 110 in which the opening 110b for forming a trench hole is formed as a mask, the second base film 1 is formed.
06 is etched. At this time, the etching is performed to a predetermined depth from the surface of the second base film 106 by appropriately adjusting the etching conditions. As a result, a second trench hole 108 and a second via hole 109 are formed in the second base film 106. Here, the formation of the second trench hole 108 and the second via hole 109 is as follows.
As in the formation of the hole 106a described above, for example, O 2
This is performed by RIE using / CF 4 plasma gas, and at this time, the second resist film 202 can be simultaneously etched.

【0037】続いて、図5(a)に示すように、基板表
面全体に、第2のバリアメタル膜107a及び第2の導
体層107を順に形成する。第2のバリアメタル膜10
7aは、例えば、TaN層とTa層から構成される膜
(Ta/TaN)であり、例えば、スパッタリングによ
り形成される。また、第2の導体層107は、例えば、
Cu膜であり、スパッタリングによりCuシード層を形
成した後、無電解めっき法等により形成される。その
後、図5(b)に示すように、化学的機械的研磨(Chem
ical Mechanical Polishing:CMP)により、余分な
バリアメタル及びCuを研磨して除去する。
Subsequently, as shown in FIG. 5A, a second barrier metal film 107a and a second conductor layer 107 are sequentially formed on the entire surface of the substrate. Second barrier metal film 10
7a is a film (Ta / TaN) composed of, for example, a TaN layer and a Ta layer, and is formed by, for example, sputtering. In addition, the second conductor layer 107 is, for example,
This is a Cu film, and is formed by an electroless plating method or the like after forming a Cu seed layer by sputtering. Thereafter, as shown in FIG. 5B, chemical mechanical polishing (Chem.
Excess barrier metal and Cu are polished and removed by ical mechanical polishing (CMP).

【0038】最後に、図6に示すように、基板表面上に
第3のエッチングストッパ膜111を0.05μm、上
記第2のエッチングストッパ膜110と同一の成膜条件
で成膜する。さらに、第3の下地膜112を0.05μ
m、そして、パッシベーション膜(SiO膜)113
を0.8μmで順に形成する。ここで、この3層の膜の
形成はECRプラズマCVD法で、同一のチャンバ内で
連続的に行われる。このように、デュアルダマシン法を
用いて、本実施の形態の半導体装置を製造することがで
きる。
Finally, as shown in FIG. 6, a third etching stopper film 111 having a thickness of 0.05 μm is formed on the surface of the substrate under the same film forming conditions as the second etching stopper film 110. Further, the thickness of the third underlayer 112 is set to 0.05 μm.
m and passivation film (SiO 2 film) 113
Are sequentially formed at 0.8 μm. Here, the formation of these three layers is performed continuously in the same chamber by the ECR plasma CVD method. As described above, the semiconductor device of the present embodiment can be manufactured using the dual damascene method.

【0039】ここで、上述した半導体装置の製造工程
で、第2の下地膜106のエッチングのマスクとして用
いたSiCN系膜について説明する。図7は、上述した
ECRプラズマCVD法によるSiCN系エッチングス
トッパ膜の成膜において、原料ガスであるCガス
及びNガスの混合比を変化させて成膜し、各成膜条件
(I〜VII)で形成されたSiCN系膜中のSi原子
数に対するC原子数とN原子数の比を調べた結果を示
す。ここで、SiCN系膜の形成は、SiHガスとC
ガスとNガスとの混合ガスを用い、流量比をS
iHガス/(Cガス+Nガス)=10/30
に固定して、CガスとNガスの混合比を変化さ
せて行った。また、膜中のSi、C、Nの各原子数の比
は、ラザフォード後方拡散法(Rutherford Backscatter
ing Spectroscopy:RBS)により算出した。
Here, a description will be given of a SiCN-based film used as a mask for etching the second base film 106 in the above-described semiconductor device manufacturing process. FIG. 7 shows that, in the above-described formation of the SiCN-based etching stopper film by the ECR plasma CVD method, the film is formed by changing the mixture ratio of the C 2 H 4 gas and the N 2 gas, which are source gases, and the film formation conditions ( 6 shows the results of examining the ratio of the number of C atoms and the number of N atoms to the number of Si atoms in the SiCN-based film formed in I-VII). Here, the SiCN-based film is formed by using SiH 4 gas and C
Using a mixed gas of 2 H 4 gas and N 2 gas, the flow rate ratio was S
iH 4 gas / (C 2 H 4 gas + N 2 gas) = 10/30
And the mixture ratio of C 2 H 4 gas and N 2 gas was changed. The ratio of the number of each of Si, C, and N atoms in the film is determined by the Rutherford Backscatter method.
Spectroscopy (RBS).

【0040】図7よりわかるように、形成される膜中の
CとNの存在比は、必ずしも用いられるC含有ガスとN
含有ガスの混合比とは一致しないが、混合比に従って変
化していることがわかる。すなわち、Cガスの混
合比を上げれば(Nガスの混合比を下げれば)、形成
される膜中のC原子の存在比が上がり(N原子の存在比
が下がり)、この逆とすれば、C原子の存在比は下がる
(N原子の存在比は上がる)。ここで、条件Iでは、C
ガスを使用しないので、C原子を含まないSiN
系膜が形成される。また、条件VIIでは、Nガスを
使用しないので、N原子を含まないSiC系膜が形成さ
れる。
As can be seen from FIG. 7, the abundance ratio of C and N in the film to be formed depends on the C-containing gas and N
Although it does not match the mixing ratio of the contained gas, it can be seen that it changes according to the mixing ratio. That is, if the mixture ratio of the C 2 H 4 gas is increased (the mixture ratio of the N 2 gas is decreased), the abundance ratio of C atoms in the formed film increases (the abundance ratio of N atoms decreases), and vice versa. Then, the abundance ratio of C atoms decreases (the abundance ratio of N atoms increases). Here, in condition I, C
Since 2 H 4 gas is not used, SiN containing no C atoms
A system film is formed. Further, under the condition VII, since no N 2 gas is used, a SiC-based film containing no N atoms is formed.

【0041】以下では、上記成膜条件(I〜VII)で
形成された膜について、エッチング選択比及び金属の拡
散性について説明する。図8は、SiCN系膜のエッチ
ング選択性に関して調べた結果であり、SiN系膜(条
件I)のエッチングレートを1としたときの、他の条件
下で形成されたSiCN系膜のエッチングレート比を示
す。ここで、エッチングは、O/CF プラズマガス
を用い、下地膜はSiOF膜である。
In the following, under the above film forming conditions (I to VII),
For the formed film, the etching selectivity and metal expansion
The dispersibility will be described. FIG. 8 shows the etching of a SiCN-based film.
The results of a study on the selectivity of the SiN-based film
Other conditions when the etching rate of item I) is set to 1
The etching rate ratio of the SiCN-based film formed below is shown.
You. Here, the etching is O2/ CF 4Plasma gas
And the underlying film is a SiOF film.

【0042】図8よりわかるように、膜中のC含有率が
上昇するにつれ、エッチングレート比は増大し、条件V
II(SiC系膜)では、条件I(SiN系膜)の2倍
弱の値を示している。つまり、膜中のC含有率が高い程
選択比が取れ、従って、エッチング形状は良好になる。
このように、C原子の数のSi原子の数に対する比(C
/Si)が少なくとも0.2以上であれば、良好なエッ
チング形状が得られる。
As can be seen from FIG. 8, as the C content in the film increases, the etching rate ratio increases, and the condition V
II (SiC-based film) shows a value slightly less than twice that of the condition I (SiN-based film). In other words, the higher the C content in the film, the higher the selectivity and the better the etched shape.
Thus, the ratio of the number of C atoms to the number of Si atoms (C
If / Si) is at least 0.2 or more, a good etched shape can be obtained.

【0043】図9は、SiCN系膜の金属拡散性、特
に、Cuの拡散性について調べた結果である。Cuは、
従来配線として用いられる金属の内で、最も拡散性の高
い金属である。具体的には、Si層上に、上記条件(I
〜VII)下で成膜された膜500Å(0.05μm)
の上にCu層2000Å(0.2μm)を形成し、45
0℃で7時間熱処理を施した後に、Si/SiCN界面
でのCuのSIMS(Secondary Ion Mass Spectroscop
y)強度を調べた。一般に、上記条件下で、SIMSに
よりCuのSi層への拡散が検出されなければデバイス
使用上は問題ないとされる。
FIG. 9 shows the results of examining the metal diffusivity of the SiCN-based film, particularly the diffusivity of Cu. Cu is
It is a metal having the highest diffusibility among metals conventionally used for wiring. Specifically, the above condition (I
-VII) Film formed under 500 下 (0.05 μm)
A Cu layer 2000 (0.2 μm) is formed on the
After heat treatment at 0 ° C. for 7 hours, Cu SIMS (Secondary Ion Mass Spectroscop) at the Si / SiCN interface was performed.
y) The strength was checked. In general, under the above conditions, if SIMS does not detect diffusion of Cu into the Si layer, there is no problem in using the device.

【0044】図9よりわかるように、条件I〜VIで
は、Si層へのCuの拡散量はSIMSの検出限界以下
であり、成膜条件VIIでの膜(SiC系膜)のみにC
uの拡散が検出された。このように、膜中にN原子が、
少なくともN原子の数のSi原子の数に対する比(N/
Si)が0.15以上であるように存在していればCu
の拡散が抑えられることがわかる。
As can be seen from FIG. 9, under the conditions I to VI, the diffusion amount of Cu into the Si layer is below the detection limit of SIMS, and only the film (SiC-based film) under the film forming condition VII has C
The diffusion of u was detected. Thus, N atoms in the film
The ratio of at least the number of N atoms to the number of Si atoms (N /
If Si) is present so as to be 0.15 or more, Cu
It can be seen that the diffusion of is suppressed.

【0045】よって、図7〜図9に示されるように、C
/Siが0.2〜0.8であり、かつ、N/Siが0.
15〜1.0の組成を有する本実施の形態のSiCN系
膜は、低比誘電率(5〜5.5)であるとともに、良好
なエッチング選択性及び金属拡散性を備えたエッチング
ストッパ膜であることがわかる。
Therefore, as shown in FIGS.
/ Si is 0.2 to 0.8 and N / Si is 0.1 to 0.8.
The SiCN-based film of the present embodiment having a composition of 15 to 1.0 is an etching stopper film having a low relative dielectric constant (5 to 5.5), and having good etching selectivity and metal diffusivity. You can see that there is.

【0046】以上説明したように、本発明によれば、S
iとCとNとを主要元素として含有し、信頼性の高い半
導体装置及びその製造方法が提供される。詳細には、低
誘電率性、下地膜との高いエッチング選択比、及び、低
いCu拡散性を有する、ダマシン法に適したエッチング
ストッパ膜を備えた半導体装置及びその製造方法が提供
される。
As described above, according to the present invention, S
A highly reliable semiconductor device containing i, C, and N as main elements and a method for manufacturing the same are provided. Specifically, the present invention provides a semiconductor device having an etching stopper film suitable for a damascene method and having a low dielectric constant, a high etching selectivity with respect to a base film, and a low Cu diffusion property, and a method for manufacturing the same.

【0047】本発明は、上記の実施の形態に限られず、
種々の変形、応用が可能である。以下、本発明に適用可
能な上記の実施の形態の変形態様について、説明する。
The present invention is not limited to the above embodiment,
Various modifications and applications are possible. Hereinafter, modifications of the above-described embodiment applicable to the present invention will be described.

【0048】上記実施の形態では、エッチングストッパ
膜であるSiCN系膜は、ECRプラズマCVDにより
成膜した。が、成膜方法はこれに限られず、誘導結合型
(Inductive Coupled Plasma:ICP)、ヘリコン(He
licon)型、平行平板型等のプラズマCVDであっても
よい。
In the above embodiment, the SiCN-based film as the etching stopper film is formed by ECR plasma CVD. However, the film forming method is not limited to this, and an inductively coupled plasma (ICP), a helicon (He
(Licon) type, parallel plate type or the like.

【0049】上記実施の形態では、配線を構成する導体
層はCuから構成されるとしたが、Cuに限らず、Al
或いはAl含有合金等であってもよい。
In the above embodiment, the conductor layer forming the wiring is made of Cu, but is not limited to Cu.
Alternatively, an Al-containing alloy or the like may be used.

【0050】上記実施の形態では、下地膜のエッチング
ガスとしてO/CFガスを用いるものとした。しか
しながら、O/CFガスの代わりにHガスとAr
ガスとNガスとの混合ガスなどのプラズマを用いるこ
とも可能である。また、CF ガスは、C(m、
nは0以上の整数)のクロロカーボン系のガスを使用す
ることができる。
In the above embodiment, the etching of the base film is performed.
O as gas2/ CF4Gas was used. Only
While O2/ CF4H instead of gas2Gas and Ar
Gas and N2Use a plasma such as a gas mixture with a gas.
Both are possible. Also, CF 4Gas is CmFn(M,
(n is an integer of 0 or more) chlorocarbon-based gas
Can be

【0051】上記実施の形態では、エッチングストッパ
膜111、SiOF膜112、パッシベーション膜11
3の、ECRプラズマCVD法による成膜は同一のチャ
ンバ内で行った。しかし、これに限られず、エッチング
ストッパ膜111を1つのチャンバ内で形成し、SiO
F膜112とパッシベーション膜113を別のチャンバ
内で形成する、或いは、全ての成膜を個別のチャンバで
行い、さらに、別々のプラズマ処理方法を用いるものと
してもよい。しかし、一般に、半導体材料は酸化又は水
分吸着し易いので、高真空かつ清浄空気条件下の同一の
チャンバ内で全ての処理を行うことが好ましい。
In the above embodiment, the etching stopper film 111, the SiOF film 112, the passivation film 11
The film formation of No. 3 by the ECR plasma CVD method was performed in the same chamber. However, the present invention is not limited to this.
The F film 112 and the passivation film 113 may be formed in different chambers, or all the films may be formed in separate chambers and different plasma processing methods may be used. However, in general, since semiconductor materials are easily oxidized or adsorbed with moisture, it is preferable to perform all processes in the same chamber under high vacuum and clean air conditions.

【0052】上記実施の形態では、SiCN系膜は、S
iHとCとNを原料ガス化合物として形成し
た。しかし、原料化合物としては、Si、C、Nを含む
化合物であって、単体で、又は、これらを適当に組み合
わせた反応によりSiCN系膜が形成されるものならい
かなるものでもよい。
In the above embodiment, the SiCN-based film is made of S
iH 4 , C 2 H 4 and N 2 were formed as source gas compounds. However, the raw material compound is a compound containing Si, C, and N, and may be any compound that can form a SiCN-based film by itself or by a reaction in which these are appropriately combined.

【0053】例えば、本実施の形態のように、Si、
C、Nをそれぞれ含む3種の原料ガス化合物を用いる場
合には、Si含有化合物としてSiHを、C含有化合
物としてC、CH、C、C、C
等を、N含有化合物としてN、NF、NO、
、NO、N等を適当に組み合わせればよ
い。
For example, as in this embodiment, Si,
When three kinds of source gas compounds containing C and N are used, SiH 4 is used as a Si-containing compound, and C 2 H 4 , CH 4 , C 2 H 6 , C 3 H 8 , C 2 is used as a C-containing compound.
H 2 and the like, as N-containing compounds, N 2 , NF 3 , N 2 O,
N 2 O 4 , NO, N 3 H 8 and the like may be appropriately combined.

【0054】また、Si及びCを含む原料化合物と、N
を含む原料化合物の2種のガスを混合して成膜してもよ
い。この場合、N含有化合物としては上記したものを用
い、Si及びCを含む化合物としてアルキルシラン、ア
ルコキシシラン等の有機シランを用いて、これらを適当
に組み合わせればよい。アルキルシランとしては、例え
ば、メチルシラン(SiH(CH))、ジメチルシ
ラン(SiH(CH )、トリメチルシラン(S
iH(CH)、テトラメチルシラン(Si(CH
)といったメチル化シランが挙げられ、アルコキ
シシランとしては、例えば、トリメトキシメチルシラン
(Si(CH)(OCH)といったメトキシ化
シランが挙げられる。また、これとは逆に、Si及びN
を含む原料ガスとCを含む原料ガスを混合するようして
もよい。この場合、C含有化合物としては、上記のもの
から選択し、Si及びNを含む化合物としては、例え
ば、ジシラザン(SiH−NH−SiH)を用い
て、これらを適当に組み合わせればよい。
Further, a raw material compound containing Si and C and N
May be formed by mixing two kinds of gases of a raw material compound containing
No. In this case, the above-mentioned N-containing compound is used.
Alkyl silanes, a
Using an organic silane such as alkoxysilane,
Can be combined. As an alkylsilane, for example
For example, methylsilane (SiH3(CH3)), Dimethylsi
Run (SiH2(CH 3)2), Trimethylsilane (S
iH (CH3)3), Tetramethylsilane (Si (CH
3)4Methylated silanes such as
As the silane, for example, trimethoxymethylsilane
(Si (CH3) (OCH3)3)
Silane. Conversely, Si and N
The source gas containing C and the source gas containing C
Is also good. In this case, the C-containing compound is as described above.
And the compounds containing Si and N include, for example,
For example, disilazane (SiH3-NH-SiH3)
These may be appropriately combined.

【0055】さらには、Si、C、Nを全て含む化合物
を原料ガスとして用いることも可能である。このような
化合物としては、シラザン結合(−Si−N−)を有す
る有機シラザン化合物を用いることができる。有機シラ
ザン化合物を用いる場合、例えば、プラズマCVD法に
より熱重合させて成膜することができる。使用可能な有
機シラザン化合物としては、例えば、トリエチルシラザ
ン(SiEtNH)、トリプロピルシラザン(Si
PrNH)、トリフェニルシラザン(SiPh
)、テトラメチルジシラザン(SiMeH−NH
−SiMeH)、ヘキサメチルジシラザン(SiMe
−NH−SiMe)、ヘキサエチルジシラザン(S
iEt−NH−SiEt)、ヘキサフェニルジシラ
ザン(SiPh−NH−SiPh)、ヘプタメチル
ジシラザン(SiMe−NMe−SiMe)、ジプ
ロピル−テトラメチルジシラザン(SiPrMe−N
H−SiPrMe)、ジ−n−ブチル−テトラメチル
ジシラザン(SiBuMe −NH−SiBuM
)、ジ−n−オクチル−テトラメチルジシラザン
(SiOcMe−NH−SiOcMe)、トリエチ
ル−トリメチルシクロトリシラザン((SiEtH−N
Me))、ヘキサメチルシクロトリシラザン((Si
Me−NH))、ヘキサエチルシクロトリシラザン
((SiEt−NH))、ヘキサフェニルシクロト
リシラザン((SiPh−NH))、オクタメチル
シクロテトラシラザン((SiMe−NH))、オ
クタエチルシクロテトラシラザン((SiEt−N
H))、テトラエチル−テトラメチルシクロテトラシ
ラザン((SiHEt−NMe))、シアノプロピル
メチルシクロシラザン(SiMeNC(CH−N
H)、テトラフェニルジメチルジシラザン(SiMeP
−NH−SiMePh)、ジフェニル−テトラメ
チルジシラザン((SiMePh)−NH)、トリ
ビニル−トリメチルシクロトリシラザン((CH=C
H−SiMe−NH))、テトラビニル−テトラメチ
ルシクロテトラシラザン(CH=CH−SiMe−N
H)、ジビニル−テトラメチルジシラザン(CH
CH−SiMe−NH−SiMe−CH=CH
が挙げられる。上記式中、Meはメチル基(CH)、
Etはエチル基(C)、Prはプロピル基(C
)、Ocはn−オクチル基(n−C 17)、P
hはフェニル基(C)を示す。
Further, a compound containing all of Si, C and N
Can be used as a source gas. like this
The compound has a silazane bond (-Si-N-)
Organic silazane compounds can be used. Organic sila
When using a cyanide compound, for example,
The film can be formed by more thermal polymerization. Available Available
As the silazane compound, for example, triethylsilaza
(SiEt3NH2), Tripropylsilazane (Si
Pr3NH2), Triphenylsilazane (SiPh)3N
H2), Tetramethyldisilazane (SiMe)2H-NH
-SiMe2H), hexamethyldisilazane (SiMe)
3-NH-SiMe3), Hexaethyldisilazane (S
iEt3-NH-SiEt3), Hexaphenyldisila
Zan (SiPh)3-NH-SiPh3), Heptamethyl
Disilazane (SiMe3-NMe-SiMe3), Zip
Ropyl-tetramethyldisilazane (SiPrMe2-N
H-SiPrMe2), Di-n-butyl-tetramethyl
Disilazane (SiBuMe 2-NH-SiBuM
e2), Di-n-octyl-tetramethyldisilazane
(SiOcMe2-NH-SiOcMe2), Triet
Ru-trimethylcyclotrisilazane ((SiEtH-N
Me)3), Hexamethylcyclotrisilazane ((Si
Me2-NH)3), Hexaethylcyclotrisilazane
((SiEt2-NH)3), Hexaphenylcyclot
Lysilazane ((SiPh2-NH)3), Octamethyl
Cyclotetrasilazane ((SiMe2-NH)4), Oh
Kutaethylcyclotetrasilazane ((SiEt2-N
H)4), Tetraethyl-tetramethylcyclotetracy
Razan ((SiHEt-NMe)4), Cyanopropyl
Methylcyclosilazane (SiMeNC (CH2)3-N
H), tetraphenyldimethyldisilazane (SiMeP)
h2-NH-SiMePh2), Diphenyl-tetrame
Tildisilazane ((SiMe2Ph)2-NH), bird
Vinyl-trimethylcyclotrisilazane ((CH2= C
H-SiMe-NH)3), Tetravinyl-tetramethyl
Rucyclotetrasilazane (CH2= CH-SiMe-N
H)4, Divinyl-tetramethyldisilazane (CH2=
CH-SiMe2-NH-SiMe2-CH = CH2)
Is mentioned. In the above formula, Me is a methyl group (CH3),
Et is an ethyl group (C2H5) And Pr are propyl groups (C3
H7) And Oc are n-octyl groups (nC 8H17), P
h is a phenyl group (C6H5).

【0056】また、上記の例では、Si、C、Nを含む
原料ガスが各1種類あればよいものとしたが、これに限
らず、例えば、有機シランとNの他にCを加え
たガスや、有機シラザンの他にNを加えたガスを用い
てもよい。
Further, in the above example, one kind of source gas containing Si, C, and N only needs to be used. However, the present invention is not limited to this. For example, in addition to organic silane and N 2 , C 2 H 2 the gas and the addition, gas may be used in which the N 2 is added to the other organic silazane.

【0057】上記実施の形態では、SiOF等からなる
1層の層間絶縁膜上にSi、C、Nからなるエッチング
ストッパ膜を形成し、このエッチングストッパ膜をマス
クとしてビアホール及びトレンチホールを形成した。し
かし、本実施の形態のエッチングストッパ膜を用いた配
線層の形成工程は上記工程に限られない。例えば、ダマ
シン法の、図11(a)〜(d)に示す工程を2回用い
て、ビアホール、トレンチホールを順に形成して、図1
0に示す構成としてもよい。この場合、まず、上述した
Si、C、Nを主要元素として含むビアホール形成用エ
ッチングストッパ膜502をマスクとして用い、下層絶
縁層501を選択的にエッチングしてビアホール504
を形成する。続いて、上層絶縁層503を形成し、レジ
スト膜等をマスクとしたエッチングによりトレンチホー
ル505を形成する。
In the above embodiment, an etching stopper film made of Si, C, and N was formed on a single-layered interlayer insulating film made of SiOF or the like, and via holes and trench holes were formed using the etching stopper film as a mask. However, the step of forming a wiring layer using the etching stopper film of the present embodiment is not limited to the above step. For example, a via hole and a trench hole are sequentially formed by using the process shown in FIGS.
The configuration shown in FIG. In this case, the lower insulating layer 501 is first selectively etched by using the etching stopper film 502 for forming a via hole containing Si, C, and N as main elements as a mask to selectively etch the via hole 504.
To form Subsequently, an upper insulating layer 503 is formed, and a trench hole 505 is formed by etching using a resist film or the like as a mask.

【0058】上記したような、絶縁層501、503の
間にエッチングストッパ膜502を挟み込むような構成
として配線層を形成することにより、トレンチホールを
所定の深さにエッチングする際に問題となる、トレンチ
ホール505の底部が平坦とならない、或いは、被処理
ウェハの中心部と端部に形成されるトレンチホール50
5の深さが異なる、等のエッチング形状のばらつきを抑
えることができる。また、図10に示す構成において
も、上記実施の形態に示したように、Si、C、Nを主
要元素として含むエッチングストッパ膜は、低い比誘電
率を有するので絶縁膜として十分に機能する。
By forming the wiring layer so as to sandwich the etching stopper film 502 between the insulating layers 501 and 503 as described above, a problem arises when the trench hole is etched to a predetermined depth. The bottom of the trench hole 505 is not flat, or the trench hole 50 formed at the center and the end of the wafer to be processed.
Variations in the etching shape, such as a difference in the depth of 5, can be suppressed. Also, in the structure shown in FIG. 10, as described in the above embodiment, the etching stopper film containing Si, C, and N as main elements has a low relative dielectric constant, and thus sufficiently functions as an insulating film.

【0059】[0059]

【発明の効果】以上説明したように、本発明によれば、
信頼性の高い半導体装置及び半導体装置の製造方法が提
供される。より詳細には、低誘電率性、下地膜との高い
エッチング選択比、及び、低金属拡散性を有するエッチ
ングストッパ膜を備えた半導体装置及びその製造方法が
提供される。
As described above, according to the present invention,
A highly reliable semiconductor device and a method for manufacturing the semiconductor device are provided. More specifically, the present invention provides a semiconductor device having an etching stopper film having low dielectric constant, high etching selectivity with a base film, and low metal diffusion, and a method for manufacturing the same.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態にかかる半導体装置の部分
断面図である。
FIG. 1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention.

【図2】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 2 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図3】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 3 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図4】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 4 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図5】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 5 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図6】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 6 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図7】C、Nの含有比を変えて形成したSiCN系膜
の組成を示す図である。
FIG. 7 is a diagram showing the composition of a SiCN-based film formed by changing the content ratio of C and N.

【図8】図7に示すCN組成を有するSiCN系膜の、
SiN系膜のエッチングレートを1とした場合のエッチ
ングレート比を示す図である。
FIG. 8 shows a SiCN-based film having the CN composition shown in FIG.
FIG. 3 is a diagram showing an etching rate ratio when an etching rate of a SiN-based film is set to 1;

【図9】図7に示すCN組成を有するSiCN系膜のC
u拡散性について、SIMS強度について調べた結果を
示す図である。
FIG. 9 shows C of the SiCN-based film having the CN composition shown in FIG. 7;
It is a figure which shows the result of having investigated about SIMS intensity | strength about u diffusivity.

【図10】本発明の他の実施の形態にかかる半導体装置
の部分断面図である。
FIG. 10 is a partial cross-sectional view of a semiconductor device according to another embodiment of the present invention.

【図11】ダマシン法による配線層の形成工程を順に示
す図である。
FIGS. 11A to 11C are diagrams sequentially illustrating a wiring layer forming process by a damascene method.

【符号の説明】[Explanation of symbols]

101 第1の下地膜 102 第1の導体層 102a 第1のバリアメタル膜 103 第1のトレンチホール 104 第1のビアホール 105 第1のエッチングストッパ膜 106 第2の下地膜 107 第2の導体層 107a 第2のバリアメタル膜 108 第2のトレンチホール 109 第2のビアホール 110 第2のエッチングストッパ膜 111 第3のエッチングストッパ膜 112 第3の下地膜 113 パッシベーション膜 201 第1のレジスト膜 202 第2のレジスト膜 Reference Signs List 101 first base film 102 first conductor layer 102a first barrier metal film 103 first trench hole 104 first via hole 105 first etching stopper film 106 second base film 107 second conductor layer 107a Second barrier metal film 108 Second trench hole 109 Second via hole 110 Second etching stopper film 111 Third etching stopper film 112 Third base film 113 Passivation film 201 First resist film 202 Second Resist film

フロントページの続き (72)発明者 川村 剛平 山梨県韮崎市穂坂町三ツ沢650 東京エレ クトロン株式会社内 Fターム(参考) 5F033 HH08 HH09 HH11 HH18 HH19 HH21 HH32 HH33 HH34 JJ01 JJ08 JJ09 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 JJ34 KK01 KK08 KK09 KK11 KK18 KK19 KK21 KK32 KK33 KK34 MM02 MM12 MM13 NN06 NN07 PP15 PP28 PP33 QQ12 QQ13 QQ16 QQ25 QQ28 QQ30 QQ37 QQ48 RR01 RR04 RR05 RR08 RR11 RR12 RR20 SS02 SS03 SS15 TT02 XX24 XX28 5F058 BA05 BA20 BD02 BD04 BD06 BD10 BD18 BF09 BF23 BF24 BF26 BF29 BF30 BJ02 Continued on the front page (72) Inventor Gohei Kawamura 650 Mitsuzawa, Hosaka-cho, Nirasaki-shi, Yamanashi Prefecture F-term in Tokyo Electron Co., Ltd. (reference) KK01 KK08 KK09 KK11 KK18 KK19 KK21 KK32. BF26 BF29 BF30 BJ02

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】複数の溝又は穴を有する低誘電率の第1の
絶縁層と、 前記第1の絶縁層上に形成され、前記複数の溝又は穴と
重なる複数の開口を有し、SiとCとNとを主たる含有
元素とする第2の絶縁層と、 前記複数の溝又は穴と前記複数の開口とから形成される
複数の配線溝又は穴に埋め込まれた導体層と、を備えた
ことを特徴とする半導体装置。
A first insulating layer having a low dielectric constant having a plurality of grooves or holes, and a plurality of openings formed on the first insulating layer and overlapping the plurality of grooves or holes; And a second insulating layer containing C and N as main elements, and a conductor layer embedded in a plurality of wiring grooves or holes formed from the plurality of grooves or holes and the plurality of openings. A semiconductor device characterized by the above-mentioned.
【請求項2】前記第2の絶縁層は、Si原子の数に対す
るC原子の数の比が0.2〜0.8であり、かつ、Si
原子の数に対するN原子の数の比が0.15〜1.0で
あることを特徴とする請求項1に記載の半導体装置。
2. The semiconductor device according to claim 1, wherein the second insulating layer has a ratio of the number of C atoms to the number of Si atoms of 0.2 to 0.8;
The semiconductor device according to claim 1, wherein a ratio of the number of N atoms to the number of atoms is 0.15 to 1.0.
【請求項3】前記第1の絶縁層は、フッ化酸化ケイ素又
はフッ化カーボンから構成されることを特徴とする請求
項1又は2に記載の半導体装置。
3. The semiconductor device according to claim 1, wherein said first insulating layer is made of silicon fluoride oxide or carbon fluoride.
【請求項4】前記導体層は、Cuから構成されることを
特徴とする請求項1乃至3のいずれか1項に記載の半導
体装置。
4. The semiconductor device according to claim 1, wherein said conductor layer is made of Cu.
【請求項5】前記配線溝又は穴にはバリアメタル層が形
成され、前記導体層は、前記バリアメタル層の上に形成
されていることを特徴とする請求項1乃至4のいずれか
1項に記載の半導体装置。
5. The semiconductor device according to claim 1, wherein a barrier metal layer is formed in the wiring groove or the hole, and the conductor layer is formed on the barrier metal layer. 3. The semiconductor device according to claim 1.
【請求項6】さらに、前記第2の絶縁層及び前記導体層
の上に形成された、前記第2の絶縁層と同一の構成を有
する第3の絶縁層を備えたことを特徴とする請求項1乃
至5のいずれか1項に記載の半導体装置。
6. The semiconductor device according to claim 1, further comprising a third insulating layer formed on the second insulating layer and the conductor layer and having the same configuration as the second insulating layer. Item 6. The semiconductor device according to any one of Items 1 to 5.
【請求項7】第1の絶縁層を形成する工程と、 前記第1の絶縁層上に、SiとCとNとを主たる含有元
素とする第2の絶縁層を形成する工程と、 前記第1の絶縁層の表面が部分的に露出するよう、前記
第2の絶縁層を選択的にエッチングして開口を形成する
工程と、 前記選択的にエッチングされた第2の絶縁層をマスクと
して前記第1の絶縁層をエッチングして、配線溝又は穴
を形成する工程と、 前記開口及び前記配線溝又は穴を埋めて導体層を形成す
る工程と、 前記導体層を、前記第2の絶縁層をストッパとして研磨
する工程と、を備えたことを特徴とする半導体装置の製
造方法。
7. A step of forming a first insulating layer; a step of forming a second insulating layer mainly containing Si, C and N on the first insulating layer; Selectively etching the second insulating layer to form an opening so that the surface of the first insulating layer is partially exposed; and using the selectively etched second insulating layer as a mask, Forming a wiring groove or hole by etching a first insulating layer; forming a conductive layer by filling the opening and the wiring groove or hole; and forming the conductive layer on the second insulating layer. Polishing the semiconductor device using the substrate as a stopper.
【請求項8】前記第2の絶縁層は、Si原子の数に対す
るC原子の数の比が0.2〜0.8であり、かつ、Si
原子の数に対するN原子の数の比が0.15〜1.0で
あることを特徴とする請求項7に記載の半導体装置の製
造方法。
8. The second insulating layer has a ratio of the number of C atoms to the number of Si atoms of 0.2 to 0.8;
The method according to claim 7, wherein a ratio of the number of N atoms to the number of atoms is 0.15 to 1.0.
【請求項9】前記第1の絶縁層は、フッ化酸化ケイ素又
はフッ化カーボンから構成されることを特徴とする請求
項7又は8に記載の半導体装置の製造方法。
9. The method according to claim 7, wherein the first insulating layer is made of silicon fluoride oxide or carbon fluoride.
【請求項10】前記導体層は、Cuから構成されること
を特徴とする請求項7乃至9のいずれか1項に記載の半
導体装置の製造方法。
10. The method according to claim 7, wherein the conductor layer is made of Cu.
【請求項11】さらに、前記導体層と、前記開口及び前
記配線溝又は穴との間にバリアメタル層を形成する工程
を備えることを特徴とする請求項7乃至10に記載の半
導体装置の製造方法。
11. The semiconductor device according to claim 7, further comprising a step of forming a barrier metal layer between said conductor layer and said opening and said wiring groove or hole. Method.
【請求項12】さらに、前記第2の絶縁層及び前記導体
層の上に、前記第2の絶縁層と同一の構成を有する第3
の絶縁層を形成する工程を備えることを特徴とする請求
項7乃至11のいずれか1項に記載の半導体装置の製造
方法。
12. A third semiconductor device having the same structure as the second insulating layer on the second insulating layer and the conductor layer.
The method of manufacturing a semiconductor device according to claim 7, further comprising: forming an insulating layer.
JP2000274426A 2000-09-11 2000-09-11 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4484345B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000274426A JP4484345B2 (en) 2000-09-11 2000-09-11 Semiconductor device and manufacturing method thereof
TW090122485A TW530380B (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
KR10-2003-7003543A KR100479796B1 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
PCT/JP2001/007880 WO2002023625A2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
EP01965571A EP1320884A2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
EP07001638A EP1777739A3 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
US10/380,038 US6949829B2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000274426A JP4484345B2 (en) 2000-09-11 2000-09-11 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2002083869A true JP2002083869A (en) 2002-03-22
JP4484345B2 JP4484345B2 (en) 2010-06-16

Family

ID=18760186

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000274426A Expired - Fee Related JP4484345B2 (en) 2000-09-11 2000-09-11 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4484345B2 (en)

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7391115B2 (en) 2003-02-04 2008-06-24 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US7485568B2 (en) 2004-03-08 2009-02-03 Tokyo Electron Limited Method for forming wiring of semiconductor device
JP2010056579A (en) * 2004-01-13 2010-03-11 Tokyo Electron Ltd Method of manufacturing semiconductor device and deposition system
CN101901781A (en) * 2009-06-01 2010-12-01 东京毅力科创株式会社 Processing method
US7902077B2 (en) 2005-11-30 2011-03-08 Tokyo Electron Limited Semiconductor device manufacturing method that recovers damage of the etching target while supplying a predetermined recovery gas
US9059103B2 (en) 2011-03-25 2015-06-16 Tokyo Electron Limited Processing method and storage medium
JP2015529405A (en) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective etching of silicon carbonitride
KR20160002391A (en) * 2014-06-30 2016-01-07 램 리써치 코포레이션 Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10083859B2 (en) 2014-09-19 2018-09-25 Tokyo Electron Limited Coating formation method and semiconductor device manufacturing method using the coating formation method
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR20190037126A (en) 2017-09-28 2019-04-05 도쿄엘렉트론가부시키가이샤 Selective film forming method and method of manufacturing semiconductor device
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Cited By (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7391115B2 (en) 2003-02-04 2008-06-24 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US7910474B2 (en) 2003-02-04 2011-03-22 Nec Corporation Method of manufacturing a semiconductor device
JP2010056579A (en) * 2004-01-13 2010-03-11 Tokyo Electron Ltd Method of manufacturing semiconductor device and deposition system
US7485568B2 (en) 2004-03-08 2009-02-03 Tokyo Electron Limited Method for forming wiring of semiconductor device
US7902077B2 (en) 2005-11-30 2011-03-08 Tokyo Electron Limited Semiconductor device manufacturing method that recovers damage of the etching target while supplying a predetermined recovery gas
CN101901781A (en) * 2009-06-01 2010-12-01 东京毅力科创株式会社 Processing method
KR20100129684A (en) 2009-06-01 2010-12-09 도쿄엘렉트론가부시키가이샤 Processing method and storage medium
US8048687B2 (en) 2009-06-01 2011-11-01 Tokyo Electron Limited Processing method for recovering a damaged low-k film of a substrate and storage medium
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9059103B2 (en) 2011-03-25 2015-06-16 Tokyo Electron Limited Processing method and storage medium
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2015529405A (en) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective etching of silicon carbonitride
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102542269B1 (en) * 2014-06-30 2023-06-09 램 리써치 코포레이션 Selective formation of dielectric barriers for metal interconnects in semiconductor devices
KR20160002391A (en) * 2014-06-30 2016-01-07 램 리써치 코포레이션 Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US10083859B2 (en) 2014-09-19 2018-09-25 Tokyo Electron Limited Coating formation method and semiconductor device manufacturing method using the coating formation method
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR20190037126A (en) 2017-09-28 2019-04-05 도쿄엘렉트론가부시키가이샤 Selective film forming method and method of manufacturing semiconductor device
US10490443B2 (en) 2017-09-28 2019-11-26 Tokyo Electron Limited Selective film forming method and method of manufacturing semiconductor device
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
JP4484345B2 (en) 2010-06-16

Similar Documents

Publication Publication Date Title
JP2002083869A (en) Semiconductor device and production method therefor
KR100479796B1 (en) Semiconductor device and fabrication method therefor
US8927442B1 (en) SiCOH hardmask with graded transition layers
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US6541842B2 (en) Metal barrier behavior by SiC:H deposition on porous materials
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US6570257B2 (en) IMD film composition for dual damascene process
KR100227287B1 (en) Embedded electroconductive layer and method for formation thereof
JP2000174123A (en) Semiconductor device and manufacture thereof
US6562725B2 (en) Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
TWI588935B (en) Method for forming semiconductor device structure
US8993440B2 (en) Method of manufacturing a semiconductor device with processes carried out without atmospheric exposure
US9786553B1 (en) Advanced BEOL interconnect structure containing uniform air gaps
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US9087877B2 (en) Low-k interconnect structures with reduced RC delay
JP2002083870A (en) Semiconductor device and production method therefor
US6492276B1 (en) Hard masking method for forming residue free oxygen containing plasma etched layer
US6967158B2 (en) Method for forming a low-k dielectric structure on a substrate
US6657284B1 (en) Graded dielectric layer and method for fabrication thereof
US7687394B2 (en) Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US6774053B1 (en) Method and structure for low-k dielectric constant applications
JP2001230254A (en) Semiconductor device and its manufacturing method
KR100367852B1 (en) Method for Etching With High Selectivity To Photoresist

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100309

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100323

R150 Certificate of patent or registration of utility model

Ref document number: 4484345

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130402

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160402

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees