JP2001053066A - Ozone processor and ozone processing method - Google Patents

Ozone processor and ozone processing method

Info

Publication number
JP2001053066A
JP2001053066A JP2000062128A JP2000062128A JP2001053066A JP 2001053066 A JP2001053066 A JP 2001053066A JP 2000062128 A JP2000062128 A JP 2000062128A JP 2000062128 A JP2000062128 A JP 2000062128A JP 2001053066 A JP2001053066 A JP 2001053066A
Authority
JP
Japan
Prior art keywords
ozone
processing
gas
flow path
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000062128A
Other languages
Japanese (ja)
Inventor
Kenji Honma
謙治 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000062128A priority Critical patent/JP2001053066A/en
Publication of JP2001053066A publication Critical patent/JP2001053066A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Gases (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To suppress contamination in a substrate by installing a water removal part upstream in a passage where oxygen gas flows of an ozone generation part. SOLUTION: An ozone processor has an ozone generation unit 3, a processing gas passage 4 being the passage of ozone gas generated in the unit and a processing unit 5 which is connected to the down stream side of the processing gas passage 4 and executes ozone processing of a substrate. A piping 71 supplying raw material gas formed of oxygen gas and nitrogen gas is connected to the upstream side of the ozone generation part 3, namely, a raw material gas supply port 64. A valve V1 and a water removal part 72 are installed in order in the piping 71 from the upstream side. The water removal part 72 is constituted to remove water to not less than 1 ppb by combining a filter using stainless steel and chemisorption agent.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、オゾンを用いて基
板の処理を行うオゾン処理装置及びその方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an ozone treatment apparatus and a method for treating a substrate using ozone.

【0002】[0002]

【従来の技術】半導体装置の製造に用いられる基板に
は、例えば酸化タンタル(TaOx)膜のように活性化
した酸素原子(酸素ラジカル)を用いた処理により改善
される種類の成膜が施されたものがある。このような基
板の膜質改善処理はオゾン発生ユニットでオゾン(O
3)ガスを発生させ、これを紫外線(UV)ランプ等で
活性化して生じる酸素ラジカルを用いて行われる。
2. Description of the Related Art A substrate used for manufacturing a semiconductor device is provided with a film of a type improved by a treatment using activated oxygen atoms (oxygen radicals) such as a tantalum oxide (TaOx) film. There are things. Such a process for improving the film quality of the substrate is performed by an ozone generation unit using ozone (O
3) This is performed using oxygen radicals generated by generating a gas and activating the gas with an ultraviolet (UV) lamp or the like.

【0003】以上のような処理(オゾン処理)は従来図
5に示すような装置により行われている。1はオゾン発
生ユニットであり、その筐体10内にオゾン発生部1
1、オゾン濃度センサー12及び圧力調整部13を設け
て構成されている。先ず酸素と窒素とを混合した原料ガ
スをオゾン発生部11に供給し、ここで原料ガスに高周
波高電圧を印加してオゾンガスを発生させる。そしてこ
のオゾンガスを、配管14を介して処理ユニット21へ
と送る。ここでUVランプ22からの紫外線によりオゾ
ンガスを活性化して酸素原子(酸素ラジカル)を生成
し、この酸素ラジカルにより載置台23上のウエハWの
処理が行われ、オゾンガス(処理ガス)は処理ユニット
21内の底部と接続した配管から真空ポンプ24により
排気される。
The above processing (ozone processing) is conventionally performed by an apparatus as shown in FIG. Reference numeral 1 denotes an ozone generation unit.
1, an ozone concentration sensor 12 and a pressure adjusting unit 13 are provided. First, a raw material gas in which oxygen and nitrogen are mixed is supplied to the ozone generator 11, where a high-frequency high voltage is applied to the raw material gas to generate ozone gas. Then, the ozone gas is sent to the processing unit 21 via the pipe 14. Here, the ozone gas is activated by ultraviolet rays from the UV lamp 22 to generate oxygen atoms (oxygen radicals), and the wafers W on the mounting table 23 are processed by the oxygen radicals. It is evacuated by a vacuum pump 24 from a pipe connected to the bottom inside.

【0004】[0004]

【発明が解決しようとする課題】ここでオゾンの原料ガ
スに含まれる窒素(N2)ガスは、酸素からオゾンにな
るときに生じる酸素原子(O)がO2に戻ることを防ぐ
ために用いられるものであり、その一部はこの酸素原子
と反応して窒素酸化物(NOx)となり処理ユニット2
1へと送られる。
The nitrogen (N2) gas contained in the ozone source gas is used to prevent oxygen atoms (O) generated when oxygen is converted into ozone from returning to O2. Some of these react with the oxygen atoms to form nitrogen oxides (NOx), and the processing unit 2
Sent to 1.

【0005】しかし装置のメンテナンスにより配管を分
解する場合などに水分が配管内に付着すると、NOxが
水分と反応して硝酸になり、配管を構成するステンレス
鋼と反応してクロム(Cr),鉄(Fe)などの金属化
合物が生成されオゾンガス中に飛散してしまう。今後半
導体デバイスの微細化、薄膜化が一層進むと、クロム,
鉄などの金属化合物が微量であっても半導体装置の品質
が低下する要因となり好ましくない。
However, if water adheres to the piping when the piping is disassembled due to maintenance of the equipment, NOx reacts with the water to form nitric acid, and reacts with the stainless steel constituting the piping to form chromium (Cr), iron, or the like. A metal compound such as (Fe) is generated and scatters in the ozone gas. In the future, as semiconductor devices become finer and thinner, chromium,
Even a small amount of a metal compound such as iron is not preferable because it causes a reduction in the quality of the semiconductor device.

【0006】そこで特開平6−163480号公報に
は、硝酸により腐食されないPTFE(ポリテトラフル
オルエチレン:商品名テフロンなど)を用いることが記
載されているが、PTFEは多孔質なのでメンテナンス
等でこの配管内部のPTFEに水分が吸着すると乾燥に
かなり長い時間がかかってしまう。またPTFEに付着
した水分の乾燥が不十分だと、その水分が処理ガス中に
持ちこまれてやはり硝酸が生成してしまい、処理ユニッ
ト21のチャンバがステンレス鋼であればクロム,鉄な
どの金属化合物が生成されてしまう。
Japanese Patent Application Laid-Open No. 6-163480 describes the use of PTFE (polytetrafluoroethylene: trade name: Teflon, etc.) which is not corroded by nitric acid. If water is adsorbed on the PTFE inside the pipe, it takes a considerably long time to dry. If the moisture adhering to the PTFE is not sufficiently dried, the moisture is carried into the processing gas to generate nitric acid. If the chamber of the processing unit 21 is stainless steel, a metal compound such as chromium or iron is used. Is generated.

【0007】更に特開平8−117068号公報には、
アルミニウムやニッケルを用いることが記載されてい
る。しかし処理ユニット21のチャンバがステンレス鋼
であれば同様の問題が起こるし、またこれらの材料で構
成されていても腐食は避けられずやはりウエハWのコン
タミネーションの要因となる。
Further, Japanese Patent Application Laid-Open No. Hei 8-117068 discloses that
It is described that aluminum or nickel is used. However, if the chamber of the processing unit 21 is made of stainless steel, a similar problem occurs. Even if the material is made of such a material, corrosion is unavoidable, and also causes contamination of the wafer W.

【0008】更にまた高純度N2ガス中の水分濃度であ
れば無視できる範囲ではあるが、O2ガス中には製造過
程で微量ながらも水分の混入を避けることができず、こ
の水分がNOxと反応して硝酸を生成してしまう。更に
はオゾン濃度センサー12のガス流路部分は例えばステ
ンレス鋼などの金属で作られているため、硝酸が生成さ
れているとこれを腐食してウエハWのコンタミネーショ
ンの要因になるおそれもある。
[0008] Furthermore, if the moisture concentration in the high-purity N2 gas is negligible, it cannot be avoided in the O2 gas in a small amount during the production process, and this moisture reacts with NOx. And produce nitric acid. Further, since the gas flow path portion of the ozone concentration sensor 12 is made of a metal such as stainless steel, if nitric acid is generated, it may corrode and cause contamination of the wafer W.

【0009】以上のような事情を鑑み本発明の目的は基
板に対するコンタミネーションの発生を抑えたオゾン処
理装置及びオゾン処理方法を提供するものである。
In view of the circumstances described above, an object of the present invention is to provide an ozone treatment apparatus and an ozone treatment method which suppress the generation of contamination on a substrate.

【0010】[0010]

【課題を解決するための手段】本発明に係るオゾン処理
装置は、少なくとも酸素ガスを含む原料ガスをオゾン発
生部に供給し、ここで発生したオゾンを処理ガス流路を
介して基板が載置された処理容器に供給し、当該基板に
対して処理を行う装置において、オゾン発生部の上流側
における少なくとも酸素ガスが流れる流路中に水分除去
部を設けることをことを特徴とする。また他の発明は、
加熱された乾燥ガスを、少なくともオゾン発生部の下流
側の処理ガス流路中に供給する手段を設け、基板の処理
前に乾燥ガスで処理ガスの流路を乾燥することを特徴と
する。更にまた他の発明は、オゾン処理装置において、
処理ガス流路に分岐端が処理容器に接続されない分岐路
を設け、また当該分岐路にオゾンの濃度を測定するため
のオゾン濃度計を設けることを特徴とするものである。
この場合、前記処理ガス流路は非金属よりなる内管の外
に空間を介して外管を設けた二重構造をなしており、こ
の内管と外管との間の空間に不活性ガスを供給する手段
と、該不活性ガスを排出する手段とを設けた構成とする
ことができる。以上の発明では、例えばオゾンガスにエ
ネルギーを付加して活性化するためのオゾン活性化手段
例えば紫外線照射手段や加熱手段が用いられる。
An ozone processing apparatus according to the present invention supplies a raw material gas containing at least oxygen gas to an ozone generating section, and applies the ozone generated here to a substrate via a processing gas flow path. An apparatus for supplying a processed processing vessel to the substrate and performing processing on the substrate is characterized in that a moisture removing section is provided at least in a flow path where oxygen gas flows upstream of the ozone generating section. Another invention is
Means is provided for supplying the heated dry gas into at least the processing gas flow path downstream of the ozone generation unit, and drying the processing gas flow path with the drying gas before processing the substrate. Still another invention relates to an ozone treatment apparatus,
The processing gas flow path is provided with a branch path whose branch end is not connected to the processing vessel, and an ozone concentration meter for measuring the concentration of ozone is provided in the branch path.
In this case, the processing gas flow path has a double structure in which an outer pipe is provided via a space outside the inner pipe made of a non-metal, and an inert gas is provided in a space between the inner pipe and the outer pipe. And a means for discharging the inert gas. In the above invention, for example, an ozone activating means for applying energy to the ozone gas to activate the ozone gas, for example, an ultraviolet irradiation means or a heating means is used.

【0011】本発明に係るオゾン処理方法は、当該装置
のメンテナンスを行うために処理ガス流路を大気に開放
する工程と、オゾン処理装置を組み立てた後、処理ガス
流路を加熱して乾燥する工程と、その後オゾンを処理容
器に供給し、基板に対してオゾン処理を行う工程と、を
有することを特徴とする。
In the ozone treatment method according to the present invention, a step of opening a processing gas flow path to the atmosphere for maintenance of the apparatus, and after assembling the ozone processing apparatus, heating and drying the processing gas flow path. And a step of supplying ozone to the processing container and thereafter performing ozone treatment on the substrate.

【0012】[0012]

【発明の実施の形態】図1は、本発明であるオゾン処理
装置の実施の形態を示す概略図である。本装置はオゾン
発生ユニット3と、ここで発生するオゾンガスの流路で
ある処理ガス流路4と、処理ガス流路4の下流側に接続
され、基板のオゾン処理が行われる処理ユニット5とを
備えている。前記オゾン発生ユニット3は筐体31で内
部装置を囲んだ形態をなしており、筐体31上部壁面に
は図示しない工場内排気路に接続される排気管32が設
けられている。この筐体31内部にはオゾン発生部33
と圧力調整部34とが配管35を介して設けられてお
り、配管35の途中には分岐路36が設けられている。
圧力調整部34は、NOxに対して耐食性のある非金属
材料例えばPTFEにより構成される。分岐路36には
流量計37とオゾン濃度計38とが介設され、その分岐
端は例えば前記工場排気路に放出できるように構成され
ている。
FIG. 1 is a schematic view showing an embodiment of an ozone treatment apparatus according to the present invention. This apparatus includes an ozone generation unit 3, a processing gas flow path 4 that is a flow path of ozone gas generated here, and a processing unit 5 connected to the downstream side of the processing gas flow path 4 and performing ozone processing on a substrate. Have. The ozone generation unit 3 has a configuration in which an internal device is surrounded by a housing 31, and an exhaust pipe 32 connected to a factory exhaust path (not shown) is provided on an upper wall surface of the housing 31. An ozone generator 33 is provided inside the housing 31.
And a pressure regulator 34 are provided via a pipe 35, and a branch path 36 is provided in the middle of the pipe 35.
The pressure adjusting unit 34 is made of a nonmetallic material having corrosion resistance to NOx, for example, PTFE. A flow meter 37 and an ozone concentration meter 38 are interposed in the branch passage 36, and the branch end thereof is configured to be able to discharge to, for example, the factory exhaust passage.

【0013】図2はオゾン発生部33の説明図であり、
(a)図が平面図で(b)図が側断面図を示したもので
ある。このオゾン発生部33はフッ素系ゴムからなるガ
スケット61の上下を、夫々原料ガスの接触面をセラミ
ックにてコーティングした一対の電極62,63により
挟むように構成し、一方の電極63には原料ガス供給口
64と処理ガス排出口65とが設けられており、処理ガ
ス排出口65は前記配管35と接続されている。電極6
2,63間には高周波電源部66から高周波電圧が印加
されるようになっており、この電圧は電圧制御部67に
より制御される。一方オゾン濃度計38の出力(オゾン
濃度検出値)は電圧制御部67に入力され、オゾン濃度
の検出値と設定値との偏差に応じて電極62,63間に
印加される高周波電圧の大きさがコントロールされる。
オゾン濃度は電圧の大きさに左右されるので、結果とし
てオゾン濃度が設定値となるようにコントロールされ
る。
FIG. 2 is an explanatory view of the ozone generator 33.
(A) is a plan view and (b) is a side sectional view. The ozone generator 33 is configured so that the upper and lower sides of a gasket 61 made of a fluorine-based rubber are sandwiched between a pair of electrodes 62 and 63 each having a contact surface of a raw material gas coated with ceramic. A supply port 64 and a processing gas outlet 65 are provided, and the processing gas outlet 65 is connected to the pipe 35. Electrode 6
A high-frequency voltage is applied from a high-frequency power supply 66 between the terminals 2 and 63, and this voltage is controlled by a voltage controller 67. On the other hand, the output of the ozone concentration meter 38 (the detected value of the ozone concentration) is input to the voltage controller 67, and the magnitude of the high-frequency voltage applied between the electrodes 62 and 63 according to the deviation between the detected value of the ozone concentration and the set value. Is controlled.
Since the ozone concentration depends on the magnitude of the voltage, the ozone concentration is controlled so that the ozone concentration becomes a set value as a result.

【0014】オゾン発生部3の上流側即ち前記原料ガス
供給口64には、酸素ガス(O2)および窒素ガス(N
2)からなる原料ガスを供給する配管71が接続されて
おり、この配管71は上流側から順にバルブV1及び水
分除去部72が介設されている。この配管71における
バルブV1の上流側は、酸素ガス供給管71a及び窒素
ガス供給管71bと接続されている。この原料ガスの比
率は例えば酸素を99.5%、窒素を0.5%とした混
合ガスが用いられる。
An oxygen gas (O2) and a nitrogen gas (N
2) is connected to a pipe 71 for supplying a raw material gas, and the pipe 71 is provided with a valve V1 and a moisture removing section 72 in order from the upstream side. The upstream side of the valve V1 in the pipe 71 is connected to an oxygen gas supply pipe 71a and a nitrogen gas supply pipe 71b. As the ratio of the source gas, for example, a mixed gas in which oxygen is 99.5% and nitrogen is 0.5% is used.

【0015】前記水分除去部72は例えばステンレス鋼
を用いたフィルタと化学吸着剤とを組み合わせて水分を
例えば1ppb以下まで除去できるように構成され、例
えば日本ミリポア株式会社製の商品名「ウエハーピュア
メガライン」を用いることができる。配管71における
オゾン発生ユニット3と水分除去部72との間には、オ
ゾン発生ユニット3を介して前記処理ガス流路4へと乾
燥ガスを供給するための配管73が接続されている。配
管73はバルブV2を介して図示しない乾燥ガスの供給
源と接続されており、乾燥ガスには例えば窒素・アルゴ
ン等の不活性ガスを180℃程度に加熱したものが用い
られる。
The water removing section 72 is constructed so as to be able to remove water to, for example, 1 ppb or less by combining a filter using stainless steel and a chemical adsorbent, for example, a product name “Wafer Pure Mega” manufactured by Nippon Millipore Co., Ltd. Line "can be used. A pipe 73 for supplying a dry gas to the processing gas flow path 4 via the ozone generation unit 3 is connected between the ozone generation unit 3 and the water removing unit 72 in the pipe 71. The pipe 73 is connected to a supply source of a dry gas (not shown) via a valve V2. As the dry gas, a gas obtained by heating an inert gas such as nitrogen and argon to about 180 ° C. is used.

【0016】前記処理ガス流路4において、オゾン発生
ユニット3と処理ユニット5の処理ガス供給口付近に設
けられたバルブV3との間の部位は、内管41及び外管
42からなる二重管により構成されており、内管41と
外管42との間の空間は筐体31側壁部に形成された孔
部43を介して筐体31内に連通している。一方処理ガ
ス流路4の下流側はバルブV3に近い箇所にて分岐して
おり、その分岐端は処理ユニット5の排気管8へバルブ
V4を介して接続されて迂回路44として構成されてい
る。前記処理ガス流路4には、例えばバルブV3,V4
の近傍に、外管42と内管41との隙間の空間に不活性
ガスを供給するための配管45a,45bがバルブV5,
V6を介して夫々接続されている。なおこの不活性ガス
には例えば窒素ガスが用いられる。
In the processing gas flow path 4, a portion between the ozone generation unit 3 and the valve V3 provided near the processing gas supply port of the processing unit 5 is a double pipe comprising an inner pipe 41 and an outer pipe 42. The space between the inner tube 41 and the outer tube 42 communicates with the inside of the housing 31 via a hole 43 formed in the side wall of the housing 31. On the other hand, the downstream side of the processing gas flow path 4 is branched at a location near the valve V3, and the branch end is connected to the exhaust pipe 8 of the processing unit 5 via the valve V4 to form a bypass 44. . For example, valves V3 and V4
, Pipes 45a and 45b for supplying inert gas to the space between the outer pipe 42 and the inner pipe 41 are provided with valves V5 and V5.
Each is connected via V6. Note that, for example, nitrogen gas is used as the inert gas.

【0017】内管41はNOxに対して耐食性のある非
金属材料例えばPTFEにより構成されると共に、外管
42は例えばステンレス鋼で構成されている。このよう
な構成をとることにより、内管41より漏洩しても外管
42によりオゾンガスが作業領域に漏洩することを防止
でき、また内管41と外管42との間の空間に不活性ガ
スが供給されていて、それがオゾン発生ユニット3の筐
体31内を介して排気管32より外部へ流出するので高
い安全性が確保される。
The inner tube 41 is made of a nonmetallic material having corrosion resistance to NOx, for example, PTFE, and the outer tube 42 is made of, for example, stainless steel. By adopting such a configuration, it is possible to prevent the ozone gas from leaking into the work area by the outer tube 42 even if the gas leaks from the inner tube 41, and the inert gas is supplied to the space between the inner tube 41 and the outer tube 42. Is supplied, and flows out of the exhaust pipe 32 through the inside of the housing 31 of the ozone generation unit 3, so that high safety is ensured.

【0018】ここで図3は、処理ユニット5の縦断面図
である。51は真空チャンバよりなる処理容器であり、
処理容器51内の底部中央には載置台52が設けられて
おり、その上面(載置面)にはウエハWが載置される。
載置台52内部の載置面付近には、載置面上のウエハW
を加熱するための加熱手段例えば抵抗加熱体からなるヒ
ータ53が埋設されており、図示しない電源供給部と接
続されている。載置面と対向する上方側には処理ガス
(オゾン)を活性化させるための紫外線照射手段である
UVランプ54が設けられており、下方側にある例えば
石英製の透過窓55を介して、処理容器51内に紫外線
を照射するように構成されている。処理容器51の側壁
面にはウエハWの搬入出を行うためのゲートバルブ56
が設けられている。また処理容器51の底面付近には排
気管8が接続され、この排気管8にはバルブV7を介し
て真空ポンプ81が接続されている。なおバルブV7
は、前述の迂回路44が排気管8に接続する箇所よりも
処理ユニット5に近い上流側に位置するよう設けられ
る。
FIG. 3 is a vertical sectional view of the processing unit 5. Reference numeral 51 denotes a processing container formed of a vacuum chamber,
A mounting table 52 is provided at the center of the bottom in the processing chamber 51, and the wafer W is mounted on the upper surface (mounting surface) thereof.
Near the mounting surface inside the mounting table 52, the wafer W on the mounting surface
A heating means for heating the heater, for example, a heater 53 composed of a resistance heating element is embedded and connected to a power supply unit (not shown). A UV lamp 54, which is an ultraviolet irradiation means for activating a processing gas (ozone), is provided on an upper side facing the mounting surface, and is provided through a transmission window 55 made of, for example, quartz on a lower side. The processing container 51 is configured to irradiate ultraviolet rays. A gate valve 56 for loading / unloading the wafer W is provided on the side wall surface of the processing container 51.
Is provided. An exhaust pipe 8 is connected near the bottom surface of the processing container 51, and a vacuum pump 81 is connected to the exhaust pipe 8 via a valve V7. The valve V7
Is provided so as to be located on the upstream side closer to the processing unit 5 than the place where the aforementioned detour 44 is connected to the exhaust pipe 8.

【0019】次に上述装置の作用について説明を行う
が、基板に対しオゾン処理を行う処理工程と、当該装置
のメンテナンス後に行われる装置の乾燥工程とに分けて
説明する。
Next, the operation of the above-described apparatus will be described. The processing step of performing ozone treatment on the substrate and the drying step of the apparatus performed after maintenance of the apparatus will be described separately.

【0020】先ず処理工程について述べると、バルブV
1を介して、配管71から供給される原料ガスを水分除
去部72を通してオゾン発生部33へと供給する。この
原料ガスに含まれる酸素ガスは通常純度が99.995
%程度であり、残りの0.005%内には水分が含まれ
てしまうので水分除去部72を通過させることで水分除
去を行い、酸素ガスの純度を高めるものである。ここで
水分除去部72がオゾン発生部33の上流に設けられて
いるため、オゾン発生部33でオゾンが生じる際に発生
するNOxと原料ガス中に含まれる水分とが反応して硝
酸となることを軽減しており、処理ユニット5内壁面に
利用されているアルミニウムやステンレスといった金属
材料が腐食されることを抑えている。
First, the processing steps will be described.
1, the raw material gas supplied from the pipe 71 is supplied to the ozone generator 33 through the moisture removing unit 72. The oxygen gas contained in this source gas usually has a purity of 99.995.
%, And the remaining 0.005% contains moisture. Therefore, the moisture is removed by passing the moisture through the moisture removing section 72 to increase the purity of the oxygen gas. Here, since the water removing section 72 is provided upstream of the ozone generating section 33, NOx generated when ozone is generated in the ozone generating section 33 reacts with water contained in the raw material gas to form nitric acid. And metal materials such as aluminum and stainless steel used for the inner wall surface of the processing unit 5 are prevented from being corroded.

【0021】原料ガス中の酸素ガスはオゾン発生部3に
て印加される電圧により例えば8体積%がオゾンガスに
変わる。こうして発生したオゾンを含む処理ガスは配管
35を介して圧力調整部34へと送られる。オゾン発生
部33内は約0.1MPa(G)の加圧雰囲気に調節され
ているが、処理ユニット5内におけるプロセス圧力は例
えば5kPa(A)程度と減圧雰囲気であるため、圧力調
整部34により処理ガスの圧力が調節される。
For example, 8% by volume of the oxygen gas in the raw material gas is changed to the ozone gas by the voltage applied in the ozone generating section 3. The processing gas containing ozone generated in this manner is sent to the pressure adjusting unit 34 via the pipe 35. The inside of the ozone generating section 33 is adjusted to a pressurized atmosphere of about 0.1 MPa (G). However, since the process pressure in the processing unit 5 is a reduced pressure atmosphere of, for example, about 5 kPa (A), the pressure adjusting section 34 The pressure of the process gas is adjusted.

【0022】ここで処理ガスはオゾン発生部33から例
えば10リットル/分で流出しその一部は例えば500
cc/分程度の流量で分岐路36側へ流れ、流量計37
及び濃度計38を介して外部へ排気される。分岐路36
に流れる処理ガス中のオゾンの濃度は濃度計38にて計
測され、その計測値に基づき、既述のようにオゾン発生
部33における電圧がコントロールされる。
Here, the processing gas flows out of the ozone generator 33 at, for example, 10 liters / minute, and a part thereof is, for example, 500 liters.
A flow rate of about cc / min flows to the branch path 36 side, and the flow meter 37
And exhausted to the outside via the concentration meter 38. Fork 36
The concentration of ozone in the processing gas flowing through is measured by the densitometer 38, and based on the measured value, the voltage in the ozone generator 33 is controlled as described above.

【0023】ところで濃度計38には金属材料例えばス
テンレス鋼が用いられるのが一般であり、本実施の形態
に示すように配管35から分岐した分岐路36にこれら
流量計(流量計は例えばPTFE製である)37と濃度
計38とを設け、且つ分岐路36を流れるいわば計測用
の処理ガスが処理ユニット5に流れないように構成する
ことにより、既述のように硝酸の生じた際における金属
腐食がウエハWに悪影響を及ぼすことを回避できる。な
お流量計37には処理ガスの逆流防止という効果もあ
り、前記の効果を一層高めている。
A metal material such as stainless steel is generally used for the concentration meter 38. As shown in the present embodiment, these flow meters (for example, PTFE 37) and a concentration meter 38, and the processing gas for measurement flowing through the branch path 36 is prevented from flowing into the processing unit 5, so that the metal when nitric acid is generated as described above. Corrosion can be prevented from adversely affecting the wafer W. The flow meter 37 also has the effect of preventing the backflow of the processing gas, further enhancing the above effect.

【0024】原料ガスの供給開始後、オゾン発生量が安
定するまでの間バルブV3を閉じ、バルブV4を開放し
処理ガスを迂回路44から排気管8へと排気し、その後
バルブV3,V4を切り替えて処理ガスを処理容器51
へ供給する。基板であるウエハWはこのときまでに載置
台52に載置されており、UVランプ54からの紫外線
エネルギーによりオゾンが活性化して生成された酸素ラ
ジカルにより改質処理(アニール処理)される。ここで
は例えば酸化タンタル膜(TaOx)の膜質改善を行う
ものとすると、前記酸素ラジカルはウエハWの表面に形
成されている酸化タンタル膜(TaOx)内の間隙箇所
に入り込み酸素イオンとなるため、タンタルと酸素イオ
ンが当該膜内で化学的に安定した構造を形成することと
なり、結果として膜質が向上する。
After the supply of the raw material gas is started, the valve V3 is closed and the valve V4 is opened until the ozone generation amount is stabilized, and the processing gas is exhausted from the bypass 44 to the exhaust pipe 8, and then the valves V3 and V4 are opened. Switching to process gas 51
Supply to The wafer W, which is a substrate, has been mounted on the mounting table 52 by this time, and is modified (annealed) by oxygen radicals generated by activating ozone by ultraviolet energy from the UV lamp 54. Here, for example, if the film quality of the tantalum oxide film (TaOx) is to be improved, the oxygen radicals enter the gaps in the tantalum oxide film (TaOx) formed on the surface of the wafer W and become oxygen ions. And oxygen ions form a chemically stable structure in the film, resulting in improved film quality.

【0025】このアニール処理は図示しない制御部によ
り処理条件が制御される。例えばオゾン供給量に応じて
UVランプの照射時間が決定され、これと共に抵抗加熱
体の発熱制御を行い、ウエハWの温度が例えば400℃
〜800℃の範囲内で選択される設定温度となるように
して改質処理が行われる。この例ではオゾン活性化手段
としてヒータ53とUVランプ54とを併せて使うこと
ができるが、使用するのはどちらか一方であってもよ
い。
The conditions of this annealing process are controlled by a control unit (not shown). For example, the irradiation time of the UV lamp is determined in accordance with the supply amount of ozone, and at the same time, the heat generation of the resistance heater is controlled.
The reforming process is performed so that the set temperature is selected within the range of 800 ° C. In this example, the heater 53 and the UV lamp 54 can be used together as the ozone activating means, but either one may be used.

【0026】次に本実施の形態に係る装置の乾燥工程に
ついて詳述する。この工程はメンテナンスのため例えば
オゾン発生ユニット3と処理ガス流路4とを分離して処
理ガス流路4内を大気に開放した後、処理ガス流路4こ
の例では内管41の内壁に水分が付着するので、この水
分付着箇所に乾燥ガスを流すことで乾燥しようとするも
のである。具体的には先ずバルブV1,バルブV3を閉
じた状態でバルブV2,バルブV4を開放し、配管73
から例えば180℃程度に加熱された乾燥ガス例えば窒
素ガスをオゾン発生ユニット3の一次側から供給し、オ
ゾン発生ユニット3から内管41及び迂回路44を経由
して排気管8へと排出される。乾燥ガスの供給は例えば
約1時間行う。このように処理ガス流路4を加熱すれ
ば、メンテナンス時に処理ガス流路4内に付着した水分
の蒸発が迅速に行われる。例えば内管41にPTFE等
の多孔質材料を用いた場合には、孔の中に水分が入り込
むため内管41内に乾燥ガスを流すことにより内管41
を直接加熱することが有効であり、処理ガス流路4を短
時間で乾燥することができ、装置の稼動効率を向上する
ことができる。なお加熱した乾燥ガスは、オゾン発生ユ
ニット3の直ぐ下流側から内管41内に供給するように
してもよい。
Next, the drying process of the apparatus according to the present embodiment will be described in detail. In this step, for example, the ozone generation unit 3 and the processing gas flow path 4 are separated to open the processing gas flow path 4 to the atmosphere for maintenance. Adheres, so that a drying gas is caused to flow through the moisture adhering portion to attempt drying. Specifically, first, the valve V2 and the valve V4 are opened with the valve V1 and the valve V3 closed, and the pipe 73 is opened.
From the primary side of the ozone generation unit 3 and is discharged from the ozone generation unit 3 to the exhaust pipe 8 via the inner pipe 41 and the bypass 44. . The supply of the drying gas is performed, for example, for about one hour. If the processing gas flow path 4 is heated in this manner, the moisture adhering to the processing gas flow path 4 during maintenance is quickly evaporated. For example, when a porous material such as PTFE is used for the inner tube 41, moisture enters into the holes, so that a dry gas is flowed into the inner tube 41 to allow the inner tube 41 to flow.
It is effective to directly heat the gas, the processing gas flow path 4 can be dried in a short time, and the operation efficiency of the apparatus can be improved. Note that the heated dry gas may be supplied into the inner pipe 41 from immediately downstream of the ozone generation unit 3.

【0027】また配管45から内管41と外管42との
間の空間に供給する不活性ガスを加熱してもよいし、外
管42の周囲或いは内管41の周囲に加熱手段例えばテ
ープヒータを巻きつけて、間接的に伝熱を行い内管41
の乾燥を行うようにしてもよく、更にはこれらの方法を
前述の乾燥を行う方法と併用してもよい。
An inert gas supplied from the pipe 45 to the space between the inner pipe 41 and the outer pipe 42 may be heated, or a heating means such as a tape heater may be provided around the outer pipe 42 or the inner pipe 41. And indirectly conduct heat to make the inner tube 41
May be dried, and these methods may be used in combination with the above-mentioned method of drying.

【0028】以上のように本実施の形態によれば、オゾ
ン発生部33の上流側に水分除去部72を設けているた
め、処理ガス中にの水分を極く微量か或いは実質ほぼゼ
ロにすることができ、NOxが含まれていても硝酸の生
成は抑えられるので、処理容器51の内壁の腐食が抑え
られ、ウエハWの汚染が低減される。そして酸素ガス供
給源からの酸素中には既述のように微量ながら水分の混
入が避けられず、一方においてオゾン処理装置では微量
な水分により金属腐食が起こることから、この技術は有
効な手段である。なお処理ガス流路4は例えばステンレ
ス鋼による単管構造としてもよく、この場合でも腐食が
抑えられるので有効である。
As described above, according to the present embodiment, since the water removing section 72 is provided on the upstream side of the ozone generating section 33, the amount of water in the processing gas is made extremely small or substantially zero. Therefore, even if NOx is contained, the generation of nitric acid is suppressed, so that corrosion of the inner wall of the processing container 51 is suppressed, and contamination of the wafer W is reduced. And as described above, it is unavoidable that a small amount of water is mixed in the oxygen from the oxygen gas supply source. On the other hand, in the ozone treatment device, a small amount of water causes metal corrosion, so this technique is an effective means. is there. The processing gas flow path 4 may have a single-tube structure made of, for example, stainless steel. Even in this case, it is effective because corrosion is suppressed.

【0029】また濃度計38などの腐食の可能性のある
金属を用いた装置を処理ガスの分岐路36に設けたこと
で、金属腐食によるウエハWの汚染を抑えることができ
る。メンテナンス後には処理ガス流路4内に加熱した乾
燥ガスを流しているため、多孔質のPTFEの孔の中に
入り込んだ水分を迅速に蒸発させることができ、またこ
の乾燥ガスを迂回路44から排気することにより、処理
ユニット5内に水分が流入することもない。また、乾燥
後はバルブV3を経由して処理ユニット5へ流入させ、
処理ユニット5を含む全体を乾燥させることができる。
Further, by providing an apparatus using a metal having a possibility of corrosion, such as a concentration meter 38, in the branch path 36 of the processing gas, contamination of the wafer W due to metal corrosion can be suppressed. After the maintenance, the heated dry gas is flown into the processing gas flow path 4, so that the moisture that has entered the pores of the porous PTFE can be quickly evaporated. By exhausting, moisture does not flow into the processing unit 5. After drying, it is allowed to flow into the processing unit 5 via the valve V3,
The whole including the processing unit 5 can be dried.

【0030】以上において水分除去部72は、酸素ガス
の供給路72aに設けてもよい。また原料ガスの一部と
しては、窒素ガスの代りにCOガスを用いてもよく、こ
の場合においてもCO2の生成が予測されるので水分を
除去することが必要である。
In the above, the water removing section 72 may be provided in the supply path 72a for the oxygen gas. As a part of the raw material gas, CO gas may be used in place of nitrogen gas. In this case, it is necessary to remove water because CO2 is expected to be generated.

【0031】上述の処理ユニット5は図4に示すように
構成してもよい。この処理ユニット5は、処理容器90
の下方側に加熱手段である回転自在な加熱ランプ91
を、上方側に紫外線照射手段であるUVランプ92を夫
々設けたものである。処理容器5は図示していないが、
下部に排気口を備えている。加熱ランプ91には例えば
ハロゲンランプやアークランプが用いられる。図中93
はウエハWの載置台、94、95は例えば石英製の透過
窓である。このような実施の形態では、オゾン活性化手
段として加熱ランプ91とUVランプ92とを併せて使
うことができるが、使用するのはどちらか一方であって
もよく、例えば400℃〜800℃から選ばれる設定温
度でオゾン処理を行うことによりウエハW表面のゲート
酸化膜が改質される。
The processing unit 5 described above may be configured as shown in FIG. The processing unit 5 includes a processing container 90
A rotatable heating lamp 91 serving as a heating means is provided below
Are provided with a UV lamp 92 as an ultraviolet irradiation means on the upper side. Although the processing vessel 5 is not shown,
An exhaust port is provided at the bottom. As the heating lamp 91, for example, a halogen lamp or an arc lamp is used. 93 in the figure
Is a mounting table for the wafer W, and 94 and 95 are transmission windows made of, for example, quartz. In such an embodiment, the heating lamp 91 and the UV lamp 92 can be used together as the ozone activating means, but either one may be used, for example, from 400 ° C. to 800 ° C. By performing the ozone treatment at the selected set temperature, the gate oxide film on the surface of the wafer W is modified.

【0032】[0032]

【発明の効果】本発明によればオゾンガスを用いて基板
を処理するにあたり、処理ガス中の成分によってガス流
路や処理容器内の腐食が起こることを抑えることがで
き、基板の汚染を低減することができる。
According to the present invention, in processing a substrate using ozone gas, it is possible to suppress the occurrence of corrosion in the gas flow path and the processing container due to components in the processing gas, and to reduce contamination of the substrate. be able to.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明を実施するためのオゾン処理装置を表す
概略図である。
FIG. 1 is a schematic diagram showing an ozone treatment apparatus for carrying out the present invention.

【図2】オゾン発生部の平面図及び縦断面図である。FIG. 2 is a plan view and a longitudinal sectional view of an ozone generation unit.

【図3】基板のオゾン処理を行う処理ユニットの縦断面
図である。
FIG. 3 is a vertical sectional view of a processing unit for performing ozone processing on a substrate.

【図4】処理ユニットの他の実施の形態を示す縦断面図
である。
FIG. 4 is a longitudinal sectional view showing another embodiment of the processing unit.

【図5】従来のオゾン処理装置を示す概略説明図であ
る。
FIG. 5 is a schematic explanatory view showing a conventional ozone treatment apparatus.

【符号の説明】[Explanation of symbols]

W ウエハ 1 オゾン発生ユニット 11 オゾン発生部 12 センサー 13 圧力調整部 14 配管 21 処理ユニット 22 UVランプ 23 載置台 3 オゾン発生ユニット 33 オゾン発生部 34 圧力調整部 36 分岐路 37 流量計 38 オゾン濃度計 4 処理ガス流路 41 内管 42 外管 43 孔部 44 迂回路 5 処理ユニット 51 処理容器 52 載置台 53 ヒータ 54 UVランプ 61 ガスケット 62,63 電極 67 電圧制御部 71,73 配管 72 水分除去部 8 排気管 W wafer 1 Ozone generation unit 11 Ozone generation unit 12 Sensor 13 Pressure adjustment unit 14 Pipe 21 Processing unit 22 UV lamp 23 Mounting table 3 Ozone generation unit 33 Ozone generation unit 34 Pressure adjustment unit 36 Branch line 37 Flow meter 38 Ozone concentration meter 4 Processing gas flow path 41 Inner pipe 42 Outer pipe 43 Hole 44 Detour 5 Processing unit 51 Processing container 52 Mounting table 53 Heater 54 UV lamp 61 Gasket 62, 63 Electrode 67 Voltage controller 71, 73 Pipe 72 Moisture remover 8 Evacuation tube

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4D052 AA02 CA01 FA01 GA03 GB03 4G042 AA07 CA01 CB09 CE04 5F004 AA16 BA19 BB05 BB18 BC08 BD04 CA01 DA25 DA26 DA27 5F045 AB31 AC11 BB10 BB14 EC07 EC08 EC09 EE14 EK10 HA06 HA07  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 4D052 AA02 CA01 FA01 GA03 GB03 4G042 AA07 CA01 CB09 CE04 5F004 AA16 BA19 BB05 BB18 BC08 BD04 CA01 DA25 DA26 DA27 5F045 AB31 AC11 BB10 BB14 EC07 EC08 EC09 EE14 EK10 HA06 HA06

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 少なくとも酸素ガスを含む原料ガスをオ
ゾン発生部に供給し、ここで発生したオゾンガスを、処
理ガス流路を介して基板が載置された処理容器に供給
し、当該基板に対して処理を行う装置において、 オゾン発生部の上流側における少なくとも酸素ガスが流
れる流路中に水分除去部を設けたことを特徴とするオゾ
ン処理装置。
1. A source gas containing at least oxygen gas is supplied to an ozone generator, and the generated ozone gas is supplied to a processing vessel on which a substrate is mounted via a processing gas flow path. An ozone treatment apparatus characterized in that a water removal unit is provided at least in a flow path of an oxygen gas upstream of an ozone generation unit.
【請求項2】 原料ガスをオゾン発生部に供給し、ここ
で発生したオゾンガスを、処理ガス流路を介して基板が
載置された処理容器に供給し、当該基板に対して処理を
行う装置において、 加熱された乾燥ガスを、少なくともオゾン発生部の下流
側の処理ガス流路中に供給する手段を設け、基板の処理
前に乾燥ガスにより処理ガスの流路を乾燥することを特
徴とするオゾン処理装置。
2. An apparatus for supplying a raw material gas to an ozone generating section, supplying the generated ozone gas to a processing vessel on which a substrate is mounted via a processing gas flow path, and performing processing on the substrate. Wherein a means for supplying a heated drying gas to at least a processing gas flow path on the downstream side of the ozone generation unit is provided, and the processing gas flow path is dried by the drying gas before processing the substrate. Ozone treatment equipment.
【請求項3】 処理ガス流路は非金属よりなる内管の外
に空間を介して外管を設けた二重構造をなしており、こ
の内管と外管との間の空間に不活性ガスを供給する手段
と、該不活性ガスを排出する手段とを設けたことを特徴
とする請求項2記載のオゾン処理装置。
3. The processing gas flow path has a double structure in which an outer tube is provided outside of an inner tube made of a non-metal through a space, and an inert space is provided between the inner tube and the outer tube. 3. An ozone treatment apparatus according to claim 2, further comprising means for supplying gas and means for discharging said inert gas.
【請求項4】 原料ガスをオゾン発生部に供給し、ここ
で発生したオゾンガスを、処理ガス流路を介して基板が
載置された処理容器に供給し、当該基板に対して処理を
行う装置において、 処理ガス流路から分岐され、分岐端が処理容器に接続さ
れない分岐路と、 この分岐路に設けられ、オゾンの濃度を測定するための
オゾン濃度計と、を設けることを特徴とするオゾン処理
装置。
4. An apparatus for supplying a raw material gas to an ozone generating section, supplying the generated ozone gas to a processing vessel on which a substrate is mounted via a processing gas flow path, and performing processing on the substrate. The ozone according to any one of claims 1 to 3, further comprising: a branch path branched from the processing gas flow path, the branch end of which is not connected to the processing vessel; and an ozone concentration meter provided in the branch path for measuring the concentration of ozone. Processing equipment.
【請求項5】 オゾンガスにエネルギーを付加して活性
化するためのオゾン活性化手段を備えることを特徴とす
る請求項1乃至4のいずれかに記載のオゾン処理装置。
5. The ozone treatment apparatus according to claim 1, further comprising an ozone activating unit for activating the ozone gas by adding energy thereto.
【請求項6】 オゾン活性化手段は、紫外線照射手段を
含むことを特徴とする請求項5記載のオゾン処理装置。
6. An ozone treatment apparatus according to claim 5, wherein said ozone activating means includes an ultraviolet irradiation means.
【請求項7】 オゾン活性化手段は、加熱手段を含むこ
とを特徴とする請求項5記載のオゾン処理装置。
7. The ozone treatment apparatus according to claim 5, wherein the ozone activating means includes a heating means.
【請求項8】 原料ガスをオゾン発生部に供給し、ここ
で発生したオゾンガスを、処理ガス流路を介して基板が
載置された処理容器に供給し、当該基板に対して処理を
行う装置において、 メンテナンスを行うために処理ガス流路を大気に開放す
る工程と、 オゾン処理装置を組み立てた後、処理ガス流路を加熱し
て乾燥する工程と、 その後オゾンを処理容器に供給し、基板に対してオゾン
処理を行う工程と、を有することを特徴とするオゾン処
理方法。
8. An apparatus for supplying a raw material gas to an ozone generating section, supplying the generated ozone gas to a processing vessel on which a substrate is mounted via a processing gas flow path, and performing processing on the substrate. A step of opening the processing gas flow path to the atmosphere for maintenance, a step of heating and drying the processing gas flow path after assembling the ozone processing apparatus, and then supplying ozone to the processing container and Performing an ozone treatment on the ozone.
JP2000062128A 1999-05-28 2000-03-07 Ozone processor and ozone processing method Pending JP2001053066A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000062128A JP2001053066A (en) 1999-05-28 2000-03-07 Ozone processor and ozone processing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP14986199 1999-05-28
JP11-149861 1999-05-28
JP2000062128A JP2001053066A (en) 1999-05-28 2000-03-07 Ozone processor and ozone processing method

Publications (1)

Publication Number Publication Date
JP2001053066A true JP2001053066A (en) 2001-02-23

Family

ID=26479628

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000062128A Pending JP2001053066A (en) 1999-05-28 2000-03-07 Ozone processor and ozone processing method

Country Status (1)

Country Link
JP (1) JP2001053066A (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367976A (en) * 2001-06-12 2002-12-20 Tokyo Electron Ltd Treatment system
JP2008303096A (en) * 2007-06-06 2008-12-18 Iwatani Internatl Corp Safety device of ozone gas feed system
JP2012054338A (en) * 2010-08-31 2012-03-15 Meidensha Corp Method and device of reforming oxide film
JP2020526925A (en) * 2017-07-14 2020-08-31 マイクロマテリアルズ エルエルシー Gas supply system for high pressure processing chambers
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6127635A (en) * 1984-07-17 1986-02-07 Samuko Internatl Kenkyusho:Kk High efficiency dry type removing device of photoresist
JPS645639U (en) * 1987-06-25 1989-01-12
JPH01286315A (en) * 1988-05-12 1989-11-17 Tokyo Electron Ltd Ashing device
JPH03106432A (en) * 1989-09-20 1991-05-07 Hitachi Ltd Method and device for degassing vacuum apparatus
JPH06163480A (en) * 1992-11-24 1994-06-10 Hitachi Ltd Surface treating method and surface treater
JPH0766159A (en) * 1993-08-23 1995-03-10 Hitachi Ltd Method and equipment for surface treatment
JPH08133707A (en) * 1994-11-09 1996-05-28 Ebara Corp Ozone generating method, device therefor and its usage
JPH10223611A (en) * 1997-02-07 1998-08-21 Iwatani Internatl Corp Resist removing method using ozone gas
JPH10326730A (en) * 1997-05-26 1998-12-08 Kokusai Electric Co Ltd Semiconductor manufacturing device and its maintenance method
JPH10338506A (en) * 1997-06-05 1998-12-22 Nec Corp Ozone flow controller

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6127635A (en) * 1984-07-17 1986-02-07 Samuko Internatl Kenkyusho:Kk High efficiency dry type removing device of photoresist
JPS645639U (en) * 1987-06-25 1989-01-12
JPH01286315A (en) * 1988-05-12 1989-11-17 Tokyo Electron Ltd Ashing device
JPH03106432A (en) * 1989-09-20 1991-05-07 Hitachi Ltd Method and device for degassing vacuum apparatus
JPH06163480A (en) * 1992-11-24 1994-06-10 Hitachi Ltd Surface treating method and surface treater
JPH0766159A (en) * 1993-08-23 1995-03-10 Hitachi Ltd Method and equipment for surface treatment
JPH08133707A (en) * 1994-11-09 1996-05-28 Ebara Corp Ozone generating method, device therefor and its usage
JPH10223611A (en) * 1997-02-07 1998-08-21 Iwatani Internatl Corp Resist removing method using ozone gas
JPH10326730A (en) * 1997-05-26 1998-12-08 Kokusai Electric Co Ltd Semiconductor manufacturing device and its maintenance method
JPH10338506A (en) * 1997-06-05 1998-12-22 Nec Corp Ozone flow controller

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367976A (en) * 2001-06-12 2002-12-20 Tokyo Electron Ltd Treatment system
JP2008303096A (en) * 2007-06-06 2008-12-18 Iwatani Internatl Corp Safety device of ozone gas feed system
JP2012054338A (en) * 2010-08-31 2012-03-15 Meidensha Corp Method and device of reforming oxide film
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7033647B2 (en) 2017-07-14 2022-03-10 マイクロマテリアルズ エルエルシー Gas supply system for high pressure processing chambers
JP7368519B2 (en) 2017-07-14 2023-10-24 マイクロマテリアルズ エルエルシー Gas supply system for high pressure processing chambers
JP2020526925A (en) * 2017-07-14 2020-08-31 マイクロマテリアルズ エルエルシー Gas supply system for high pressure processing chambers
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Similar Documents

Publication Publication Date Title
KR100495551B1 (en) Ozone treatment device of semiconductor process system
JP2001053066A (en) Ozone processor and ozone processing method
US5554226A (en) Heat treatment processing apparatus and cleaning method thereof
JP2768952B2 (en) Metal oxidation treatment apparatus and metal oxidation treatment method
US6164295A (en) CVD apparatus with high throughput and cleaning method therefor
JP3403181B2 (en) Heat treatment apparatus and heat treatment method
US6171104B1 (en) Oxidation treatment method and apparatus
JPH01134932A (en) Cleansing process and clarifier of substrate
KR20020024536A (en) Rare gas recovery method and apparatus
US5241987A (en) Process gas supplying apparatus
WO2015098387A1 (en) Light irradiation device
TWI616934B (en) Substrate treating apparatus and substrate treating method
JP4324663B2 (en) Shower head and semiconductor heat treatment apparatus using shower head
JPH0548295B2 (en)
US5702673A (en) Ozone generating apparatus
JPH07335602A (en) Method and device for surface treatment of substrate
JP2000286251A (en) Ultraviolet treatment device
JP3037108B2 (en) Surface treatment method for stainless steel members
JP3250002B2 (en) Ozone supply system to ozone using device and passivation method thereof
JP3167523B2 (en) Heat treatment apparatus and heat treatment method
JP2019151892A (en) Processing method for metal member, processing apparatus, and evaluation method
JP2008091836A (en) Substrate processing equipment
JP2001244202A (en) Method and device for manufacturing semiconductor
JP2002353210A (en) Equipment and method for heat treatment
JP2776441B2 (en) Process gas supply device and supply method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070126

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090113

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100112

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100312

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100413