JP2000076315A - Lsi designing method and function describing method - Google Patents

Lsi designing method and function describing method

Info

Publication number
JP2000076315A
JP2000076315A JP10245903A JP24590398A JP2000076315A JP 2000076315 A JP2000076315 A JP 2000076315A JP 10245903 A JP10245903 A JP 10245903A JP 24590398 A JP24590398 A JP 24590398A JP 2000076315 A JP2000076315 A JP 2000076315A
Authority
JP
Japan
Prior art keywords
wiring
output terminal
function
design
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10245903A
Other languages
Japanese (ja)
Inventor
Yoshiyuki Kawakami
善之 川上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP10245903A priority Critical patent/JP2000076315A/en
Publication of JP2000076315A publication Critical patent/JP2000076315A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To apply a delay calculation algorithm of high order which has high delay calculation precision from a stage of function design. SOLUTION: At a stage of a function level floor plan, a step 3 for selecting the driving capability of the output terminal of an undesigned function block is provided. Consequently, the input signal waveform of an inter-block wiring network can be defined and a delay calculation algorithm of high order can be applied to the delay calculation of inter-block wiring. Further, a step 7 for logically designing the undesigned function block under the restriction of the selected driving capability is provided to eliminate a timing error due to the delay calculation error of the inter-block wiring, thereby eliminating redesigning.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路を
機能設計から論理設計、レイアウト設計へタイミングを
考慮してトップダウンに設計する方法に関するものであ
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of designing a semiconductor integrated circuit from a functional design to a logic design and a layout design from the top down in consideration of timing.

【0002】[0002]

【従来の技術】近年はシステム・オン・シリコン時代と
呼ばれるように、1チップにシステムを搭載するほどに
高集積化された半導体LSIチップが開発されてきてお
り、LSI設計にかかる工数が増加の一途をたどってい
る。従来、それを解決するために、コンピュータによる
設計支援によって設計工数を削減する設計スタイルが広
く一般に使われている。
2. Description of the Related Art In recent years, as is called the system-on-silicon era, semiconductor LSI chips that are highly integrated to mount a system on one chip have been developed, and the man-hours required for LSI design have increased. It's going on. Conventionally, in order to solve the problem, a design style of reducing design man-hours by computer-aided design support has been widely and generally used.

【0003】半導体LSIチップを設計する工程には、
大きく分けて機能設計、論理設計、レイアウト設計があ
る。機能設計は実現したい動作を機能単位の部品(以
下、機能ブロックという)を定義して設計する工程であ
り、論理設計はその機能ブロックを論理回路に変換する
工程であり、レイアウト設計は論理回路をマスクパター
ンに変換する工程である。また、機能設計、論理設計、
レイアウト設計の順に進めていく設計スタイルをトップ
ダウン設計と呼ぶ。このトップダウン設計は、ある設計
工程における設計検証でエラーがなくなったら次の設計
工程に進むような手順をとる。もしある設計工程で設計
が困難となり、例えばタイミングエラーといった設計エ
ラーがなくならない場合、前の設計工程に戻って設計を
やり直す必要がある。各設計工程間で設計のやり直しを
行うことは設計工数を非常に大きくする。
[0003] In the process of designing a semiconductor LSI chip,
There are functional design, logical design, and layout design. Functional design is the process of defining the operation to be realized by defining parts in functional units (hereinafter referred to as functional blocks), logical design is the process of converting the functional blocks into logical circuits, and layout design is the process of converting the logical circuits. This is a step of converting into a mask pattern. In addition, functional design, logical design,
A design style that proceeds in the order of layout design is called top-down design. In this top-down design, a procedure is adopted such that when no error is found in the design verification in a certain design process, the process proceeds to the next design process. If the design becomes difficult in a certain design process and a design error such as a timing error does not disappear, it is necessary to return to the previous design process and redo the design. Re-designing between design steps greatly increases design man-hours.

【0004】設計のやり直しが起きないような方法とし
て、なるべく次の工程で検証するものを前の工程で検証
しておく設計スタイルがある。設計の情報は、機能設
計、論理設計、レイアウト設計の順に抽象度が下がるの
で、現工程の検証を前の工程で検証しようとすると、情
報の抽象度の差により検証精度が落ちる。したがって、
精度を可能な限り落とさないで検証することが、トップ
ダウン設計で後戻りのない設計を行う重要なポイントと
なる。
[0004] As a method for preventing re-design, there is a design style in which what is verified in the next step is verified in the previous step as much as possible. Since the abstraction of design information decreases in the order of functional design, logical design, and layout design, if the verification of the current process is attempted in the previous process, the verification accuracy is reduced due to the difference in the abstraction of information. Therefore,
Verification with as little accuracy as possible is an important point in designing without backtracking in top-down design.

【0005】一方、半導体LSIチップの製造技術の進
歩により、今ではディープサブミクロンと呼ばれる0.
35ミクロン以下のデザインルールでチップを設計する
ほどになっている。これによって、回路内を信号が伝達
する時間、すなわち信号遅延時間(単に、遅延時間とも
いう)に占める配線遅延Twとゲート遅延Tgとの関係が
Tw>Tgになり、チップ設計において配線遅延を考慮す
ることは必須の課題となっている。これは、微細化によ
ってゲート遅延が小さくなってきたにもかかわらず、配
線遅延は配線抵抗が大きくなってきたためにゲート遅延
に比べて小さくならないことから起こるものである。特
に、配線長が長いところではその影響が大きく現れるた
め、機能ブロック間配線のような長い配線を積極的に考
慮した設計手法の必要性が叫ばれている。そしてディー
プサブミクロン時代の設計要素技術として、「配線遅延
モデルとゲート遅延モデル」、「配線トポロジー最適
化」、「デバイスサイズと配線幅の最適化」、「クロッ
ク配線の最適化」等が挙げられ、様々な研究がなされて
いる。これらの技術は、J. Cong et al.,"Performance
optimization of VLSI interconnect layout", INTEGRA
TION, the VLSI Journal, Vol.21, 1996, pp.1-94に詳
しく記述されている。
On the other hand, due to the progress of the semiconductor LSI chip manufacturing technology, a technology called deep submicron, which is now called deep submicron.
Chips are designed with design rules of 35 microns or less. As a result, the relationship between the wiring delay Tw and the gate delay Tg occupying the signal transmission time in the circuit, that is, the signal delay time (also simply referred to as delay time) becomes Tw> Tg, and the wiring delay is taken into account in the chip design. Is an essential task. This is because the wiring delay does not become smaller than the gate delay because the wiring resistance has increased, even though the gate delay has become smaller due to miniaturization. In particular, since the effect is significant when the wiring length is long, the necessity of a design method that actively considers long wiring such as wiring between functional blocks is called for. Design element technologies in the deep submicron era include "wiring delay model and gate delay model", "wiring topology optimization", "optimizing device size and wiring width", and "optimizing clock wiring". Various studies have been made. These techniques are described in J. Cong et al., "Performance
optimization of VLSI interconnect layout ", INTEGRA
TION, the VLSI Journal, Vol. 21, 1996, pp. 1-94.

【0006】ここで、半導体LSIチップの設計におけ
る配線遅延に着目する。1ミクロン時代ではゲート遅延
が配線遅延より支配的だったため、配線遅延に対して殆
ど考慮がなされていなかった。サブミクロン時代に入る
と、配線抵抗の影響が現れて配線遅延が無視できなくな
ってきたため、配線遅延を計算するのに配線をRCネッ
トワーク(Rは配線抵抗、Cは配線容量)に置き換え
て、遅延計算をする手法を採るようになってきた。その
代表的な計算手法として、W. C. Elmore,"The Transien
t Response of Damped Linear Networks with Particul
ar Regard to Wideband Amplifiers", Journal of Appl
ied Physics, Vol.19, 1948, pp.55-63のいわゆるElmor
e法や、J. Rubinstein, et al.,"Signal Delay in RC T
ree Networks", IEEE Transactions on Computer-Aided
Design, Vol. CAD-2, No.3, 1983, pp.202-211のいわ
ゆるRPH法等が代表的なものである。これらは、その
配線ネットワークの入力信号波形がステップ関数であっ
たときの出力側の過渡応答を解いたものである。これら
手法の遅延値精度は、設計精度基準としてよく使われる
回路シミュレーションSPICEで計ったものと比べて
ほぼ近い値を算出するが、配線ネットワークが複雑にな
ったり、配線の入力信号波形が鈍ったりすると誤差が大
きくなる。
Here, attention is paid to wiring delay in the design of a semiconductor LSI chip. In the 1 micron era, little consideration was given to wiring delays because gate delays were dominant over wiring delays. In the sub-micron era, the influence of wiring resistance has appeared and wiring delay has become insignificant. Therefore, to calculate wiring delay, replace wiring with an RC network (R is wiring resistance, C is wiring capacitance), and delay It has begun to use calculation techniques. A typical calculation method is WC Elmore, "The Transien
t Response of Damped Linear Networks with Particul
ar Regard to Wideband Amplifiers ", Journal of Appl
The so-called Elmor of ied Physics, Vol. 19, 1948, pp. 55-63
e-law, J. Rubinstein, et al., "Signal Delay in RCT
ree Networks ", IEEE Transactions on Computer-Aided
The so-called RPH method of Design, Vol. CAD-2, No. 3, 1983, pp. 202-211 is a representative one. These solve the transient response on the output side when the input signal waveform of the wiring network is a step function. The delay value accuracy of these methods is calculated as a value that is almost similar to that measured by circuit simulation SPICE, which is often used as a design accuracy standard. However, if the wiring network becomes complicated or the input signal waveform of the wiring becomes dull, The error increases.

【0007】現在のディープサブミクロン時代は、更に
配線抵抗の影響が現れてきているため、もっと精度の高
い遅延計算が要求されている。その一解法として、L.
T. Pillage, et al.,"Asymptotic Waveform Evaluation
for Timing Analysis", IEEETransactions on Compute
r-Aided Design, Vol.9, No.4, 1990, pp.352-366のA
WE法がよく使われている。この手法は、線形回路の過
渡応答をモーメントの概念で行列式を使って解いたもの
である。AWE法は線形システムの伝達関数にqポール
モデルを使ったもので、ポール(pole:極)の数q
を増やす(高次:higher-order)ほど精度が上がる。一
般的に使われるポールの数は2〜5の範囲である。高次
の計算を行うためには、配線ネットワークの入力波形も
考慮しなければならない。なお、Elmore法はポールの数
を1にしたときと等価であるので、低次(lower-orde
r)の遅延計算といえる。
In the current era of the deep submicron, the influence of wiring resistance is further appearing, so that a more accurate delay calculation is required. As one solution, L.
T. Pillage, et al., "Asymptotic Waveform Evaluation
for Timing Analysis ", IEEETransactions on Compute
r-Aided Design, Vol.9, No.4, 1990, pp.352-366
The WE method is often used. In this method, the transient response of a linear circuit is solved using the determinant in the concept of moment. The AWE method uses a q-pole model for the transfer function of a linear system, and the number of poles (poles) q
(Higher-order) increases the accuracy. The number of commonly used poles ranges from 2-5. In order to perform higher order calculations, the input waveform of the wiring network must also be considered. Since the Elmore method is equivalent to the case where the number of poles is 1, the lower order (lower-orde
This can be said to be the delay calculation of r).

【0008】機能ブロック間配線遅延は半導体LSIチ
ップの動作に重要な位置を占めるので、可能な限り高精
度に遅延計算を行うことが望まれる。その意味で高次の
遅延計算を行うことは重要なことである。
Since the wiring delay between the functional blocks occupies an important position in the operation of the semiconductor LSI chip, it is desired to calculate the delay as accurately as possible. In that sense, it is important to perform higher-order delay calculations.

【0009】さて、機能設計時に最終の物理的なレイア
ウトイメージを考慮して設計を行う方法として、機能レ
ベルフロアプランがある。これは機能記述の情報から機
能ブロックの大きさを見積もって、機能の分割を行った
り、ブロックを配置したり、また機能ブロック間の仮配
線(概略配線)を行ったりする。ここで概略配線とは、
どの機能ブロック間の配線領域(配線チャネル)を通過
するかという相対的な配線経路は決定されているが、絶
対的な位置が決まっていない配線のことをいう。また、
絶対的な位置まで決まっている配線のことを詳細配線と
いう。このように機能レベルフロアプランでは、機能設
計の段階からレイアウトイメージをもちながら設計を進
めていくので、論理設計からレイアウト設計に至るまで
に、設計の後戻りが少なくなる手法といえる。機能ブロ
ック間配線の遅延計算には一般にElmore法がよく使われ
る。これは高速でかつ配線のRCネットワークだけで遅
延が比較的精度よく計算できるためである。
A function level floor plan is one of the methods for designing in consideration of the final physical layout image when designing a function. In this method, the size of a function block is estimated from information of a function description, and functions are divided, blocks are arranged, and provisional wiring (rough wiring) between function blocks is performed. Here, the schematic wiring is
Although the relative wiring path of which functional block passes through the wiring area (wiring channel) is determined, it refers to the wiring whose absolute position is not determined. Also,
The wiring determined to the absolute position is called detailed wiring. As described above, in the function level floor plan, since the design is advanced from the functional design stage using the layout image, it can be said that this is a method of reducing the regression of the design from the logic design to the layout design. In general, the Elmore method is often used for calculating the delay of wiring between functional blocks. This is because the delay can be calculated relatively accurately with only the RC network of the wiring at high speed.

【0010】[0010]

【発明が解決しようとする課題】現状のディープサブミ
クロン時代の半導体LSIチップを設計していくために
は、機能ブロック間配線を考慮した設計が不可欠であ
る。前述のように、従来、機能設計の段階から最終レイ
アウトイメージをもって機能ブロック間配線を考慮する
機能レベルフロアプラン手法があるが、この手法におけ
る配線遅延の計算精度に問題がある。
In order to design a current semiconductor LSI chip in the deep submicron era, it is indispensable to design in consideration of wiring between functional blocks. As described above, conventionally, there is a function level floorplan method in which wiring between functional blocks is considered from the stage of functional design with a final layout image, but there is a problem in the calculation accuracy of the wiring delay in this method.

【0011】例えば、良く使われるElmore法は低次の計
算アルゴリズムであるため、チップ全体に広がる複雑な
配線RCネットワークを計算した場合、その遅延計算精
度は低い。更に、Elmore法は配線ネットワークの入力信
号波形をステップ関数に仮定しているため、実際の回路
で発生する信号波形のようなある程度傾きをもったもの
として計算した場合と比べると、遅延計算精度は低い。
For example, since the Elmore method, which is often used, is a low-order calculation algorithm, when calculating a complicated wiring RC network extending over the entire chip, the delay calculation accuracy is low. Furthermore, since the Elmore method assumes the input signal waveform of the wiring network as a step function, the delay calculation accuracy is lower than when the calculation is performed with a certain slope like the signal waveform generated in an actual circuit. Low.

【0012】図16はそれを説明するための図である。
1本の配線は一般に、はしご型のRCネットワークで表
現できる。左端点は配線の入力側を表し、右端点は配線
の出力側を表す。図16の上段はElmore法で解く場合の
イメージ図である。配線の入力波形はステップ関数であ
る。これに対し、図16の下段は高次の遅延計算アルゴ
リズムを用いた場合を示し、配線の入力波形は任意の傾
きをもっている。図でも明らかなように、両方法の間に
若干の遅延差を生じる。実際の半導体LSIチップ内の
回路では信号波形が任意に傾いているので、図16の下
段の方がより正確なモデルである。特に長い配線になる
と、その差が更に拡大する。
FIG. 16 is a diagram for explaining this.
A single wire can generally be represented by a ladder-type RC network. The left end point represents the input side of the wiring, and the right end point represents the output side of the wiring. The upper part of FIG. 16 is an image diagram in the case of solving by the Elmore method. The input waveform of the wiring is a step function. On the other hand, the lower part of FIG. 16 shows a case where a higher-order delay calculation algorithm is used, and the input waveform of the wiring has an arbitrary slope. As is apparent from the figure, there is a slight delay difference between the two methods. Since the signal waveform is arbitrarily inclined in the circuit in the actual semiconductor LSI chip, the lower part of FIG. 16 is a more accurate model. Particularly for long wirings, the difference further increases.

【0013】遅延計算精度が悪かった場合、論理設計や
レイアウト設計時に機能ブロック間配線の遅延計算誤差
から起因するタイミングエラーを起こすといった問題が
発生し、設計の手戻りを生じることになりかねない。現
状のディープサブミクロン時代の半導体LSIチップを
設計していくためには、機能設計段階からより精度の高
い遅延計算をしていかなれば設計の手戻りを発生させる
可能性が高くなる。
If the accuracy of the delay calculation is poor, a problem such as a timing error due to a delay calculation error of the wiring between the functional blocks occurs in a logic design or layout design, which may lead to design rework. In order to design the current semiconductor LSI chip in the deep submicron era, the possibility of causing design rework increases if delay calculation with higher accuracy is performed from the function design stage.

【0014】本発明の目的は、機能設計の段階から遅延
計算精度の高い高次の遅延計算アルゴリズムを適用でき
るLSI設計方法と、そのための機能記述方法とを提供
することにある。
An object of the present invention is to provide an LSI design method capable of applying a high-order delay calculation algorithm with high delay calculation accuracy from the stage of function design, and a function description method therefor.

【0015】[0015]

【課題を解決するための手段】上記目的を達成するため
に、本発明に係るLSI設計方法の特徴は、機能設計の
段階で高次の遅延計算アルゴリズムを適用するために必
要なブロック間配線ネットワークの入力信号波形の傾き
を決定しておくことにある。具体的には、機能設計段階
で未設計である機能ブロックの出力端子の属性としてト
ランジスタの駆動能力を定義しておくように、出力端子
の駆動能力の選択ステップを設けたことにある。これに
より、従来機能ブロック間配線に適用できなかった高次
の遅延計算アルゴリズムが使えるようになり、より精度
の高いブロック間の配線遅延を計算することができる。
In order to achieve the above object, an LSI design method according to the present invention is characterized in that an inter-block wiring network necessary for applying a higher-order delay calculation algorithm in a function design stage. Is to determine the slope of the input signal waveform. Specifically, a driving capability selection step of an output terminal is provided so that the driving capability of a transistor is defined as an attribute of an output terminal of a functional block that has not been designed in a function design stage. This makes it possible to use a higher-order delay calculation algorithm that could not be applied to wiring between functional blocks in the past, and to calculate wiring delay between blocks with higher accuracy.

【0016】本LSI設計方法の特徴は、前述の出力端
子の駆動能力の選択ステップを設けることに加えて、定
義された入力信号波形の傾きを守って論理設計を行うこ
ともその特徴である。具体的には、機能設計時に得られ
た機能記述情報を元に機能別に設計済み機能ブロックと
未設計の機能ブロックとに分けて最終レイアウトイメー
ジを生成する初期フロアプランステップと、機能ブロッ
ク間の概略配線トポロジーから配線RCネットワークを
抽出する配線RCネットワーク抽出ステップと、初期フ
ロアプランステップで配置された機能ブロックのうち未
設計である機能ブロックの出力端子の駆動能力を選択す
る出力端子の駆動能力の選択ステップと、少なくとも前
記設計済み機能ブロックの入出力端子に接続されている
トランジスタの情報と、前記未設計の機能ブロックにつ
いて前記出力端子の駆動能力の選択ステップで得られた
出力端子の駆動能力と、前記概略配線トポロジーの情報
とを使って機能ブロック間の配線ネットの配線遅延時間
を算出する遅延計算ステップと、該遅延計算ステップで
得られた遅延時間が所望の遅延時間内に入るように改善
する遅延改善ステップと改善されなかったものや余裕が
あるものについて再度出力端子の駆動能力を選択する出
力端子の駆動能力の選択ステップとを全ての配線ネット
が所望の遅延時間内に収まるまで繰り返し実行するステ
ップと、全ての配線ネットが所望の遅延時間内に収まっ
たら、前記初期フロアプランステップと前記遅延改善ス
テップと前記出力端子の駆動能力の選択ステップとで得
られた情報を制約として論理合成を行う制約付き論理合
成ステップとを備えたLSI設計方法を採用したもので
ある。
The feature of the present LSI design method is that, in addition to providing the above-described step of selecting the driving capability of the output terminal, the logic design is performed while observing the defined gradient of the input signal waveform. Specifically, based on the function description information obtained at the time of function design, an initial floor plan step of generating a final layout image by dividing the function blocks into designed function blocks and undesigned function blocks for each function, and an outline between the function blocks. A wiring RC network extracting step of extracting a wiring RC network from the wiring topology, and a driving capability of an output terminal for selecting a driving capability of an output terminal of an undesigned functional block among the functional blocks arranged in the initial floor plan step Step, at least information on the transistors connected to the input / output terminals of the designed functional block, and the output terminal drive capability obtained in the output terminal drive capability selection step for the undesigned functional block, Wiring net between functional blocks using the information of the general wiring topology A delay calculation step for calculating a wiring delay time; a delay improvement step for improving the delay time obtained in the delay calculation step to be within a desired delay time; Repeating the step of selecting the drive capability of the output terminal to select the drive capability of the terminal until all the wiring nets fall within the desired delay time; and, if all the wiring nets fall within the desired delay time, An LSI design method including a constrained logic synthesis step of performing logic synthesis using information obtained in the initial floor plan step, the delay improvement step, and the drive capability selection step of the output terminal as constraints. is there.

【0017】また、本発明に係る機能記述方法の特徴
は、機能ブロックの出力端子の属性としてトランジスタ
の駆動能力を記述しておくことにある。そして、その駆
動能力の情報を使って機能設計時に精度の高い機能ブロ
ック間配線遅延を計算するのである。
A feature of the function description method according to the present invention resides in that the driving capability of a transistor is described as an attribute of an output terminal of a function block. Then, using the information on the driving capability, a highly accurate wiring delay between functional blocks is calculated at the time of functional design.

【0018】[0018]

【発明の実施の形態】本発明に係るLSI設計方法及び
機能記述方法について、図面を参照しながら説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS An LSI design method and a function description method according to the present invention will be described with reference to the drawings.

【0019】図1は、本発明に係るLSI設計方法を表
すフロー図である。これは、機能設計時にレイアウトイ
メージをもって設計を行う機能レベルフロアプランの工
程を表している。ここでは、一般的に用いられる機能記
述言語に基づいた設計が行われたものとする。具体的に
説明すると、図1において、1は初期フロアプランステ
ップ、2は配線RCネットワーク抽出ステップ、3は出
力端子の駆動能力の選択ステップ、4は遅延計算ステッ
プ、5は遅延改善ステップ、6は出力端子の駆動能力の
選択ステップ、7は制約付き論理合成ステップである。
初期フロアプランステップ1は、ブロックサイズ見積も
りステップ1aと、ブロック配置ステップ1bと、外部
端子の配置ステップ1cと、概略配線トポロジーの生成
ステップ1dとに細分化される。遅延改善ステップ5
は、ブロック配置ステップ5aと、外部端子の配置ステ
ップ5bと、概略配線トポロジーの生成ステップ5c
と、遅延改善用バッファの挿入ステップ5dと、配線R
Cネットワーク抽出ステップ5eと、遅延計算ステップ
5fとに細分化される。また、制約付き論理合成ステッ
プ7は、論理合成ステップ7a及び論理セルの置換ステ
ップ7b、又は、入出力端子の初期条件付加ステップ7
c及び論理合成ステップ7dに細分化される。
FIG. 1 is a flowchart showing an LSI design method according to the present invention. This represents a function level floor plan process of designing with a layout image at the time of function design. Here, it is assumed that a design based on a generally used function description language has been performed. More specifically, in FIG. 1, 1 is an initial floor plan step, 2 is a wiring RC network extraction step, 3 is a drive capacity selection step, 4 is a delay calculation step, 5 is a delay improvement step, and 6 is a delay improvement step. The step of selecting the driving capability of the output terminal, 7 is a constrained logic synthesis step.
The initial floor plan step 1 is subdivided into a block size estimation step 1a, a block arrangement step 1b, an external terminal arrangement step 1c, and a schematic wiring topology generation step 1d. Delay improvement step 5
Is a block arrangement step 5a, an external terminal arrangement step 5b, and a schematic wiring topology generation step 5c.
Step 5d of inserting a buffer for delay improvement, and wiring R
It is subdivided into a C network extraction step 5e and a delay calculation step 5f. The constrained logic synthesis step 7 is a logic synthesis step 7a and a logic cell replacement step 7b, or an input / output terminal initial condition addition step 7b.
c and a logic synthesis step 7d.

【0020】以下、具体例を以て図1のLSI設計方法
のフローを詳細に説明する。
Hereinafter, the flow of the LSI design method of FIG. 1 will be described in detail with a specific example.

【0021】図2は、ある半導体LSIチップ中の回路
の階層構造を表している。図2によれば、回路Lは回路
I及びKからなり、回路Iは回路H、C及びJからな
り、回路Kは回路E及びFからなり、回路Hは回路A及
びBからなり、回路Jは回路D及びGからなる。つま
り、回路Lからなる半導体LSIチップの中には回路
A、B、C、D、E、F及びGの7つの機能ブロックが
存在している。ただし、回路Aから回路Fまでは設計済
み機能ブロックであり、回路Gのみが未設計機能ブロッ
クであるものとする。
FIG. 2 shows a hierarchical structure of a circuit in a certain semiconductor LSI chip. According to FIG. 2, circuit L comprises circuits I and K, circuit I comprises circuits H, C and J, circuit K comprises circuits E and F, circuit H comprises circuits A and B, and circuit J Consists of circuits D and G. In other words, seven functional blocks of circuits A, B, C, D, E, F, and G exist in the semiconductor LSI chip including the circuit L. However, it is assumed that the circuits A to F are designed function blocks, and only the circuit G is an undesigned function block.

【0022】図1によれば、まず初期フロアプランステ
ップ1のブロックサイズ見積もりステップ1aで各機能
ブロック、すなわち回路A〜Gの各々の大きさを、機能
設計記述内の機能ブロックセクションの記述から見積も
る。図3は、回路A〜Gに係るブロックサイズの見積も
り結果を表している。見積もり方法は任意である。例え
ば、機能ブロックのうち、メモリ等のライブラリ化され
ている等の理由から予め設計が終わっているものはその
大きさの情報を使い、それ以外で例えば制御回路のよう
に一般に論理合成を使って設計するものに関しては簡易
的な論理合成(解の改善処理を行わない論理合成)を行
う等して大きさを見積もる。
According to FIG. 1, first, in a block size estimation step 1a of the initial floor plan step 1, the size of each functional block, that is, each of the circuits A to G is estimated from the description of the functional block section in the functional design description. . FIG. 3 shows an estimation result of the block sizes of the circuits A to G. The estimation method is arbitrary. For example, among the functional blocks, those that have been designed in advance because they are made into a library such as a memory or the like use the information of the size, and otherwise use generally logic synthesis such as a control circuit. For the design target, the size is estimated by performing simple logic synthesis (logic synthesis without performing solution improvement processing).

【0023】次に、ブロック配置ステップ1bでこれら
の機能ブロックを配置する。図4は、回路A〜Gに係る
各機能ブロックの配置結果を表している。なお、回路G
は未設計機能ブロックであるので破線で示した。
Next, these functional blocks are arranged in a block arranging step 1b. FIG. 4 shows a result of arranging the functional blocks according to the circuits A to G. The circuit G
Are undesigned functional blocks, and are shown by broken lines.

【0024】次に、外部端子の配置ステップ1cを行
う。このとき、設計済み機能ブロックは既に外部端子の
位置が決まっているのでそれを用いる。図5は、回路A
〜Gに係る外部端子の配置結果を表している。図5中の
回路Aの出力端子Aout、回路Bの入力端子Bin、回路
Cの入力端子Cin、回路Dの入力端子Din、回路Eの入
力端子Ein、回路Fの入力端子Finは、各回路が設計済
み機能ブロックなのでそれぞれ固定端子である。それに
対して、回路Gは未設計機能ブロックなので浮動端子を
持つ。図5中の回路Gの入力端子Gin及び出力端子Gou
tが浮動端子である。浮動端子は配置位置が決まってい
ないので、その位置を任意に決定できる。しかし、その
配置位置は他の機能ブロックの端子位置との関係を考慮
しないと、配線長が不要に長くなる可能性がある。その
結果、機能ブロック間配線から起因するタイミングエラ
ーやチップ面積の増大を引き起こす。一般に、チップ面
積最小化、配線長最小化等を目的関数とするアルゴリズ
ムを用いると、比較的良い結果を得ることができること
が知られている。回路Gの入力端子Ginと出力端子Gou
tとは、そのようなアルゴリズムに従って図5のとおり
に配置されたものとする。
Next, an external terminal arrangement step 1c is performed. At this time, the position of the external terminal is already used for the designed function block, and is used. FIG. 5 shows the circuit A
8 shows the arrangement results of external terminals related to .about.G. The output terminal Aout of the circuit A, the input terminal Bin of the circuit B, the input terminal Cin of the circuit C, the input terminal Din of the circuit D, the input terminal Ein of the circuit E, and the input terminal Fin of the circuit F in FIG. Since they are designed function blocks, they are fixed terminals. On the other hand, the circuit G has a floating terminal because it is an undesigned functional block. The input terminal Gin and the output terminal Go of the circuit G in FIG.
t is a floating terminal. Since the position of the floating terminal is not determined, the position can be arbitrarily determined. However, if the arrangement position is not considered in relation to the terminal positions of other functional blocks, the wiring length may be unnecessarily long. As a result, a timing error and an increase in chip area caused by wiring between functional blocks are caused. In general, it is known that a relatively good result can be obtained by using an algorithm having objective functions such as minimization of a chip area and minimization of a wiring length. The input terminal Gin and the output terminal Go of the circuit G
It is assumed that t is arranged as shown in FIG. 5 according to such an algorithm.

【0025】ここで端子の配置位置が決まったので、機
能ブロック間の概略配線経路を決定するように、概略配
線トポロジーの生成ステップ1dを行う。図6は、回路
A〜Gに係る機能ブロック間の概略配線トポロジーの生
成結果を表している。機能ブロック間配線ネット1は
{Aout,Cin,Din,Gin}であり、機能ブロック間
配線ネット2は{Bin,Ein,Fin,Gout}である。
ここで、ネットとは同電位に接続すべき端子の集合のこ
とである。配線経路は、遅延を考慮しながら配線長を最
小化することが要求される。したがって、このステップ
で遅延を計算しなければならないが、ここで使われるア
ルゴリズムは低次のアルゴリズムでよい。S. Prasitjut
rakul, et al.,"A Timing-Driven Global Router for C
ustom ChipDesign", Proceedings of International Co
nference on Computer-Aided Design, 1990, pp.48-51
の方法が代表的な方法である。
Here, since the terminal arrangement position is determined, a general wiring topology generating step 1d is performed so as to determine a general wiring path between functional blocks. FIG. 6 illustrates a result of generating a schematic wiring topology between functional blocks related to the circuits A to G. The wiring net 1 between functional blocks is {Aout, Cin, Din, Gin}, and the wiring net 2 between functional blocks is {Bin, Ein, Fin, Gout}.
Here, a net is a set of terminals to be connected to the same potential. The wiring path is required to minimize the wiring length while considering delay. Therefore, the delay must be calculated in this step, but the algorithm used here may be a lower-order algorithm. S. Prasitjut
rakul, et al., "A Timing-Driven Global Router for C
ustom ChipDesign ", Proceedings of International Co
nference on Computer-Aided Design, 1990, pp.48-51
Is a typical method.

【0026】次に、配線RCネットワーク抽出ステップ
2によって、機能ブロック間の概略配線トポロジーに従
って配線ネットのRC抽出を行う。RC抽出のRは配線
抵抗であり、Cは配線容量である。ここでは、図6中の
ネット2すなわち{Bin,Ein,Fin,Gout}を例に
とって説明する。
Next, in the wiring RC network extraction step 2, the RC of the wiring net is extracted according to the schematic wiring topology between the functional blocks. R in the RC extraction is a wiring resistance, and C is a wiring capacitance. Here, a description will be given taking the net 2 in FIG. 6, that is, {Bin, Ein, Fin, Gout} as an example.

【0027】図7はネット2の概略配線トポロジーを、
図8はそれからRC抽出して構成された配線RCネット
ワークをそれぞれ表している。図8は、概略配線トポロ
ジーのセグメントをある長さに分解したもの毎にπ型C
RC回路を定義してつないだものである。図8中の配線
の各末端に容量が付加されているが、これは各入力端子
の容量である。図8中の矢印は電流が流れる方向を示し
ている。ここで、概略配線経路を既に求めているので配
線長は一意に決定できる。配線抵抗は、単位長さ当たり
のシート抵抗値で計算できる。配線容量は、例えばタイ
ミングドリブンで論理合成をするときに使う単位長さ当
たりの容量値を使って計算する。なお、配線容量は全て
対LSI基板であるものとする。遅延計算を静的に行う
ためには他のネットとの関係が回路的に無関係である必
要があり、その一手段として配線容量を対LSI基板に
定義しておく。
FIG. 7 shows a schematic wiring topology of the net 2.
FIG. 8 shows a wiring RC network constructed by extracting the RC therefrom. FIG. 8 shows the π-type C for each segment of the schematic wiring topology decomposed into a certain length.
The RC circuit is defined and connected. A capacitance is added to each end of the wiring in FIG. 8, which is the capacitance of each input terminal. The arrow in FIG. 8 indicates the direction in which the current flows. Here, since the schematic wiring path has already been obtained, the wiring length can be uniquely determined. The wiring resistance can be calculated by a sheet resistance value per unit length. The wiring capacitance is calculated using a capacitance value per unit length used when performing logic synthesis in a timing driven manner, for example. It is assumed that all wiring capacitances are for the LSI substrate. In order to perform the delay calculation statically, the relationship with other nets needs to be irrelevant in terms of the circuit. As one means for this, the wiring capacitance is defined on the LSI substrate.

【0028】図9は、図8の配線RCネットワークのレ
スポンス等価な縮退を表している。配線RCネットワー
クは細かく分解すればするほど配線による振る舞いの解
析精度が向上するが、データ量が膨大になる欠点があ
る。そこで、元の配線RCネットワークを縮退すること
もある。縮退すると一般に遅延計算精度は落ちるが、遅
延計算精度が落ちないような計算方法もある。図9はそ
れを示したものである。例えば、縮退前の出力端子Gou
tにおける信号波形と配線を通過して入力端子Einにた
どり着いたときの信号波形との関係が縮退後もその関係
と同じになるように配線RCネットワークを縮退する方
法である。これをレスポンス等価な縮退と呼ぶ。この操
作を行えば、遅延計算精度を損なわずに縮退が可能とな
る。
FIG. 9 shows the response equivalent degeneration of the wired RC network of FIG. The finer the wiring RC network is, the better the analysis accuracy of the behavior due to the wiring is, but there is a disadvantage that the amount of data becomes enormous. Therefore, the original interconnect RC network may be degenerated. Although the delay calculation accuracy generally decreases when the data is degenerated, there is a calculation method in which the delay calculation accuracy does not decrease. FIG. 9 illustrates this. For example, the output terminal Gou before degeneration
This is a method of reducing the wiring RC network so that the relationship between the signal waveform at t and the signal waveform when the signal passes through the wiring and arrives at the input terminal Ein becomes the same even after the reduction. This is called response equivalent degeneration. By performing this operation, degeneration can be performed without impairing the delay calculation accuracy.

【0029】次に、未設計機能ブロックである回路Gに
関してその出力端子Goutの駆動能力を定義するため
に、出力端子の駆動能力の選択ステップ3を実行する。
図10は、回路Gの入出力部の構成を概念的に表してい
る。図10において、10は入力段の論理セルであり、
11は最終段の論理セルである。出力端子Goutの駆動
能力とは、該出力端子Goutに接続されている最終段セ
ル11のトランジスタの能力のことである。このトラン
ジスタの能力は、ドレイン・ソース間のIV特性によっ
て求めることができる。
Next, in order to define the driving capability of the output terminal Gout with respect to the circuit G which is an undesigned functional block, a driving capability selection step 3 of the output terminal is executed.
FIG. 10 conceptually shows the configuration of the input / output unit of the circuit G. In FIG. 10, reference numeral 10 denotes a logic cell at the input stage.
Numeral 11 denotes the last logic cell. The driving capability of the output terminal Gout refers to the capability of the transistor of the last-stage cell 11 connected to the output terminal Gout. The performance of this transistor can be obtained from the IV characteristics between the drain and the source.

【0030】図11は、出力端子Goutの駆動能力の選
択肢を表している。一般に、駆動能力は1つのセルライ
ブラリ中で数タイプしか定義されない。そして、そのタ
イプは消費電力を抑えた駆動能力の非常に小さいタイプ
や、多くのセルを駆動するために駆動能力を大きくした
タイプ等がある。図11ではIV特性(縦軸が電流を、
横軸が電圧をそれぞれ示している)が4パターンあり、
電流量が多いものほど駆動能力が高いことを示してい
る。出力端子の駆動能力の選択ステップ3では、最小駆
動能力を選択する。その理由は、駆動能力の高いものの
方が遅延は短くできるが、トランジスタの大きさが大き
くなるためにチップ面積が大きくなるといった欠点があ
るので、最小チップを設計するという観点から最小駆動
能力を選択するのである。図11ではIV特性4がそれ
に当たり、出力端子Goutにその駆動タイプが割り当て
られる。
FIG. 11 shows options for the driving capability of the output terminal Gout. Generally, only a few types of driving capability are defined in one cell library. The types include a type having a very small driving capability with reduced power consumption and a type having a large driving capability for driving many cells. In FIG. 11, the IV characteristic (the vertical axis represents the current,
The horizontal axis shows the voltage respectively) There are four patterns,
The larger the amount of current, the higher the driving capability. In step 3 for selecting the driving capability of the output terminal, the minimum driving capability is selected. The reason is that the higher the driving capability, the shorter the delay can be, but the larger transistor size has the disadvantage of increasing the chip area, so select the minimum driving capability from the viewpoint of designing the smallest chip. You do it. In FIG. 11, the IV characteristic 4 corresponds thereto, and the drive type is assigned to the output terminal Gout.

【0031】そして、遅延計算ステップ4で、ブロック
間配線のネットに対して遅延計算を行う。ここで使われ
る遅延計算アルゴリズムは遅延計算精度の高い高次の計
算アルゴリズム、例えば前述のAWE法である。先の出
力端子の駆動能力の選択ステップ3で求めた駆動能力の
タイプを考慮して、配線の入力波形を定義し、機能ブロ
ック間の配線遅延計算を行う。図6中のネット1は、出
力端子Aoutをもつ回路Aが設計済み機能ブロックなの
で駆動能力は決まっているため遅延計算が可能である。
ネット2は出力端子がGoutなので先ほどのIV特性4
の駆動能力タイプが定義されており、遅延計算できる。
以上のように、出力端子の駆動能力の選択ステップ3を
設けることにより、ブロック間配線全体に対して計算精
度の高い高次の遅延計算アルゴリズムを適用することが
できる。
Then, in a delay calculation step 4, delay calculation is performed on the net of the inter-block wiring. The delay calculation algorithm used here is a higher-order calculation algorithm with high delay calculation accuracy, for example, the above-described AWE method. In consideration of the type of the driving capability obtained in step 3 of selecting the driving capability of the output terminal, the input waveform of the wiring is defined, and the wiring delay between the functional blocks is calculated. In the net 1 in FIG. 6, since the circuit A having the output terminal Aout is a designed functional block, the drive capability is determined, so that the delay calculation can be performed.
Since the output terminal of the net 2 is Gout, the IV characteristic 4
Is defined, and delay calculation can be performed.
As described above, by providing the selection step 3 of the drive capability of the output terminal, it is possible to apply a high-order delay calculation algorithm with high calculation accuracy to the entire inter-block wiring.

【0032】次に、計算された遅延結果が所望の遅延値
の範囲内であるかどうかを調べる。1ネットでも違反が
あれば、次の遅延改善ステップ5を行う。改善を行うた
めの基本的な戦略はブロック間配線を短くすることであ
る。そのために、機能ブロック自身を動かすか、未設計
機能ブロックの入出力端子の端子位置を変更するか、概
略配線経路を短くするか、配線経路中に遅延改善のため
のバッファを挿入するかのいずれかを採用する。いずれ
かを実行した後、概略配線経路が異なるので再度配線R
Cネットワーク抽出を実行し、更に遅延計算を行う。し
たがって、遅延改善ステップ5の中には、ブロック配置
ステップ5aと、外部端子の配置ステップ5bと、概略
配線トポロジーの生成ステップ5cと、遅延改善用バッ
ファの挿入ステップ5dと、配線RCネットワーク抽出
ステップ5eと、遅延計算ステップ5fとがある。
Next, it is checked whether or not the calculated delay result is within a range of a desired delay value. If there is a violation even in one net, the next delay improvement step 5 is performed. The basic strategy for making improvements is to reduce interblock wiring. To do so, either move the function block itself, change the terminal positions of the input / output terminals of the undesigned function block, shorten the general wiring path, or insert a buffer for improving delay in the wiring path. Or adopt. After executing either of them, the wiring R
C network extraction is performed, and delay calculation is further performed. Therefore, the delay improvement step 5 includes a block arrangement step 5a, an external terminal arrangement step 5b, a schematic wiring topology generation step 5c, a delay improvement buffer insertion step 5d, and a wiring RC network extraction step 5e. And a delay calculation step 5f.

【0033】ブロック配置ステップ5aと外部端子の配
置ステップ5bとは、初期フロアプランステップ1中の
ものと同じ機能である。遅延が改善する(例えば、配線
長が短くなる)ように、機能ブロックや外部端子を動か
す。図12は、外部端子の配置ステップ5bにより、図
6中の出力端子Goutの配置位置が変更されたことを意
味している。
The block arranging step 5a and the external terminal arranging step 5b have the same functions as those in the initial floor plan step 1. The functional blocks and external terminals are moved so that the delay is improved (for example, the wiring length is shortened). FIG. 12 means that the arrangement position of the output terminal Gout in FIG. 6 has been changed by the external terminal arrangement step 5b.

【0034】概略配線トポロジーの生成ステップ5c
は、初期フロアプランステップ1中のものと少し異な
る。それは、ステップ5cでは高次の遅延計算アルゴリ
ズムが使えることである。なぜなら、先の出力端子の駆
動能力の選択ステップ3によって配線ネットの入力波形
が定義されたからである。
Generation step 5c of schematic wiring topology
Are slightly different from those in the initial floor plan step 1. That is, in step 5c, a higher-order delay calculation algorithm can be used. This is because the input waveform of the wiring net is defined by the above-described drive capability selection step 3 of the output terminal.

【0035】遅延改善用バッファの挿入ステップ5d
は、長い配線の場合、配線の入力波形が極端に傾くこと
があり、その結果配線遅延が大きくなるといった現象を
改善するために、配線の途中にバッファを挿入して信号
波形の傾きを改善(傾きをたてる)して配線遅延を改善
するステップである。図13は、出力端子Goutと入力
端子Finとの間の配線上に1個のバッファ12を挿入し
た例を表している。
Insertion of delay improvement buffer 5d
In the case of a long wiring, a buffer is inserted in the middle of the wiring to improve the inclination of the signal waveform in order to improve a phenomenon that an input waveform of the wiring may be extremely inclined, and as a result, a wiring delay may be increased. This is a step of improving the wiring delay by making an inclination. FIG. 13 shows an example in which one buffer 12 is inserted on the wiring between the output terminal Gout and the input terminal Fin.

【0036】それらのステップ5a〜5dによる改善
後、配線RCネットワーク抽出ステップ5eと、遅延計
算ステップ5fとを再度行う。
After the improvement by the steps 5a to 5d, the wiring RC network extracting step 5e and the delay calculating step 5f are performed again.

【0037】この段階でも遅延値違反があった場合、目
標値に達しなかったネット中の出力端子の駆動能力を選
択ステップ6で1タイプ上げる。遅延改善ステップ5
と、出力端子の駆動能力の選択ステップ6とは、全ての
ネットが遅延値違反を起こさなくなるまで繰り返され
る。
If there is a delay value violation even at this stage, the drive capability of the output terminal in the net that has not reached the target value is increased by one type in the selection step 6. Delay improvement step 5
And the step 6 for selecting the driving capability of the output terminal are repeated until all nets do not violate the delay value.

【0038】最後に、制約付き論理合成ステップ7を行
う。これは、未設計機能ブロックの論理合成結果が先の
出力端子の駆動能力の選択ステップ3,6で決定した出
力端子の駆動能力タイプになるように、最終段の論理セ
ルを選択するものである。具体的には、論理合成ステッ
プ7aと論理セルの置換ステップ7bとを行う方法と、
入出力端子の初期条件付加ステップ7cと論理合成ステ
ップ7dとを行う方法とがある。前者は、論理合成ステ
ップ7aによって通常の論理合成を行った後、論理セル
の置換ステップ7bで出力端子に接続される最終段の論
理セルのタイプを、先の出力端子の駆動能力の選択ステ
ップ3,6によって決定された出力端子の駆動能力タイ
プと同じタイプに論理を変えないで置換するものであ
る。後者は、出力端子の駆動能力の選択ステップ3,6
によって決定した出力端子の駆動能力タイプが論理合成
ステップ7dで選択されるように初期条件付加ステップ
7cで制約を定義したうえで、論理合成ステップ7dを
実行するものである。ここでいう制約は、例えば入力信
号波形を入力端子に与え、機能ブロック間配線の負荷容
量及び抵抗から算出された負荷容量値を出力端子に与え
ることで実現する。
Finally, a constrained logic synthesis step 7 is performed. This is to select the last stage logic cell so that the logic synthesis result of the undesigned function block is the output terminal drive capability type determined in the previous output terminal drive capability selection steps 3 and 6. . Specifically, a method of performing a logic synthesis step 7a and a logic cell replacement step 7b;
There is a method of performing the initial condition addition step 7c and the logic synthesis step 7d of the input / output terminal. In the former, after normal logic synthesis is performed in the logic synthesis step 7a, the type of the last-stage logic cell connected to the output terminal in the logic cell replacement step 7b is changed to the previous output terminal drive capability selection step 3 , 6 is replaced without changing the logic to the same type as the drive capability type of the output terminal. The latter is the step of selecting the driving capability of the output terminal.
The logic synthesis step 7d is executed after defining the constraints in the initial condition addition step 7c so that the drive capability type of the output terminal determined by the above is selected in the logic synthesis step 7d. The constraint referred to here is realized, for example, by giving an input signal waveform to the input terminal and giving a load capacitance value calculated from the load capacitance and resistance of the wiring between the functional blocks to the output terminal.

【0039】その後、この論理合成結果と機能レベルフ
ロアプランの結果とを基(制約)にレイアウト設計を行
う。
Thereafter, a layout design is performed based on (restraints) the result of the logic synthesis and the result of the function level floor plan.

【0040】以上のように、図1のLSI設計方法によ
れば、機能設計中の機能レベルフロアプラン時に未設計
機能ブロックの出力端子の駆動能力を定義しておくこと
により、機能ブロック間配線の遅延計算に高精度な遅延
計算アルゴリズムを適用できるので、機能ブロック間配
線の遅延計算誤差に起因したタイミングエラーをなく
し、機能設計から論理設計、レイアウト設計へ後戻りの
ない設計が実現でき、設計期間を短縮できる。また、遅
延改善のために、ブロック配置ステップと、外部端子の
配置ステップと、概略配線トポロジーの生成ステップ
と、遅延改善用バッファの挿入ステップとが備えられて
いるので、きめ細かい遅延改善を行うことができる。
As described above, according to the LSI design method of FIG. 1, the drive capability of the output terminal of the undesigned function block is defined at the time of the function level floor plan during the function design, so that the wiring between the function blocks is defined. A highly accurate delay calculation algorithm can be applied to the delay calculation, eliminating timing errors caused by delay calculation errors in wiring between functional blocks, realizing a design that does not return from functional design to logical design and layout design, and shortening the design period. Can be shortened. In addition, since a block arranging step, an external terminal arranging step, a schematic wiring topology generating step, and a delay improving buffer inserting step are provided for delay improvement, fine delay improvement can be performed. it can.

【0041】図14は、本発明に係る回路の機能記述方
法を表している。ここでは、広く一般に使われている機
能記述言語Verilogを用いている。図14では機
能ブロックのモジュール名として「block」が定義
され、その入出力端子として入力端子in1,in2及
び出力端子out1,out2がある。符号13で示さ
れた第2〜第4行が新規な機能記述方法を表している。
第2行の「VDD=3.3」は、電源電圧値が3.3ボ
ルトであることを意味する。第3行の「out1=(I
s1,Vs1)」は出力端子out1の属性として記述され
たトランジスタの駆動能力であり、第4行の「out2
=(Is2,Vs2)」は出力端子out2の属性として記
述されたトランジスタの駆動能力である。
FIG. 14 shows a method for describing the function of a circuit according to the present invention. Here, a widely used function description language Verilog is used. In FIG. 14, "block" is defined as a module name of a functional block, and its input / output terminals include input terminals in1, in2 and output terminals out1, out2. The second to fourth lines indicated by reference numeral 13 represent a new function description method.
“VDD = 3.3” in the second row means that the power supply voltage value is 3.3 volts. "Out1 = (I
s1, Vs1) "is the driving capability of the transistor described as the attribute of the output terminal out1, and" out2 "in the fourth row.
= (Is2, Vs2) "is the driving capability of the transistor described as the attribute of the output terminal out2.

【0042】図15は、「out1=(Is1,Vs1)」
により表現されたトランジスタのIV特性を表してい
る。このIV特性は1点折れ線であり、非飽和領域と飽
和領域との切り替えポイントの電圧がVs1であり、該ポ
イントの電流がIs1である。ただし、これらの電圧電流
値は電源電圧値VDDで正規化されている。なお、IV
特性を任意の折れ線で表してもよい。単に折れ線ポイン
トを増やすだけで実現可能である。
FIG. 15 shows "out1 = (Is1, Vs1)".
Represents the IV characteristics of the transistor represented by. This IV characteristic is a one-point broken line, and the voltage at the switching point between the unsaturated region and the saturated region is Vs1, and the current at this point is Is1. However, these voltage / current values are normalized by the power supply voltage value VDD. In addition, IV
The characteristic may be represented by an arbitrary line. This can be realized simply by increasing the number of polygonal points.

【0043】図14の方法によれば、機能記述の中に出
力端子の属性として駆動能力が記述されているので、機
能設計、特に機能レベルフロアプランを行う際、この属
性を用いて機能ブロック間配線の遅延計算で高次のアル
ゴリズムが使えるようになり、機能ブロック間配線の遅
延計算誤差に起因したタイミングエラーをなくし、機能
設計から論理設計、レイアウト設計へ後戻りのない設計
が実現でき、設計期間を短縮することができる。
According to the method shown in FIG. 14, the driving capability is described as an attribute of the output terminal in the function description. Higher order algorithms can be used in wiring delay calculation, eliminating timing errors caused by error calculation errors in wiring between functional blocks, realizing a design that does not return from functional design to logic design and layout design, and the design period Can be shortened.

【0044】[0044]

【発明の効果】以上のように本発明によれば、機能設計
の段階から未設計機能ブロックの出力端子にトランジス
タの駆動能力を決定しておくことにより、より精度の高
い高次の遅延計算アルゴリズムが機能ブロック間配線の
遅延計算に適用でき、精度の高い配線遅延の見積もりが
可能となる。その結果、機能ブロック間配線の遅延計算
誤差に起因したタイミングエラーをなくし、設計の手戻
りをなくすことができるという多大の効果が得られる。
As described above, according to the present invention, a higher-precision higher-order delay calculation algorithm can be obtained by determining the transistor driving capability at the output terminal of an undesigned functional block from the stage of functional design. Can be applied to the delay calculation of the wiring between the functional blocks, and the wiring delay can be estimated with high accuracy. As a result, it is possible to obtain a great effect that a timing error caused by a delay calculation error of the wiring between the functional blocks can be eliminated, and design rework can be eliminated.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係るLSI設計方法を表すフロー図で
ある。
FIG. 1 is a flowchart showing an LSI design method according to the present invention.

【図2】ある半導体LSIチップ中の回路の階層構造を
表す概念図である。
FIG. 2 is a conceptual diagram illustrating a hierarchical structure of a circuit in a certain semiconductor LSI chip.

【図3】図2中の回路A〜Gに係るブロックサイズの見
積もり結果を表す概念図である。
FIG. 3 is a conceptual diagram illustrating a result of estimating a block size for circuits A to G in FIG. 2;

【図4】各機能ブロックの配置結果を表す概念図であ
る。
FIG. 4 is a conceptual diagram illustrating an arrangement result of each functional block.

【図5】外部端子の配置結果を表す概念図である。FIG. 5 is a conceptual diagram illustrating an arrangement result of external terminals.

【図6】機能ブロック間の概略配線トポロジーの生成結
果を表す概念図である。
FIG. 6 is a conceptual diagram illustrating a result of generating a schematic wiring topology between functional blocks.

【図7】図6中の1つのネットの概略配線トポロジーを
表す概念図である。
FIG. 7 is a conceptual diagram illustrating a schematic wiring topology of one net in FIG. 6;

【図8】図7の概略配線トポロジーからRC抽出して構
成された配線RCネットワークを表す回路図である。
8 is a circuit diagram showing a wiring RC network configured by extracting RC from the schematic wiring topology of FIG. 7;

【図9】図8の配線RCネットワークのレスポンス等価
な縮退を表す回路図である。
9 is a circuit diagram showing a response equivalent degeneration of the wiring RC network of FIG. 8;

【図10】未設計回路ブロックである回路Gの入出力部
の構成を表す概念図である。
FIG. 10 is a conceptual diagram illustrating a configuration of an input / output unit of a circuit G which is an undesigned circuit block.

【図11】出力端子Goutに係る駆動能力の選択肢を表
すIV特性図である。
FIG. 11 is an IV characteristic diagram showing options of a driving capability related to an output terminal Gout.

【図12】遅延改善のために図6中の出力端子Goutの
配置位置が変更されたことを表す概念図である。
FIG. 12 is a conceptual diagram showing that an arrangement position of an output terminal Gout in FIG. 6 has been changed to improve delay.

【図13】遅延改善のために出力端子Goutと入力端子
Finとの間の配線上に1個のバッファが挿入されたこと
を表す概念図である。
FIG. 13 is a conceptual diagram showing that one buffer is inserted on a wiring between an output terminal Gout and an input terminal Fin for delay improvement.

【図14】本発明に係る回路の機能記述方法を表す図で
ある。
FIG. 14 is a diagram showing a circuit function description method according to the present invention.

【図15】図14中に定義された1つの出力端子の属性
を表すIV特性図である。
FIG. 15 is an IV characteristic diagram showing attributes of one output terminal defined in FIG.

【図16】低次の遅延計算アルゴリズム(上段)と高次
の遅延計算アルゴリズム(下段)との違いに起因して配
線遅延計算の結果に差が生じることを表す図である。
FIG. 16 is a diagram illustrating that a difference occurs in a result of wiring delay calculation due to a difference between a low-order delay calculation algorithm (upper part) and a higher-order delay calculation algorithm (lower part).

【符号の説明】[Explanation of symbols]

1 初期フロアプランステップ 1a ブロックサイズの見積もりステップ 1b ブロック配置ステップ 1c 外部端子の配置ステップ 1d 概略配線トポロジーの生成ステップ 2 配線RCネットワークの抽出ステップ 3 出力端子の駆動能力の選択ステップ 4 遅延計算ステップ 5 遅延改善ステップ 5a ブロック配置ステップ 5b 外部端子の配置ステップ 5c 概略配線トポロジーの生成ステップ 5d 遅延改善用バッファの挿入ステップ 5e 配線RCネットワークの抽出ステップ 5f 遅延計算ステップ 6 出力端子の駆動能力の選択ステップ 7 制約付き論理合成ステップ 7a 論理合成ステップ 7b 論理セルの置換ステップ 7c 入出力端子の初期条件付加ステップ 7d 論理合成ステップ 1 Initial Floor Plan Step 1a Block Size Estimation Step 1b Block Placement Step 1c External Terminal Placement Step 1d Schematic Routing Topology Generation Step 2 Wiring RC Network Extraction Step 3 Output Terminal Driving Capability Selection Step 4 Delay Calculation Step 5 Delay Improvement step 5a Block placement step 5b External terminal placement step 5c Schematic wiring topology generation step 5d Delay improvement buffer insertion step 5e Wiring RC network extraction step 5f Delay calculation step 6 Output terminal drive capability selection step 7 Constrained Logic synthesis step 7a Logic synthesis step 7b Logic cell replacement step 7c Input / output terminal initial condition addition step 7d Logic synthesis step

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 半導体集積回路の設計工程の中の機能設
計で採用されるLSIの設計方法において、 機能記述情報を元に機能別に構成された機能ブロックの
出力端子の属性としてトランジスタの駆動能力を定義す
るステップを備えたことを特徴とするLSI設計方法。
In an LSI design method employed in a function design in a semiconductor integrated circuit design process, a transistor drive capability is set as an attribute of an output terminal of a function block configured for each function based on function description information. An LSI design method comprising a step of defining.
【請求項2】 半導体集積回路の設計を機能設計、論理
設計、レイアウト設計の順にトップダウンに行う一連の
手順において、 機能設計時に得られた機能記述情報を元に機能別に設計
済み機能ブロックと未設計の機能ブロックとに分けて最
終レイアウトイメージを生成する初期フロアプランステ
ップと、 機能ブロック間の概略配線トポロジーから配線RCネッ
トワークを抽出する配線RCネットワーク抽出ステップ
と、 前記初期フロアプランステップで配置された機能ブロッ
クのうち、前記未設計である機能ブロックの出力端子の
駆動能力を選択する出力端子の駆動能力の選択ステップ
と、 少なくとも前記設計済み機能ブロックの入出力端子に接
続されているトランジスタの情報と、前記未設計の機能
ブロックについて前記出力端子の駆動能力の選択ステッ
プで得られた出力端子の駆動能力と、前記概略配線トポ
ロジーの情報とを使って機能ブロック間の配線ネットの
配線遅延時間を算出する遅延計算ステップと、 前記遅延計算ステップで得られた遅延時間が所望の遅延
時間内に入るように改善する遅延改善ステップと、改善
されなかったものや余裕があるものについて再度出力端
子の駆動能力を選択する出力端子の駆動能力の選択ステ
ップとを、全ての配線ネットが所望の遅延時間内に収ま
るまで繰り返し実行するステップと、 全ての配線ネットが所望の遅延時間内に収まったら、前
記初期フロアプランステップと、前記遅延改善ステップ
と、前記出力端子の駆動能力の選択ステップとで得られ
た情報を制約として論理合成を行う制約付き論理合成ス
テップとを備えたことを特徴とするLSI設計方法。
2. A series of steps for designing a semiconductor integrated circuit from top to bottom in the order of function design, logic design, and layout design, wherein a designed function block and an undesignated function block are classified by function based on function description information obtained at the time of function design. An initial floor plan step of generating a final layout image separately from the functional blocks of the design; a wiring RC network extracting step of extracting a wiring RC network from a schematic wiring topology between the functional blocks; Selecting a drive capability of an output terminal for selecting a drive capability of an output terminal of the undesigned functional block among the functional blocks; and at least information of a transistor connected to an input / output terminal of the designed functional block. Driving the output terminal for the undesigned functional block A delay calculation step of calculating a wiring delay time of a wiring net between functional blocks using the drive capability of the output terminal obtained in the force selection step and the information of the schematic wiring topology, and a delay calculation step. A delay improvement step for improving the delay time to be within the desired delay time, and a driving ability selection step for the output terminal for again selecting the output terminal drive ability for those that have not been improved or have room. Repeatedly executing until all wiring nets fall within a desired delay time; and when all wiring nets fall within a desired delay time, the initial floor plan step, the delay improvement step, and the output terminal. And a constrained logic synthesis step of performing logic synthesis with the information obtained in the selection step of LSI design method to be.
【請求項3】 請求項2記載のLSI設計方法におい
て、 前記初期フロアプランステップは、 機能ブロックサイズを見積もるブロックサイズの見積も
りステップと、 機能ブロックを配置するブロック配置ステップと、 未設計の機能ブロックの入出力端子の位置を求める外部
端子の配置ステップと、 機能ブロック間の配線経路を求める概略配線トポロジー
の生成ステップとを備えたことを特徴とするLSI設計
方法。
3. The LSI design method according to claim 2, wherein the initial floor plan step includes: a step of estimating a block size for estimating a functional block size; a step of arranging a functional block; and a step of arranging a functional block. An LSI design method, comprising: a step of arranging external terminals for obtaining a position of an input / output terminal; and a step of generating a schematic wiring topology for obtaining a wiring path between functional blocks.
【請求項4】 請求項2記載のLSI設計方法におい
て、 前記遅延改善ステップは、 機能ブロックを配置するブロック配置ステップと、 未設計の機能ブロックの入出力端子の位置を求める外部
端子の配置ステップと、 機能ブロック間の配線経路を求める概略配線トポロジー
の生成ステップと、 ブロック間の配線経路中にバッファを挿入する遅延改善
用バッファの挿入ステップとのうちのいずれかと、 前記配線RCネットワーク抽出ステップ及び前記遅延計
算ステップと同様のステップとを備えたことを特徴とす
るLSI設計方法。
4. The LSI design method according to claim 2, wherein the delay improving step includes: a block arranging step for arranging a functional block; and an external terminal arranging step for finding a position of an input / output terminal of an undesigned functional block. One of: a step of generating a schematic wiring topology for obtaining a wiring path between functional blocks; and a step of inserting a buffer for delay improvement for inserting a buffer in the wiring path between blocks; An LSI design method comprising the same steps as a delay calculation step.
【請求項5】 請求項2記載のLSI設計方法におい
て、 前記制約付き論理合成ステップは、 タイミング制約や消費電力最小化制約等をもって論理合
成を行う論理合成ステップと、 合成された論理回路の最終段にある論理セルの出力端子
の駆動能力を変えるために論理は変えないで他の論理セ
ルに置き換える論理セル置換ステップとを備えたことを
特徴とするLSI設計方法。
5. The LSI design method according to claim 2, wherein the constrained logic synthesis step includes a logic synthesis step of performing logic synthesis with a timing constraint, a power consumption minimization constraint, and the like, and a final stage of the synthesized logic circuit. A logic cell replacement step of replacing the logic cell with another logic cell without changing the logic in order to change the driving capability of the output terminal of the logic cell.
【請求項6】 請求項2記載のLSI設計方法におい
て、 前記制約付き論理合成ステップは、 対象の機能ブロックの入力端子には入力信号波形を、出
力端子には負荷容量を初期の制約条件として与える入出
力端子の初期条件付加ステップと、 タイミング制約や消費電力最小化制約等をもって論理合
成を行う論理合成ステップとを備えたことを特徴とする
LSI設計方法。
6. The LSI design method according to claim 2, wherein in the constrained logic synthesis step, an input signal waveform is given to an input terminal of a target functional block, and a load capacitance is given to an output terminal as an initial constraint condition. An LSI design method comprising: an initial condition adding step of input / output terminals; and a logic synthesis step of performing logic synthesis with a timing constraint, a power consumption minimization constraint, and the like.
【請求項7】 半導体集積回路の設計工程の中の機能設
計で使われる機能記述方法において、 回路の機能を記述している機能ブロックの出力端子の属
性としてトランジスタの駆動能力を記述するステップを
備えたことを特徴とする機能記述方法。
7. A function description method used in a function design in a design process of a semiconductor integrated circuit, comprising a step of describing a drive capability of a transistor as an attribute of an output terminal of a function block describing a function of the circuit. A function description method characterized by the following.
JP10245903A 1998-08-31 1998-08-31 Lsi designing method and function describing method Withdrawn JP2000076315A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10245903A JP2000076315A (en) 1998-08-31 1998-08-31 Lsi designing method and function describing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10245903A JP2000076315A (en) 1998-08-31 1998-08-31 Lsi designing method and function describing method

Publications (1)

Publication Number Publication Date
JP2000076315A true JP2000076315A (en) 2000-03-14

Family

ID=17140547

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10245903A Withdrawn JP2000076315A (en) 1998-08-31 1998-08-31 Lsi designing method and function describing method

Country Status (1)

Country Link
JP (1) JP2000076315A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004501439A (en) * 2000-05-17 2004-01-15 シリコン パースペクティブ コーポレイション System for partitioning, placing and routing integrated circuits

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004501439A (en) * 2000-05-17 2004-01-15 シリコン パースペクティブ コーポレイション System for partitioning, placing and routing integrated circuits
JP4679029B2 (en) * 2000-05-17 2011-04-27 ケイデンス デザイン システムズ インコーポレイテッド System for partitioning, placing and routing integrated circuits

Similar Documents

Publication Publication Date Title
US7992122B1 (en) Method of placing and routing for power optimization and timing closure
US7962876B2 (en) Generation of engineering change order (ECO) constraints for use in selecting ECO repair techniques
CN100423012C (en) Techniqes for making sure of buffer insertion
US7434187B2 (en) Method and apparatus to estimate delay for logic circuit optimization
US10360341B2 (en) Integrated metal layer aware optimization of integrated circuit designs
JP3615191B2 (en) Semiconductor integrated circuit device design method, design device, and design program
US7890905B2 (en) Slew constrained minimum cost buffering
US20090183132A1 (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US11030383B2 (en) Integrated device and method of forming the same
US20110185334A1 (en) Zone-based leakage power optimization
US20020124230A1 (en) Timing optimization for integrated circuit design
US6564361B1 (en) Method and apparatus for timing driven resynthesis
US6543032B1 (en) Method and apparatus for local resynthesis of logic trees with multiple cost functions
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
US9275186B2 (en) Optimization for circuit migration
JP2002280454A (en) Method for designing semiconductor device
US6711720B2 (en) Method of optimizing high performance CMOS integrated circuit designs for power consumption and speed through genetic optimization
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US6557144B1 (en) Netlist resynthesis program based on physical delay calculation
US20030177452A1 (en) Method of optimizing high performance CMOS integrated circuit designs for power consumption and speed using global and greedy optimizations in combination
US10216887B1 (en) Methods, systems, and computer program products for implementing an electronic design with time varying resistors in power gating analysis
TW202303432A (en) Machine-learning-based power/ground (p/g) via removal
JP2000076315A (en) Lsi designing method and function describing method
US10402532B1 (en) Methods, systems, and computer program products for implementing an electronic design with electrical analyses with compensation circuit components
JPH06310601A (en) Layout design method

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20051101