JP2000036491A - Fabrication of semiconductor device - Google Patents

Fabrication of semiconductor device

Info

Publication number
JP2000036491A
JP2000036491A JP11130616A JP13061699A JP2000036491A JP 2000036491 A JP2000036491 A JP 2000036491A JP 11130616 A JP11130616 A JP 11130616A JP 13061699 A JP13061699 A JP 13061699A JP 2000036491 A JP2000036491 A JP 2000036491A
Authority
JP
Japan
Prior art keywords
etching
gas
film
layer
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11130616A
Other languages
Japanese (ja)
Inventor
Kazuo Hashimi
一生 橋見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP11130616A priority Critical patent/JP2000036491A/en
Publication of JP2000036491A publication Critical patent/JP2000036491A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To etch an oxide film without causing any damage on a silicon nitride film by etching an interlayer insulation film with first specified etching gas until a nitride film is exposed partially and then etching the remaining interlayer insulation film with second specified etching gas. SOLUTION: As a first stage, an interlayer insulation film 13 is etched from the surface to the corner P of second coating layer 12b with first etching gas containing at least Cx1Fx1 gas to form the majority on the upper side of contact holes 15, 16. The first etching gas is typically a mixed gas containing C4F8 gas, CO gas, or the like. In second stage etching process, the interlayer insulation film 13a remaining in the contact holes 15, 16 is etched with second etching gas containing at least CHx2Fx2 gas for form the remaining part of storage contact holes 15, 16. The second etching gas is typically a mixed gas containing CHF3 gas, Ar gas, or the like.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、更に具体的には、極めて微細なコンタクト
ホールを自己整合コンタクト(SAC;self aligned c
ontact)技術を用いて実現する工程を有する半導体装置
の製造方法に関する。また、本発明の原理は、近年開発
が進んでいるダマシン配線層の形成等にも応用すること
ができる。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more specifically, to a method for forming an extremely fine contact hole into a self-aligned contact (SAC).
The present invention relates to a method for manufacturing a semiconductor device having a step realized by using an ontact technique. Further, the principle of the present invention can be applied to formation of a damascene wiring layer which has been developed in recent years.

【0002】[0002]

【従来の技術】大規模半導体集積回路(LSI)の素
子、パターン等の微細化に伴って、SAC技術が採用さ
れている。SAC技術は、1つの不純物拡散層を共通の
構成要素とした2つのMOSトランジスタに配線を接続
する場合に、2つのゲート電極表面の絶縁層によって、
配線の接続位置を規制するものである。
2. Description of the Related Art With the miniaturization of elements and patterns of large-scale semiconductor integrated circuits (LSIs), SAC technology has been adopted. In the SAC technique, when wiring is connected to two MOS transistors having one impurity diffusion layer as a common component, an insulating layer on the surface of two gate electrodes is used.
This regulates the connection position of the wiring.

【0003】このように、SACを利用することによっ
て、微細化に伴って狭くなってきているゲート電極の間
にある不純物拡散層と配線とを容易に且つ確実に接続で
きるようになる。ここで、SAC技術を採用した従来の
半導体装置の製造方法を説明する。図1〜図4は、SA
C技術を採用した、従来のDRAMセル(dynamic rand
om access memory cell )を例にとった半導体装置製造
方法である。
As described above, the use of the SAC makes it possible to easily and surely connect the wiring with the impurity diffusion layer between the gate electrodes, which has become narrower with miniaturization. Here, a conventional method for manufacturing a semiconductor device employing the SAC technology will be described. 1 to 4 show SA
Conventional DRAM cell (dynamic rand)
om access memory cell) as an example.

【0004】図1(A)に示すように、シリコン基板1
01の上には、LOCOS(local oxidation of silico
n)よりなる素子分離領域102が形成され、隣接する2
つの素子形成領域Sが素子分離領域102によって囲ま
れている。素子形成領域Sのシリコン基板101の上面
には、ゲート酸化膜103が形成される。ゲート酸化膜
103の上に、多層構造のゲート電極104が2つ形成
される。ゲート電極104は、下から順に、シリコン
層、シリサイド層、酸化膜、反射防止膜等から成ってい
る。DRAMのワード線WLはゲート酸化膜103及び
素子分離領域102の上を通り、そのうち素子形成領域
Sでゲート酸化膜103の上を通る部分がMOSトラン
ジスタのゲート電極104として機能する。
[0004] As shown in FIG.
01, LOCOS (local oxidation of silico
n), an element isolation region 102 of
One element formation region S is surrounded by the element isolation region 102. A gate oxide film 103 is formed on the upper surface of the silicon substrate 101 in the element formation region S. On the gate oxide film 103, two gate electrodes 104 having a multilayer structure are formed. The gate electrode 104 is composed of a silicon layer, a silicide layer, an oxide film, an antireflection film, and the like in order from the bottom. The word line WL of the DRAM passes over the gate oxide film 103 and the element isolation region 102, and a portion of the element formation region S that passes over the gate oxide film 103 functions as the gate electrode 104 of the MOS transistor.

【0005】シリコン基板101の上表面に、ゲート電
極104をマスクに使用して、第一の導電型の不純物を
導入することにより、浅い低濃度の不純物拡散層109
を形成する。酸化物層を全面に形成し、更に、この酸化
物層を垂直方向にエッチングして、酸化物層の一部をゲ
ート電極104の両側に残すことによって、ゲート電極
104の両側面にサイドウォール110を形成する。
By implanting an impurity of the first conductivity type on the upper surface of the silicon substrate 101 using the gate electrode 104 as a mask, a shallow low-concentration impurity diffusion layer 109 is formed.
To form An oxide layer is formed on the entire surface, and this oxide layer is etched in the vertical direction to leave a part of the oxide layer on both sides of the gate electrode 104. To form

【0006】その後、サイドウォール110,ゲート電
極104等をマスクとして使用し、不純物を導入し活性
化して深い高濃度の不純物拡散層111を形成し、この
深い不純物拡散層111と浅い不純物拡散層109によ
って、LDDが形成される。続いて、全面に、SiO2から
なる第1の被覆膜112とSi3N4 からなる第2の被覆層
113を形成し、この上に層間絶縁層114として機能
するSiO2 を形成する。
Thereafter, using the sidewalls 110, the gate electrode 104 and the like as a mask, an impurity is introduced and activated to form a deep high-concentration impurity diffusion layer 111. The deep impurity diffusion layer 111 and the shallow impurity diffusion layer 109 are formed. Thus, an LDD is formed. Subsequently, on the entire surface to form a second coating layer 113 made of the first coating layer 112 and the Si 3 N 4 consisting of SiO 2, to form the SiO 2 which functions as an interlayer insulating layer 114 thereon.

【0007】その後、層間絶縁膜114の上にフォトレ
ジスト115を塗布し、露光・現像して、窓(開口)1
15aを形成する。この窓115aを介して、図1(B)
に示すように、エッチャントとしてC X F Y 系ガスを用
いて層間絶縁膜114を垂直方向にドライエッチング
し、その後に図2(A)に示すように、第2の被覆膜1
13をSF6 系ガスを用いてエッチングし、さらに第1の
被覆膜112をCHF3ガスを用いてエッチングすることに
よりコンタクトホール116,117を形成する。
After that, a photoresist 115 is applied on the interlayer insulating film 114, and is exposed and developed to form a window (opening) 1.
15a is formed. 1 (B) through this window 115a.
As shown in, using the C X F Y-based gas dry etching the interlayer insulating film 114 in the vertical direction as an etchant, as subsequently shown in FIG. 2 (A), a second covering layer 1
13 is etched using an SF 6 -based gas, and the first coating film 112 is etched using a CHF 3 gas to form contact holes 116 and 117.

【0008】その後、図2(B) に示すように、フォトレ
ジスト115を除去し、ストレージ・コンタクトホール
117の内周面に第1の導電膜118、誘電体膜11
9,第2の導電膜120を成膜し、同時に、ビット線コ
ンタクトホール116の内周面に第1の導電膜118、
誘電体膜119,第2の導電膜120を形成する。その
第1の導電膜118は、層間絶縁膜114の最上面から
除去される。
After that, as shown in FIG. 2B, the photoresist 115 is removed, and the first conductive film 118 and the dielectric film 11 are formed on the inner peripheral surface of the storage contact hole 117.
9, a second conductive film 120 is formed, and at the same time, a first conductive film 118 is formed on the inner peripheral surface of the bit line contact hole 116.
A dielectric film 119 and a second conductive film 120 are formed. The first conductive film 118 is removed from the uppermost surface of the interlayer insulating film 114.

【0009】ビット線コンタクトホール116内の第1
の導電膜118は、所定のビット線に接続される。2つ
のストレージ・コンタクトホール117内に於いては、
それぞれ第1の導電膜118,誘電体膜119及び第2
の導電膜120からなるキャパシタC1 , C2 が形成さ
れている。次に、図3(A) に示すように、不純物を含む
ポリシリコンよりなる第2の導電膜120の上にBPS
Gよりなる第2の層間絶縁膜121を形成する。さら
に、ストレージコンタクトホール117の上方位置を通
る配線パターンを有するレジストパターン122を第2
の層間絶縁膜121の上に形成する。
The first in the bit line contact hole 116
Is connected to a predetermined bit line. In the two storage contact holes 117,
The first conductive film 118, the dielectric film 119, and the second
The capacitors C 1 and C 2 made of the conductive film 120 are formed. Next, as shown in FIG. 3A, a BPS is formed on the second conductive film 120 made of polysilicon containing impurities.
A second interlayer insulating film 121 made of G is formed. Further, a second resist pattern 122 having a wiring pattern passing above the storage contact hole 117 is formed.
Is formed on the interlayer insulating film 121 of FIG.

【0010】そして、レジストパターン122から露出
した第2の層間絶縁膜121及び第2の導電膜120を
順にエッチングして除去する。これにより、第1の層間
絶縁膜114の上にはポリシリコンよりなる引出配線1
23a,123bが形成される。次に、引出配線123
a,123bの両側に図3(B) に示すような絶縁性のサ
イドウォール124a、124bを形成する。
Then, the second interlayer insulating film 121 and the second conductive film 120 exposed from the resist pattern 122 are removed by etching in order. As a result, the lead wiring 1 made of polysilicon is formed on the first interlayer insulating film 114.
23a and 123b are formed. Next, the extraction wiring 123
Insulating sidewalls 124a and 124b as shown in FIG. 3B are formed on both sides of a and 123b.

【0011】この後に、図4に示すように、下から順に
チタン(Ti)、窒化チタン(TiN )及びタングステン
(W)よりなる三層構造のビット線125がビット線コ
ンタクトホール116の上に形成される。ビット線12
4は、ビット線コンタクトホール116内の第1の導電
膜118を介して不純物拡散層111に接続される。
Thereafter, as shown in FIG. 4, a bit line 125 having a three-layer structure made of titanium (Ti), titanium nitride (TiN) and tungsten (W) is formed on the bit line contact hole 116 in order from the bottom. Is done. Bit line 12
4 is connected to the impurity diffusion layer 111 via the first conductive film 118 in the bit line contact hole 116.

【0012】[0012]

【発明が解決しようとする課題】このような半導体製造
方法では、図1(B) 、図2(A)に関連して説明したよ
うに、酸化膜113の上に、フォトレジスト115を塗
布し、露光・現像して、窓(開口)115aを形成す
る。この窓を介して、エッチャントとしてC X F Y系ガ
スを用いて層間絶縁膜114を垂直方向にドライエッチ
ングし、その後にSF 6 系ガス(例えば、SF6 +HBr +N2
又はSF6 +O2+N2)を用いて第2の被覆層113ををエ
ッチングし、さらにCHF3ガスを用いて第1の被覆層11
2をエッチングしている。
SUMMARY OF THE INVENTION
The method has been described with reference to FIGS. 1 (B) and 2 (A).
Thus, a photoresist 115 is coated on the oxide film 113.
Cloth, exposure and development to form window (opening) 115a
You. Through this window, C as an etchantXFYSystem
Dry etch the interlayer insulating film 114 in the vertical direction
And then SF 6System gas (for example, SF6+ HBr + NTwo
Or SF6+ OTwo+ NTwo) To remove the second coating layer 113.
And CHFThreeFirst covering layer 11 using gas
2 is being etched.

【0013】この場合、理想的には、エッチングガス
が、第2の被覆層113に損傷を与えずに、層間絶縁膜
114のみを選択的にエッチングすることが好ましい。
即ち、「層間絶縁膜114のエッチング速度」の「第2
の被覆層113のエッチング速度」に対する比が高いこ
とが好ましい。即ち、層間絶縁膜114がシリコン酸化
膜から成り、第2の被覆層113がシリコン窒化膜から
成る場合、「シリコン酸化膜のエッチング速度」の「シ
リコン窒化膜のエッチング速度」に対する比が高いこと
が好ましい。この比、(シリコン酸化膜のエッチング速
度)/(シリコン窒化膜のエッチング速度)を、シリコ
ン酸化膜に対するシリコン窒化膜のエッチング選択比と
もいう。
In this case, ideally, it is preferable that the etching gas selectively etches only the interlayer insulating film 114 without damaging the second coating layer 113.
That is, the “second etching rate” of “interlayer insulating film 114 etching rate”
Of the coating layer 113 is preferably high. That is, when the interlayer insulating film 114 is made of a silicon oxide film and the second coating layer 113 is made of a silicon nitride film, the ratio of “etching speed of silicon oxide film” to “etching speed of silicon nitride film” is high. preferable. This ratio, (etching rate of silicon oxide film) / (etching rate of silicon nitride film), is also called an etching selectivity of the silicon nitride film to the silicon oxide film.

【0014】層間絶縁膜114のエッチング速度の第2
の被覆層113のエッチング速度に対する比が充分に高
くないと、層間絶縁膜(SiO2膜)114のエッチング中
に、第2の被覆層(Si3N4 膜)113のコーナ部1 11
3aが図5に示すように削られ、損傷されることにな
る。このような損傷が大きいと、コンタクトホール11
6,117内でゲート電極104と第1の導電膜118
が短絡する。
Second etching rate of interlayer insulating film 114
If the ratio of the coating layer 113 to the etching rate is not sufficiently high, the corner portion 111 of the second coating layer (Si 3 N 4 film) 113 during the etching of the interlayer insulating film (SiO 2 film) 114
3a will be scraped and damaged as shown in FIG. If such damage is large, the contact hole 11
6, 117, the gate electrode 104 and the first conductive film 118
Short circuit.

【0015】このような半導体製造法においては、シリ
コン酸化膜に対するシリコン窒化膜のエッチング選択比
を増加させるプロセスの開発が必要である。従って、本
発明は、シリコン窒化膜を損傷することなく、シリコン
酸化膜を選択的にエッチングしてコンタクトホールを形
成し得る半導体装置製造方法を提供することを目的とす
る。
In such a semiconductor manufacturing method, it is necessary to develop a process for increasing the etching selectivity of the silicon nitride film to the silicon oxide film. Accordingly, an object of the present invention is to provide a method for manufacturing a semiconductor device capable of forming a contact hole by selectively etching a silicon oxide film without damaging the silicon nitride film.

【0016】[0016]

【課題を解決するための手段】本発明に係る半導体装置
製造方法は、酸化膜からなる層間絶縁膜をエッチングす
る際に、窒化膜が部分的に露出するエッチング工程を有
する半導体装置製造方法であって、少なくともCX1Y1
(X1,Y1は成分数)ガスを有する第1のエッチング
ガス系を用いて、前記窒化膜が部分的に露出するまで、
層間絶縁膜をエッチングする第1のエッチング工程と、
少なくともCHX2Y2(X2,Y2は成分数)ガスを有
する第2のエッチングガス系を用いて、残りの層間絶縁
膜をエッチングする第2のエッチング工程とを含んでい
る。
A method of manufacturing a semiconductor device according to the present invention is a method of manufacturing a semiconductor device having an etching step of partially exposing a nitride film when etching an interlayer insulating film made of an oxide film. And at least C X1 F Y1
(X1, Y1 is the number of components) using a first etching gas system having a gas until the nitride film is partially exposed.
A first etching step of etching the interlayer insulating film;
A second etching step of etching the remaining interlayer insulating film using a second etching gas system having at least a CH X2 F Y2 (X2, Y2 is the number of components) gas.

【0017】そのような半導体装置製造方法において、
窒化膜は配線層を覆っている。それらの配線層は、SA
C技術を用いて形成されるコンタクトホール内に2つ以
上有り、前記コンタクトホールは、前記第1のエッチン
グ工程と第2のエッチング工程とを利用して形成するこ
とができる。また、上述の半導体装置製造方法に於い
て、配線層は、ポリシリコン配線層、ポリサイド配線層
又はメタル配線層であってよい。また、シリコン酸化膜
は、二酸化シリコン、BPSG(boro-phospho silicate
glass) 、PSG(phospho silicate glass)、BSG(b
oro silocate glass) 又はSOG(spin on glass) であ
ってもよい。
In such a semiconductor device manufacturing method,
The nitride film covers the wiring layer. Those wiring layers are SA
There are two or more contact holes in a contact hole formed by using the C technique, and the contact hole can be formed by using the first etching step and the second etching step. In the above-described semiconductor device manufacturing method, the wiring layer may be a polysilicon wiring layer, a polycide wiring layer, or a metal wiring layer. The silicon oxide film is made of silicon dioxide, BPSG (boro-phospho silicate).
glass), PSG (phospho silicate glass), BSG (b
Oro silocate glass) or SOG (spin on glass) may be used.

【0018】さらに、上述の半導体装置製造方法に於い
て、前記第1のエッチングガス系は、C2 6 ガス,C
3 8 ガス及びC4 8 ガスから成る群から選択された
任意の1種のガス又はこれらの任意の2種以上の混合ガ
スであってよい。前記第1のエッチングガス系は、典型
的には、C4 8 、CO、Ar、O2 混合ガス系であ
る。
Further, in the above-described method for manufacturing a semiconductor device, the first etching gas system may include C 2 F 6 gas and C 2 F 6 gas.
It may be any one gas selected from the group consisting of 3 F 8 gas and C 4 F 8 gas or a mixed gas of any two or more thereof. The first etching gas system is typically a C 4 F 8 , CO, Ar, O 2 mixed gas system.

【0019】本発明に係る半導体装置製造方法は、上述
の半導体装置製造方法に於いて、前記第2のエッチング
ガス系は、CHF3 ガス,CH2 2 ガス及びCH3
ガスから成る群から選択された任意の1種のガス又はこ
れらの任意の2種以上の混合ガスであってよい。前記第
2のエッチングガス系は、典型的には、CHF3 、A
r、O2 混合ガス系である。
In the method of manufacturing a semiconductor device according to the present invention, in the method of manufacturing a semiconductor device described above, the second etching gas system may include a CHF 3 gas, a CH 2 F 2 gas and a CH 3 F gas.
It may be any one gas selected from the group consisting of gases or a mixed gas of any two or more thereof. The second etching gas system typically comprises CHF 3 , A
r, O 2 mixed gas system.

【0020】なお、前記窒化膜は、シリコン窒化酸化膜
又はシリコン窒化膜であってよい。本発明に係る半導体
製造方法は、シリコン酸化膜をエッチングしてコンタク
トホールを形成する工程を有する半導体装置製造方法で
あって、該コンタクトホール形成工程は、少なくともC
X1Y1ガスを有する第1のエッチングガス系を用いて、
窒化膜近傍迄の層間絶縁膜をエッチングする第1のエッ
チング工程と、少なくともCHX2Y2ガスを有する第2
のエッチングガス系を用いて、残りの前記層間絶縁膜を
エッチングエッチングする第2のエッチング工程とを含
み、前記第1のエッチング工程によって、前記窒化膜及
び残りの層間絶縁膜の表面に有機フッ化物の薄層が成長
しており、前記第2のエッチング工程では、前記シリコ
ン窒化膜上の前記有機フッ化物の薄層はエッチング阻止
層として機能して該シリコン窒化膜を保護し、該残りの
シリコン酸化膜上の前記有機フッ化物の薄層はエッチン
グ可能であり、こうして該残りの層間絶縁膜を選択的に
エッチングすることを特徴とする。
The nitride film may be a silicon oxynitride film or a silicon nitride film. The method of manufacturing a semiconductor according to the present invention is a method of manufacturing a semiconductor device having a step of forming a contact hole by etching a silicon oxide film.
Using a first etching gas system having X1 F Y1 gas,
A first etching step of etching the interlayer insulating film up to the vicinity of the nitride film, and a second etching step having at least a CH X2 F Y2 gas.
A second etching step of etching and etching the remaining interlayer insulating film using an etching gas system of (1), wherein an organic fluoride is formed on the surface of the nitride film and the remaining interlayer insulating film by the first etching step. In the second etching step, the thin layer of the organic fluoride on the silicon nitride film functions as an etching stop layer to protect the silicon nitride film and remove the remaining silicon nitride film. The thin layer of the organic fluoride on the oxide film can be etched, and thus the remaining interlayer insulating film is selectively etched.

【0021】このような本発明に係る半導体装置製造方
法は、絶縁層,シリコン窒化膜及び絶縁層を有するデュ
アル・ダマシン構造のエッチングに応用出来る。本発明
の特徴の1つは、SACエッチング工程において、シリ
コン窒化膜から成る被覆層のコーナ部の削れを回避する
ために、2段階エッチング工程を採用したことにある。
The method of manufacturing a semiconductor device according to the present invention can be applied to etching of a dual damascene structure having an insulating layer, a silicon nitride film and an insulating layer. One of the features of the present invention is that a two-stage etching process is employed in the SAC etching process in order to avoid the corner portions of the coating layer made of the silicon nitride film from being scraped.

【0022】先ず第1段階として、シリコン酸化膜を、
その表面からシリコン窒化膜の一部が露出するまでの深
さにわたって、少なくともCX1Y1ガスを有する第1の
エッチングガス系を用いてエッチングして、コンタクト
ホールの上側の大部分を形成する。この第1段階のエッ
チングは、シリコン窒化膜をホールの底までエッチング
すると電極側方のコーナー部に損傷・削れが生じてしま
う。
First, as a first step, a silicon oxide film is
Etching is performed using a first etching gas system having at least C X1 F Y1 gas over a depth until a portion of the silicon nitride film is exposed from the surface to form a large portion above the contact hole. In the first-stage etching, if the silicon nitride film is etched to the bottom of the hole, the corner portion on the side of the electrode is damaged or scraped.

【0023】次に、第2段階のエッチング工程として、
コンタクトホール内にエッチングされずに残っている残
りのシリコン酸化膜を、少なくともCHX2Y2ガスを有
する第2のエッチングガス系を用いてエッチングして、
コンタクトホールの残りの部分を形成することによりシ
リコン窒化膜のコーナー部が削れないようにするもので
ある。
Next, as a second etching step,
Etching the remaining silicon oxide film left unetched in the contact hole using a second etching gas system having at least CH X2 F Y2 gas,
The formation of the remaining portion of the contact hole prevents the corner of the silicon nitride film from being cut.

【0024】この2段階エッチング工程は、次の特徴を
有している。第1段階のエッチングでは、シリコン窒化
膜のコーナ部に損傷・削れが発生する前に一旦プラズマ
を停止することである。この段階の最後には、コンタク
トホール内のシリコン窒化膜とシリコン酸化膜の露出面
にポリマーが形成される。第2段階のエッチング工程で
は、第1段階のエッチングで形成されたポリマーの下の
膜種によってエッチングされなかったり、逆にエッチン
グされたりすることである。即ち、ポリマーの下のシリ
コン窒化膜はエッチングされずに残る一方で、ポリマー
の下の残りのシリコン酸化膜とこのシリコン酸化膜の下
のシリコン窒化膜が選択的にエッチングされる。
This two-step etching process has the following features. In the first stage of etching, the plasma is temporarily stopped before the corners of the silicon nitride film are damaged or scraped. At the end of this stage, a polymer is formed on the exposed surfaces of the silicon nitride film and silicon oxide film in the contact holes. In the etching step of the second stage, the film is not etched by the film type under the polymer formed in the etching of the first stage, or is etched in the opposite direction. That is, while the silicon nitride film under the polymer remains without being etched, the remaining silicon oxide film under the polymer and the silicon nitride film under the silicon oxide film are selectively etched.

【0025】このような選択的なエッチング特性は、デ
ュアル・ダマシン構造を形成するためのエッチングにも
応用できる。
Such selective etching characteristics can also be applied to etching for forming a dual damascene structure.

【0026】[0026]

【発明の実施の形態】以下、本発明に係る半導体装置製
造方法の実施形態について、添付の図面を参照しながら
詳細に説明する。なお、図中、同一の要素に対しては、
同じ符号を用いて、重複した説明を省略する。 [第1の実施形態] (半導体装置製造方法)図6〜図10は、DRAMセル
を例にとり、自己整合コンタクト(SAC; Self Align
ed Contact)技術を採用した半導体装置製造方法を各工
程順に示している。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, embodiments of a semiconductor device manufacturing method according to the present invention will be described in detail with reference to the accompanying drawings. In the figure, for the same element,
The same reference numerals are used to omit redundant description. First Embodiment (Semiconductor Device Manufacturing Method) FIGS. 6 to 10 show a self-aligned contact (SAC; Self Align) taking a DRAM cell as an example.
The semiconductor device manufacturing method employing the ed Contact) technology is shown in the order of each process.

【0027】図6(A) に示すように、シリコン基板(半
導体基板)1の上には、選択酸化法によって形成された
フィールド酸化膜から成る素子分離領域2が形成され、
隣接する2つの素子形成領域Sが素子分離領域2によっ
て囲まれている。この素子分離領域2の形成法は、一般
的に知られた公知の方法であってよい。素子形成領域S
のシリコン基板1の上面には、例えば約60ÅのSiO2
らなるゲート酸化膜3が形成される。
As shown in FIG. 6A, an element isolation region 2 composed of a field oxide film formed by a selective oxidation method is formed on a silicon substrate (semiconductor substrate) 1.
Two adjacent element formation regions S are surrounded by the element isolation region 2. The method for forming the element isolation region 2 may be a generally known method. Element formation region S
On the upper surface of the silicon substrate 1, a gate oxide film 3 made of, for example, about 60 ° SiO 2 is formed.

【0028】次に、各素子形成領域Sのゲート酸化膜3
の上にゲート電極4が形成される。このゲート電極4
は、ポリシリコン配線層,ポリサイド配線層又はメタル
配線層の何れであってもよい。本実施形態のゲート電極
4は、図6(B) に示すように、ゲート酸化膜3の上に順
に形成されたシリコン層5、シリサイド層6からなる。
また、シリサイド層6上には酸化膜7と反射防止膜8が
下から順に形成されている。酸化膜7は、ゲート電極4
のキャップ層として機能する。そして、反射防止膜8
は、パターン形成時のフォトリソグラフィー工程におい
て酸化膜7での露光光の反射を防止している。
Next, the gate oxide film 3 in each element formation region S
A gate electrode 4 is formed thereon. This gate electrode 4
May be any of a polysilicon wiring layer, a polycide wiring layer, and a metal wiring layer. As shown in FIG. 6B, the gate electrode 4 of this embodiment includes a silicon layer 5 and a silicide layer 6 formed on the gate oxide film 3 in this order.
An oxide film 7 and an antireflection film 8 are formed on the silicide layer 6 in this order from the bottom. The oxide film 7 serves as the gate electrode 4
Functions as a cap layer. Then, the antireflection film 8
Prevents the exposure light from being reflected by the oxide film 7 in the photolithography process at the time of pattern formation.

【0029】シリコン層5は、例えば、膜厚約500Å
の多結晶シリコンから成り、シリサイド層6は、例え
ば、膜厚約1200Åのタングステン・シリサイド(W
Si),チタン・シリサイド(TiSi)等から成り、酸化膜
7は、例えば、膜厚約800ÅのSiO2膜からなり、反射
防止膜8は、例えば膜厚約350Åの窒化シリコン(Si3
N4) からなっている。
The silicon layer 5 has, for example, a thickness of about 500.degree.
The silicide layer 6 is made of, for example, tungsten silicide (W
The oxide film 7 is, for example, an SiO 2 film having a thickness of about 800 °, and the antireflection film 8 is, for example, a silicon nitride (Si 3) having a thickness of about 350 °.
N 4 ).

【0030】これらの層及び膜は、レジスト・マスク
(図示せず。)を使用するフォト・リゾグラフィー法に
よって、図6(B) に示すように、ストライプ状にパター
ニングされて、ゲート電極4を形成する。ストライプ状
のシリコン層5及びシリサイド層6は、DRAMのワー
ド線WLとして使用される。ワード線WLは、ゲート酸
化膜3及び素子分離領域2の上を通り、そのうちゲート
酸化膜3の上を通る部分は、MOSトランジスタのゲー
ト電極4として機能する。
These layers and films are patterned into stripes by photolithography using a resist mask (not shown) as shown in FIG. Form. The striped silicon layer 5 and the silicide layer 6 are used as word lines WL of the DRAM. The word line WL passes over the gate oxide film 3 and the element isolation region 2, and a portion passing over the gate oxide film 3 functions as the gate electrode 4 of the MOS transistor.

【0031】次に、図6(B) に示すように、シリコン基
板1の上表面に、ゲート電極4及び素子分離領域2をマ
スクとして使用して、第一の導電型の不純物を導入し
て、浅い低濃度の不純物拡散層9をシリコン基板1内に
形成する。この不純物は、シリコン基板1に含まれる不
純物と反対の導電型を有している。続いて、例えば膜厚
1000ÅのSiO2から成る絶縁膜をシリコン基板1の全
面に形成する。更に、RIE(反応性イオン・エッチン
グ)装置を用いてこの絶縁膜を垂直方向にエッチングし
てその一部を残すことによって、ゲート電極4の両側面
にサイドウォール10を形成する。
Next, as shown in FIG. 6B, an impurity of the first conductivity type is introduced into the upper surface of the silicon substrate 1 using the gate electrode 4 and the element isolation region 2 as a mask. Then, a shallow low-concentration impurity diffusion layer 9 is formed in the silicon substrate 1. This impurity has a conductivity type opposite to that of the impurity contained in silicon substrate 1. Subsequently, an insulating film made of, for example, SiO 2 having a thickness of 1000 ° is formed on the entire surface of the silicon substrate 1. Further, this insulating film is vertically etched using an RIE (reactive ion etching) apparatus to leave a part thereof, thereby forming sidewalls 10 on both side surfaces of the gate electrode 4.

【0032】その後、サイドウォール10,ゲート電極
4等をマスクとして使用し、対面する2つのサイドウォ
ール10の間を通して不純物をシリコン基板1内に導入
し、これを活性化して、深い高濃度の不純物拡散層11
をシリコン基板1内に形成する。その深い不純物拡散層
11と浅い不純物拡散層9によって、MOSトランジス
タのLDD(Lightly Doped Drain )構造のソース/ド
レインが形成される。
Thereafter, using the side walls 10, the gate electrode 4 and the like as a mask, impurities are introduced into the silicon substrate 1 through the space between the two side walls 10 facing each other, and are activated to form a deep high-concentration impurity. Diffusion layer 11
Is formed in the silicon substrate 1. The source / drain of the LDD (Lightly Doped Drain) structure of the MOS transistor is formed by the deep impurity diffusion layer 11 and the shallow impurity diffusion layer 9.

【0033】続いて、図6(C) に示すように、全面に、
即ち、露出しているゲート電極4,サイドウォール1
0,素子分離領域2等を覆うように、CVD法を用い
て、例えばSiO2からなる第1の被覆膜12aとSi3N4
ら成る第2の被覆層12bを形成する。更に、第2の被
覆層12bの上に例えばSiO2又はBPSG(borophosph
o-silicate glass)からなる膜厚約20,000Å(2
μm)の層間絶縁層13を形成する。
Subsequently, as shown in FIG.
That is, the exposed gate electrode 4 and sidewall 1
0, a first coating film 12a made of, for example, SiO 2 and a second coating layer 12b made of Si 3 N 4 are formed by a CVD method so as to cover the element isolation region 2 and the like. Furthermore, for example, SiO 2 or BPSG (borophospho) is formed on the second coating layer 12b.
o-silicate glass)
μm) of the interlayer insulating layer 13 is formed.

【0034】その後、図7(A) に示すように、層間絶縁
膜13の上にフォトレジスト14を塗布し、これを露
光、現像して、窓(開口)14aを形成する。フォトレ
ジスト14の窓14aは、これから説明するコンタクト
ホールを介して、キャパシタ(図示せず)が高濃度の不
純物拡散層11に電気的に接続されるように、高濃度不
純物拡散層11の上方に位置決めされるように形成され
る。
Thereafter, as shown in FIG. 7A, a photoresist 14 is applied on the interlayer insulating film 13, and is exposed and developed to form a window (opening) 14a. The window 14a of the photoresist 14 is formed above the high-concentration impurity diffusion layer 11 so that a capacitor (not shown) is electrically connected to the high-concentration impurity diffusion layer 11 through a contact hole to be described below. It is formed to be positioned.

【0035】次に、図7(B) 、図8(A) に示すように、
このフォトレジスト14に形成された窓14aを利用し
て、層間絶縁膜13の表面から垂直方向に下端(裏面)
に達するコンタクトホール15,16をエッチングによ
り形成する。隣接する2つのゲート電極4の対面するサ
イドウォール10の間で位置決めされた高濃度不純物層
11に対して、これから形成するコンタクトホール1
5,16は、これらサイドウォール11に被覆された第
2の被覆層12bによって位置決めされるので、コンタ
クトホール15,16と対応する高濃度不純物層11は
必然的に位置合わせされ、SAC技術と呼ばれている。
また、このコンタクトホール形成のためのエッチング工
程は、「SACエッチング工程」とも呼ばれる。
Next, as shown in FIGS. 7B and 8A,
Utilizing the window 14 a formed in the photoresist 14, the lower end (back surface) is vertically formed from the surface of the interlayer insulating film 13.
Are formed by etching. The contact hole 1 to be formed from the high-concentration impurity layer 11 positioned between the side walls 10 facing the two adjacent gate electrodes 4 is formed.
Since the second and fifth coating layers 5 and 16 are positioned by the second coating layer 12b coated on the side walls 11, the contact holes 15 and 16 and the corresponding high-concentration impurity layers 11 are inevitably aligned with each other. Have been.
The etching step for forming the contact hole is also called “SAC etching step”.

【0036】なお、これらコンタクトホール15,16
は、その機能に応じて、素子分離領域2に隣接するもの
をストレージ・コンタクトホール15と呼び、2つのス
トレージ・コンタクトホール15の間にあるコンタクト
ホールをビット線コンタクトホール16と呼んでいる。
本実施形態の特徴の1つは、SACエッチング工程にお
いて、第2の被覆層12bのコーナ部の削れを回避する
ために、以下に説明する2段階エッチング工程を工夫し
たことにある。この2段階エッチング工程で使用したエ
ッチング装置は、上下2つの電極に対して2種類の高周
波(RF)電源が夫々接続されたは高周波の平行平板エ
ッチャーであり、RF電力の供給により平板間でプラズ
マが発生する。具体的には、その上部電極には27.1
2MHzが接続され、下部電極には800kHzの高周
波電源が接続されている。
The contact holes 15, 16
According to the function, the one adjacent to the element isolation region 2 is called a storage contact hole 15 and the contact hole between the two storage contact holes 15 is called a bit line contact hole 16.
One of the features of the present embodiment is that in the SAC etching step, a two-step etching step described below is devised in order to prevent the corner portion of the second coating layer 12b from being scraped. The etching apparatus used in this two-stage etching process is a high-frequency parallel plate etcher in which two types of high-frequency (RF) power sources are connected to upper and lower two electrodes, respectively. Occurs. Specifically, the upper electrode has 27.1.
2 MHz is connected, and a high frequency power supply of 800 kHz is connected to the lower electrode.

【0037】先ず第1段階として、図7(B)に示すよう
に、層間絶縁膜13をその表面から第2の被覆層12b
のコーナ部Pまでの深さにわたって、少なくともCX1
X1ガスを有する第1のエッチングガス系を用いてエッチ
ングして、コンタクトホール15,16の上側の大部分
を形成する。第1のエッチングガス系は、典型的には、
4 8 ガスと、COガスと、Arガスと、O2 ガスと
を含む混合ガス系(以下、「C4 8 /CO/Ar/O
2 混合ガス系」と表記する。)である。第1段階のエッ
チング工程で使用したC4 8 /CO/Ar/O2 混合
ガス系の各ガスの流量は、一例として、次の通りであ
る。
First, as a first step, as shown in FIG. 7B, an interlayer insulating film 13 is formed on the surface of the second insulating layer 12b from the surface thereof.
At least C X1 F over the depth to the corner P of
Etching is performed using a first etching gas system having X1 gas to form most of the upper portions of the contact holes 15 and 16. The first etching gas system typically comprises
A mixed gas system containing C 4 F 8 gas, CO gas, Ar gas, and O 2 gas (hereinafter referred to as “C 4 F 8 / CO / Ar / O
2 mixed gas system ". ). The flow rates of the respective gases of the C 4 F 8 / CO / Ar / O 2 mixed gas system used in the first stage etching step are as follows, for example.

【0038】C4 8 :10 ml/min. CO:100 ml/min. Ar:400 ml/min. O2 :5 ml/min. 代替的に、第1のエッチングガス系は、C2 6 ガス,
3 8 ガス及びC48 ガスから成る群から選択され
た任意の1種のガス又はこれらの任意の2種以上の混合
ガスであってよい。
C 4 F 8 : 10 ml / min. CO: 100 ml / min. Ar: 400 ml / min. O 2 : 5 ml / min. Alternatively, the first etching gas system is a C 2 F 6 gas,
Any one gas selected from the group consisting of C 3 F 8 gas and C 4 F 8 gas or a mixed gas of any two or more thereof may be used.

【0039】この第1段階のエッチングの特徴は、後で
詳細に説明するように、従来問題(図5参照)となって
いた第2の被覆層12bのコーナ部Pの削れが生じる前
に止めることにある。次に、第2段階のエッチング工程
として、図7(B) 、図8(A) に示すように、コンタクト
ホール15,16内にエッチングされずに残っている残
りの層間絶縁膜13aを、少なくともCHX X ガスを
有する第2のエッチングガス系を用いてエッチングし
て、ストレージ・コンタクトホール15及びビット線コ
ンタクトホール16の残りの部分を形成する。
As will be described in detail later, the feature of the first-stage etching is stopped before the corner portion P of the second coating layer 12b, which has conventionally been a problem (see FIG. 5), is abraded. It is in. Next, as a second stage etching step, as shown in FIGS. 7B and 8A, at least the remaining interlayer insulating film 13a remaining without being etched in the contact holes 15 and 16 is removed. The remaining portions of the storage contact hole 15 and the bit line contact hole 16 are formed by etching using a second etching gas system having CH X F X gas.

【0040】第2のエッチングガス系は、典型的には、
CHF3 ガスと、Arガスと、O2ガスとを含む混合ガ
ス系(以下、同様に、「CHF3 /Ar/O2 混合ガス
系」という。)である。即ち、CHF3 /Ar/O2
合ガス系により、残りの層間絶縁膜13aがエッチング
され、更に、コンタクトホール15,16の底面部分に
対応する第2の被覆層12bの部分とその下方の第1の
被覆層12aが選択的にエッチングされる。こうして、
コンタクトホール15,16は、不純物拡散層11に到
達する。第2段階のエッチング工程で使用したCHF3
/Ar/O2 混合ガス系の各ガスの流量は、一例とし
て、次の通りである。
The second etching gas system typically comprises
It is a mixed gas system containing a CHF 3 gas, an Ar gas, and an O 2 gas (hereinafter, also referred to as “CHF 3 / Ar / O 2 mixed gas system”). That is, the remaining interlayer insulating film 13a is etched by the CHF 3 / Ar / O 2 mixed gas system, and the second covering layer 12b corresponding to the bottom surfaces of the contact holes 15 and 16 and the second covering layer 12b therebelow. One coating layer 12a is selectively etched. Thus,
Contact holes 15 and 16 reach impurity diffusion layer 11. CHF 3 used in the second etching process
The flow rate of each gas of the / Ar / O 2 mixed gas system is as follows as an example.

【0041】CHF3 :50 ml/min. Ar:300 ml/min. O2 :5 ml/min. 代替的に、第2のエッチングガス系は、CHF3 ガス,
CH2 2 ガス及びCH3 Fガスから成る群から選択さ
れた任意の1種のガス又はこれらの任意の2種以上の混
合ガスであってよい。
CHF 3 : 50 ml / min. Ar: 300 ml / min. O 2 : 5 ml / min. Alternatively, the second etching gas system is CHF 3 gas,
Any one gas selected from the group consisting of CH 2 F 2 gas and CH 3 F gas or a mixed gas of any two or more thereof may be used.

【0042】この2段階エッチング工程では、次の利点
・効果があることが判明している。 (1)第1段階のエッチングでは、Si3N4 から成る第2
の被覆層12bはエッチングされず、その結果、第2の
被覆層12bのコーナ部Pは削れない。 (2)第2段階のエッチング工程では、同様に第2の被
覆層12bはエッチングされず、残りの層間絶縁膜13
aのみが選択的にエッチングされる。
It has been found that the two-stage etching process has the following advantages and effects. (1) In the first stage etching, the second etching made of Si 3 N 4
Is not etched, and as a result, the corner portions P of the second coating layer 12b cannot be removed. (2) In the second stage etching step, the second coating layer 12b is not etched similarly, and the remaining interlayer insulating film 13
Only a is selectively etched.

【0043】(3)第2段階のエッチング工程では、第
2の被覆層12bのゲート酸化膜3に接近している部分
が選択的にエッチングされる。 (4)第1段階のエッチングを行わず、第2段階のエッ
チングのみを実施すると、Si3N4 から成る第2の被覆層
12bはエッチングされる。なお、この様な利点・効果
をもたらす2段階エッチング工程の詳細に関しては、後
でまとめて説明する。
(3) In the second stage etching step, a portion of the second coating layer 12b which is close to the gate oxide film 3 is selectively etched. (4) If only the second stage etching is performed without performing the first stage etching, the second coating layer 12b made of Si 3 N 4 is etched. The details of the two-step etching process that provides such advantages and effects will be described later.

【0044】その後、図8(B) に示すように、フォトレ
ジスト14を除去し、CVD法により、例えばポリシリ
コンから成る第1の導電膜(電極)18を成膜する。そ
の後に、第1の導電膜18のうち層間絶縁膜13の最表
面上の部分を研磨して削除する。更に、図9(A) に示す
ように、層間絶縁膜13の表面とコンタクトホール1
5,16内の第1の導電膜17上に例えばSiO2,Si N等
から成る誘電体膜19をCVD法によって形成する。
Thereafter, as shown in FIG. 8B, the photoresist 14 is removed, and a first conductive film (electrode) 18 made of, for example, polysilicon is formed by a CVD method. Thereafter, a portion of the first conductive film 18 on the outermost surface of the interlayer insulating film 13 is polished and removed. Further, as shown in FIG. 9A, the surface of the interlayer insulating film 13 is
A dielectric film 19 made of, for example, SiO 2 , SiN or the like is formed on the first conductive film 17 in each of the layers 5 and 16 by a CVD method.

【0045】更に、CVD法により、例えばポリシリコ
ンから成る第2の導電膜(電極)20を、コンタクトホ
ール15,16内に成長させる。ストレージ・コンタク
トホール15内に於いては、第1の導電膜18、誘電体
膜19及び第2の導電膜20からなるキャパシタCa 、
Cb が形成される。なお、必要に応じて、層間絶縁膜1
3の上に中間配線層を設け、さらにこの中間層の上に別
の層間絶縁膜を成膜することにより、配線層を2層以上
にすることもできる。
Further, a second conductive film (electrode) 20 made of, for example, polysilicon is grown in the contact holes 15 and 16 by the CVD method. In the storage contact hole 15, a capacitor Ca including a first conductive film 18, a dielectric film 19, and a second conductive film 20,
Cb is formed. In addition, if necessary, the interlayer insulating film 1
By providing an intermediate wiring layer on 3 and further forming another interlayer insulating film on this intermediate layer, the number of wiring layers can be two or more.

【0046】次に、不純物を含むポリシリコンよりなる
第2の導電膜20の上にBPSGよりなる第2の層間絶
縁膜21を形成する。さらに、図9(B) に示すように、
ストレージコンタクトホール15の上方位置を通る配線
パターンを有するレジストパターン22を第2の層間絶
縁膜21の上に形成する。そして、レジストパターン2
2から露出した第2の層間絶縁膜21及び第2の導電膜
20を順にエッチングして除去する。これにより、第1
の層間絶縁膜13の上にはポリシリコンよりなる引出配
線23a,23bが形成される。
Next, a second interlayer insulating film 21 made of BPSG is formed on the second conductive film 20 made of polysilicon containing impurities. Further, as shown in FIG.
A resist pattern having a wiring pattern passing above the storage contact hole is formed on the second interlayer insulating film. And resist pattern 2
The second interlayer insulating film 21 and the second conductive film 20 exposed from Step 2 are sequentially etched and removed. Thereby, the first
Lead wires 23a and 23b made of polysilicon are formed on the interlayer insulating film 13.

【0047】次に、引出配線23a,23bの両側に絶
縁性のサイドウォール24a、24bを形成する。この
後に、図10に示すように下から順にチタン(Ti)、窒
化チタン(TiN )及びタングステン(W)よりなる三層
構造のビット線25がビット線コンタクトホール16の
上に形成される。ビット線25は、ビット線コンタクト
ホール16内の第1の導電膜18を介して不純物拡散層
11に接続される。以上のような工程及び必要に応じて
更にその他の工程を経て、DRAMが製造される。 [2段階のエッチング工程]図7(B) 、図8(A) に関連
して説明した2段階エッチングによる利点・効果をもた
らす正確な原因又はメカニズムに関して、現時点では十
分な理論的解析は行われていない。しかし、本発明者
は、その後に行った実験を通して、次のような現象が生
じていることを確認している。
Next, insulating sidewalls 24a and 24b are formed on both sides of the lead wires 23a and 23b. Thereafter, as shown in FIG. 10, a bit line 25 having a three-layer structure made of titanium (Ti), titanium nitride (TiN) and tungsten (W) is formed on the bit line contact hole 16 in order from the bottom. Bit line 25 is connected to impurity diffusion layer 11 via first conductive film 18 in bit line contact hole 16. The DRAM is manufactured through the above steps and, if necessary, further steps. [Two-Step Etching Step] At present, a sufficient theoretical analysis has been performed on the exact cause or mechanism that provides the advantages and effects of the two-step etching described with reference to FIGS. 7B and 8A. Not. However, the inventor has confirmed that the following phenomena have occurred through subsequent experiments.

【0048】図11は、図7(B) の製造段階における半
導体基板に関する第2の被覆層12bのコーナ部Pを中
心とした部分の拡大図を示したものである。 (第1段階のエッチング)先ず、第1段階のエッチング
工程、即ち、C4 8 /CO/Ar/O2 混合ガス系を
エッチングガスとして用いて、酸化シリコンよりなる層
間絶縁膜13をエッチングし、その深さが第2の被覆層
12bのコーナ部Pに達すると、この状態に於いて、露
出したSi3N4 膜から成る第2の被覆層12bの表面及び
残りの層間絶縁膜13aの表面に、有機フッ化物の層1
7が一様に形成され、エッチングガスから第2の被覆層
12bを保護していることが確認されている。なお、こ
の有機フッ化物層17は、数nm程度の非常に薄い膜と
想定されるが、図では誇張して描かれていることに注意
されたい。
FIG. 11 is an enlarged view of a portion around the corner P of the second coating layer 12b of the semiconductor substrate in the manufacturing stage of FIG. 7B. (First-stage etching) First, the first-stage etching process, that is, the interlayer insulating film 13 made of silicon oxide is etched using a C 4 F 8 / CO / Ar / O 2 mixed gas system as an etching gas. When the depth reaches the corner portion P of the second coating layer 12b, in this state, the surface of the second coating layer 12b made of the exposed Si 3 N 4 film and the remaining interlayer insulating film 13a are removed. Organic fluoride layer 1 on the surface
7 are formed uniformly, and it is confirmed that the second coating layer 12b is protected from the etching gas. It should be noted that the organic fluoride layer 17 is assumed to be a very thin film of about several nm, but is drawn in an exaggerated manner in the figure.

【0049】有機フッ化物であることの確認は、第1段
階のエッチングをシミュレートする実験サンプルを作成
し、第1段階のエッチング工程と同じ条件で処理し、そ
の表面をX線光電子分光法(XPS; X-ray photoemission
spectoroscopy)(ESCAelectron spectroscopy fo
r chemical analysisともいう。)を用いて分析したと
ころ、第2の被覆層12bを構成するSi3N4 膜の表面及
び残りの層間絶縁膜13aの表面から、C及びFが検出
されたことによって、行われた。従って、この有機フッ
化物層17は、ポリマーと判断される。
To confirm that the compound is an organic fluoride, an experimental sample simulating the first-stage etching is prepared, treated under the same conditions as in the first-stage etching process, and the surface thereof is subjected to X-ray photoelectron spectroscopy ( XPS; X-ray photoemission
spectoroscopy) (ESCA electron spectroscopy fo
r Also called chemical analysis. The analysis was performed by using C), and C and F were detected from the surface of the Si 3 N 4 film constituting the second coating layer 12b and the surface of the remaining interlayer insulating film 13a. Therefore, the organic fluoride layer 17 is determined to be a polymer.

【0050】次に、第1のエッチング工程において、Si
3N4 膜から成る第2の被覆層12bを覆った有機フッ化
物層17の機能又は働きを確認するため、平板のシリコ
ン基板にSi3N4 膜から成る被覆層を形成したサンプルを
作成し、第1段階のC4 8/CO/Ar/O2 混合ガ
ス系によるエッチングを行ったところ、下地のSi3N4
がエッチングされず、この有機フッ化物層17がエッチ
ングガスからSi3N4 膜を保護していることが確認され
た。
Next, in the first etching step, Si
3 N 4 To confirm the function or action of the organic fluoride layer 17 covering the second coating layer 12b made of film, to create a sample to form a coating layer made of the Si 3 N 4 film on a silicon substrate of a flat plate It was subjected to etching by the first stage C 4 F 8 / CO / Ar / O 2 mixed gas system, Si 3 N 4 film is etched in the base, Si 3 organic fluoride layer 17 from an etching gas it was confirmed that protects the N 4 film.

【0051】しかし、図5のように、有機フッ化物層1
7に覆われているSi3N4 膜のコーナー(肩)部であって
も、プラズマを照射し過ぎると、そのプラズマによって
そのコーナー部が崩れてしまう。従って、図7(B) に関
連して説明した第1段階のC4 8 /CO/Ar/O2
混合ガス系を使用した層間絶縁膜13のエッチング工程
で、この有機フッ化物層17が第2の被覆層12bのコ
ーナ部Pを覆っていても、コンタクトホール15.16
内の層間絶縁膜13を完全に除去すると、コーナー部P
が崩れてしまうことがわかった。
However, as shown in FIG.
Even at the corners (shoulders) of the Si 3 N 4 film covered with 7, if the plasma is irradiated too much, the corners are broken by the plasma. Therefore, the first-stage C 4 F 8 / CO / Ar / O 2 described with reference to FIG.
In the etching process of the interlayer insulating film 13 using the mixed gas system, even if the organic fluoride layer 17 covers the corner portion P of the second covering layer 12b, the contact hole 15.16 is formed.
When the interlayer insulating film 13 in the inside is completely removed, the corner P
Was found to collapse.

【0052】(第2段階のエッチング)次に、第2段階
のCHF3 /Ar/O2 混合ガス系を用いて残りの層間
絶縁膜13aをエッチングする際に、第2の被覆層12
bのコーナ部Pはエッチングされず、残りの層間絶縁膜
13aのみ、選択的にエッチングされることも、次の実
験を通して確認している。
(Second Stage Etching) Next, when the remaining interlayer insulating film 13a is etched using the CHF 3 / Ar / O 2 mixed gas system in the second stage, the second coating layer 12 is etched.
It has been confirmed through the following experiment that the corner portion P of b is not etched and only the remaining interlayer insulating film 13a is selectively etched.

【0053】先ず、平板状のシリコン基板サンプルに対
して、第2の被覆層12bを構成するSi3N4 膜を形成
し、第1段階のC4 8 /CO/Ar/O2 混合ガス系
によるエッチングによって有機フッ化物の膜を形成し、
その後に、第2段階のCHF3/Ar/O2 混合ガス系
を用いたエッチングを行ったところ、有機フッ化物の膜
の下地層であるSi3N4 膜は、エッチングされないことを
確認した。
First, an Si 3 N 4 film constituting the second coating layer 12b is formed on a flat silicon substrate sample, and a C 4 F 8 / CO / Ar / O 2 mixed gas of the first stage is formed. Forming a film of organic fluoride by etching with the system,
After that, etching was performed in a second stage using a CHF 3 / Ar / O 2 mixed gas system. As a result, it was confirmed that the Si 3 N 4 film which was the underlying layer of the organic fluoride film was not etched.

【0054】一方、残りの層間絶縁膜13aを構成する
SiO2膜を形成し、第1段階のC4 8 /CO/Ar/O
2 混合ガス系によるエッチングによって有機フッ化物の
膜を形成した平板状のシリコン基板サンプルを作成し、
第2段階のCHF3 /Ar/O2 混合ガス系を用いたエ
ッチングを行ったところ、有機フッ化物の膜及びその下
地層であるSiO2膜は、エッチングされてしまうことを確
認した。
On the other hand, the remaining interlayer insulating film 13a is formed.
SiOTwoA film is formed and the first stage CFourF 8/ CO / Ar / O
TwoOf organic fluoride by etching with mixed gas system
Create a flat silicon substrate sample with the film formed,
Second stage CHFThree/ Ar / OTwoUsing a mixed gas system
After etching, the film of organic fluoride and under it
SiO as the stratumTwoMake sure the film is etched.
I accepted.

【0055】この実験によって、図8(A) に関連して説
明した第2段階のCHF3 /Ar/O2 混合ガス系を用
いたエッチングでは、第2の被覆層12bのコーナ部P
はエッチングされず、残りの層間絶縁膜13aのみが選
択的にエッチングされることが、確認された。本発明者
は、第2の被覆層12bの上の有機フッ化物層17はエ
ッチングされずに、層間絶縁膜13aの上の有機フッ化
物17のみが選択的にエッチングされるメカニズムに関
して、次のような仮説を立てている。
According to this experiment, in the etching using the CHF 3 / Ar / O 2 mixed gas system of the second stage described with reference to FIG. 8A, the corner P of the second coating layer 12b is formed.
Was not etched, and only the remaining interlayer insulating film 13a was selectively etched. The inventor of the present invention has described the mechanism for selectively etching only the organic fluoride 17 on the interlayer insulating film 13a without etching the organic fluoride layer 17 on the second coating layer 12b as follows. Make a hypothesis.

【0056】先ず、層間絶縁膜13aの表面には炭素C
及びFが存在することは、X線光電子分光法に依る分析
によって確認されている。このとき、CHF3 /Ar/
2混合ガス系に曝された、層間絶縁膜13aの表面上
の炭素Cは、その下地層の層間絶縁膜13中の酸素と反
応して容易に結合しCO又はCO2 となって容易に離脱
して、このためエッチング阻止機能が弱くなり、或いは
失われるものと推定している。
First, carbon C is deposited on the surface of the interlayer insulating film 13a.
And F are confirmed by analysis by X-ray photoelectron spectroscopy. At this time, CHF 3 / Ar /
The carbon C on the surface of the interlayer insulating film 13a exposed to the O 2 mixed gas system reacts easily with oxygen in the interlayer insulating film 13 of the underlying layer to easily form CO or CO 2. It is presumed that they are separated, thereby weakening or losing the etching inhibition function.

【0057】更に、本発明者は、有機フッ化物層17が
形成されていない部分の第2の被覆層12bは、第2段
階のCHF3 /Ar/O2 混合ガス系によってエッチン
グされることを確認している。このとき、第2の被覆層
12bのサイドウォール10に対向している部分は、エ
ッチングガスが異方性であること、被覆層のコーナ部P
を覆っている有機フッ化物層の部分17aがレジスト
(マスク)として機能していることより、ほとんどエッ
チングされない。また、多少の損傷が生じても、第2の
被覆層12bの背後にはサイドウォール10が存在する
ことにより、横方向の凹みが生じたり、更には隣り合う
コンタクトホール15,16を通る配線を短絡するよう
な問題は発生しない。一方、コンタクトホール15,1
6の底の第2の被覆層12bに対してはエッチングガス
が直接的に作用し、この部分、更にその下方の第1の被
覆膜12aをエッチングして、不純物拡散層11に達す
る開口を形成する。 (エッチャントの代替例)第1エッチング工程のエッチ
ャントC4 8 /CO/Ar/O2 混合ガス系の代替例
として、次の混合ガスでもよいことを確認している。
Further, the present inventor has determined that the portion of the second coating layer 12b where the organic fluoride layer 17 is not formed is etched by the second stage CHF 3 / Ar / O 2 mixed gas system. I have confirmed. At this time, the portion of the second coating layer 12b facing the side wall 10 is such that the etching gas is anisotropic and the corner P
Is hardly etched because the portion 17a of the organic fluoride layer covering the layer functions as a resist (mask). Even if some damage occurs, the presence of the side wall 10 behind the second covering layer 12b causes a lateral dent or a wiring passing through the adjacent contact holes 15 and 16. No short-circuiting problem occurs. On the other hand, contact holes 15, 1
The etching gas directly acts on the second coating layer 12b at the bottom of the substrate 6, and this portion and the first coating film 12a thereunder are etched to form an opening reaching the impurity diffusion layer 11. Form. (Alternative Example of Etchant) It has been confirmed that the following mixed gas may be used as an alternative example of the etchant C 4 F 8 / CO / Ar / O 2 mixed gas system in the first etching step.

【0058】CH2 2 ガスと、O2 ガスと、C4 8
ガスと、Arガスとの、混合ガス。その流量は、各々、
10 ml/min.、3 ml/min.、15 m
l/min.、500 ml/min.である。 [その他の実施例]上述した2段階エッチングは、先ず
第1段階エッチングでSi3N4 から成る被覆層及び残りの
酸化物層の表面上に、有機フッ化物を析出せしめ、次
に、第2段階エッチングで被覆層上の有機フッ化物はエ
ッチング阻止膜として機能させ、その他の部分を選択的
にエッチングするしている。この方法は、Si3N4 をエッ
チング阻止膜と使用しているデュアル・ダマシン・エッ
チングに応用可能である。デュアル・ダマシン構造は、
絶縁層,シリコン窒化膜及び絶縁層を有するので、この
エッチング工程に於いて、少なくともCX1Y1ガスを有
する第1のエッチングガス系を用いて、前記シリコン窒
化膜が部分的に露出するまで、前記絶縁層をエッチング
し、少なくともCHX2Y2ガスを有する第2のエッチン
グガス系を用いて、残りの前記絶縁層をエッチングする
ことが出来る。
CH 2 F 2 gas, O 2 gas, C 4 F 8
A mixed gas of a gas and an Ar gas. The flow rates are
10 ml / min. , 3 ml / min. , 15 m
1 / min. , 500 ml / min. It is. [Other Embodiments] In the two-step etching described above, first, an organic fluoride is deposited on the surface of the coating layer made of Si 3 N 4 and the remaining oxide layer in the first step etching, and then the second step etching is performed. In the step etching, the organic fluoride on the coating layer functions as an etching stopper film, and other portions are selectively etched. This method is applicable to dual damascene etching using Si 3 N 4 as an etching stopper. The dual damascene structure is
Since the semiconductor device has an insulating layer, a silicon nitride film and an insulating layer, in this etching step, a first etching gas system having at least C X1 F Y1 gas is used until the silicon nitride film is partially exposed. The insulating layer may be etched, and the remaining insulating layer may be etched using a second etching gas system having at least CH X2 F Y2 gas.

【0059】同様に、酸化膜のエッチング中にボーダレ
スに露出するSi3N4 膜にも応用可能である。即ち、エッ
チャントC4 8 /CO/Ar/O2 混合ガス系を使用
して、Si3N4 膜の表面にポリマーの薄い膜を析出せし
め、このポリマー薄膜のエッチング阻止機能を有効に利
用するエッチング工程全般に応用することが出来る。
Similarly, the present invention can be applied to a Si 3 N 4 film exposed borderlessly during etching of an oxide film. That is, a thin film of a polymer is deposited on the surface of a Si 3 N 4 film using an etchant C 4 F 8 / CO / Ar / O 2 mixed gas system, and the etching inhibition function of the polymer thin film is effectively used. It can be applied to all etching processes.

【0060】[0060]

【発明の効果】本発明によれば、シリコン窒化膜を損傷
することなく、シリコン酸化膜をエッチングしてコンタ
クトホールを形成し得る半導体装置製造方法を提供する
ことが出来る。
According to the present invention, it is possible to provide a method of manufacturing a semiconductor device capable of forming a contact hole by etching a silicon oxide film without damaging a silicon nitride film.

【図面の簡単な説明】[Brief description of the drawings]

【図1】図1(A),(B) は、従来の半導体装置製造方法を
示す断面図(その1)である。
FIGS. 1A and 1B are cross-sectional views (part 1) illustrating a conventional semiconductor device manufacturing method.

【図2】図2(A),(B) は、従来の半導体装置製造方法を
示す断面図(その2)である。
FIGS. 2A and 2B are cross-sectional views (part 2) illustrating a conventional method for manufacturing a semiconductor device.

【図3】図3(A),(B) は、従来の半導体装置製造方法を
示す断面図(その3)である。
FIGS. 3A and 3B are cross-sectional views (part 3) illustrating a conventional method for manufacturing a semiconductor device.

【図4】図4は、従来の半導体装置製造方法を示す断面
図(その4)である。
FIG. 4 is a sectional view (part 4) illustrating the conventional method of manufacturing a semiconductor device;

【図5】図5は、図1に関連し、従来の半導体装置製造
方法における問題点を説明する断面図である。
FIG. 5 is a cross-sectional view related to FIG. 1 and illustrating a problem in a conventional semiconductor device manufacturing method.

【図6】図6(A) 乃至(C) は、本発明の実施形態に係る
半導体装置製造方法を示す断面図(その1)である。
FIGS. 6A to 6C are cross-sectional views (part 1) illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.

【図7】図7(A),(B) は、本発明の実施形態に係る半導
体装置製造方法を示す断面図(その2)である。
FIGS. 7A and 7B are cross-sectional views (part 2) illustrating the method for manufacturing a semiconductor device according to the embodiment of the present invention;

【図8】図8(A),(B) は、本発明の実施形態に係る半導
体装置製造方法を示す断面図(その3)である。
FIGS. 8A and 8B are cross-sectional views (part 3) illustrating the method for manufacturing a semiconductor device according to the embodiment of the present invention;

【図9】図9(A),(B) は、本発明の実施形態に係る半導
体装置製造方法を示す断面図(その4)である。
FIGS. 9A and 9B are cross-sectional views (part 4) illustrating the method for manufacturing a semiconductor device according to the embodiment of the present invention;

【図10】図10は、本発明の実施形態に係る半導体装
置製造方法を示す断面図(その5)である。
FIG. 10 is a sectional view (No. 5) showing the semiconductor device manufacturing method according to the embodiment of the present invention;

【図11】図11は、本発明の実施形態に係る半導体装
置製造方法の、特に図7(B) と図8(A) に関連して説明
する2段階エッチング工程の利点・効果を説明する図で
ある。
FIG. 11 illustrates the advantages and effects of the two-stage etching process of the method for manufacturing a semiconductor device according to the embodiment of the present invention, particularly, with reference to FIGS. 7B and 8A. FIG.

【符号の説明】[Explanation of symbols]

1…シリコン基板、2…素子分離領域、3…ゲート酸化
膜、4…ゲート電極、5…シリコン層、6…シリサイド
層、7…酸化膜、8…反射防止膜、9…低濃度不純物拡
散層、10…サイドウォール、11…高濃度不純物拡散
層、12a…第1の被覆層、12b…第2の被覆層、1
3…層間絶縁膜、13a…残りの層間絶縁膜、14…フ
ォトレジスト、15…ストレージ・コンタクトホール、
16…ビット線コンタクトホール、17…有機フッ化
物、18…第1の導電膜(電極)、19…誘電体膜、2
0…第2の電極(電極)、S…素子形成領域。
DESCRIPTION OF SYMBOLS 1 ... Silicon substrate, 2 ... Element isolation region, 3 ... Gate oxide film, 4 ... Gate electrode, 5 ... Silicon layer, 6 ... Silicide layer, 7 ... Oxide film, 8 ... Antireflection film, 9 ... Low concentration impurity diffusion layer Reference numeral 10: sidewall, 11: high-concentration impurity diffusion layer, 12a: first coating layer, 12b: second coating layer, 1
3 ... interlayer insulating film, 13a ... remaining interlayer insulating film, 14 ... photoresist, 15 ... storage contact hole,
16: bit line contact hole, 17: organic fluoride, 18: first conductive film (electrode), 19: dielectric film, 2
0: second electrode (electrode), S: element formation region.

Claims (13)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板の上方に第1及び第2の電極
を形成する工程と、 前記第1及び第2の電極を覆う窒化膜を形成する工程
と、 前記窒化膜の上に、酸化シリコン又は酸化シリコンを含
む材料よりなる層間絶縁膜を形成する工程と、 少なくともCX1Y1(但し、X1,Y1は成分数)ガス
を有する第1のエッチングガスを用いて、前記シリコン
窒化膜が部分的に露出するまで、前記第1及び第2の電
極の間にある前記層間絶縁膜をエッチングしてホールの
一部を形成する第1のエッチング工程と、 少なくともCHX2Y2(但し、X2,Y2は成分数)ガ
スを有する第2のエッチングガスを用いて、残りの前記
層間絶縁膜をエッチングして前記ホールを深くする第2
のエッチング工程とを含む半導体装置の製造方法。
A step of forming first and second electrodes above a semiconductor substrate; a step of forming a nitride film covering the first and second electrodes; and a step of forming silicon oxide on the nitride film. Or a step of forming an interlayer insulating film made of a material containing silicon oxide; and forming the silicon nitride film partially by using a first etching gas having at least C X1 F Y1 (X1, Y1 is the number of components) gas. A first etching step of etching the interlayer insulating film between the first and second electrodes to form a part of a hole until the first electrode is exposed to at least CH X2 F Y2 (where X 2, Y2 is a second etching gas having a (component number) gas and a second etching gas for etching the remaining interlayer insulating film to deepen the hole.
A method of manufacturing a semiconductor device, comprising:
【請求項2】 前記第2のエッチングガスを用いて前記
ホールの下側にある前記窒化膜をエッチングする工程を
さらに有することを特徴とする請求項1に記載の半導体
装置の製造方法。
2. The method according to claim 1, further comprising the step of etching the nitride film below the hole using the second etching gas.
【請求項3】 前記第1及び前記第2の配線の間の領域
の下方の前記半導体基板には不純物拡散層が形成されて
いることを特徴とする請求項1に記載の半導体装置製造
方法。
3. The method according to claim 1, wherein an impurity diffusion layer is formed in the semiconductor substrate below a region between the first and second wirings.
【請求項4】 前記第1のエッチングガス系は、C2
6 ガス,C3 8 ガス及びC4 8 ガスから成る群から
選択された任意の1種のガス又はこれらの任意の2種以
上の混合ガスであることを特徴とする請求項1に記載の
半導体装置の製造方法。
4. The method according to claim 1, wherein the first etching gas system is C 2 F.
6 gas, according to claim 1, characterized in that the C 3 F 8 gas and C 4 F 8 any one gas, or any combination of two or more gases selected from the group consisting of gas Of manufacturing a semiconductor device.
【請求項5】 前記第1のエッチングガス系は、C4
8 とCOとArとO 2 の混合ガスであることを特徴とす
る請求項4に記載の半導体装置の製造方法。
5. The method of claim 1, wherein the first etching gas system is CFourF
8And CO, Ar and O TwoCharacterized by a mixed gas of
A method for manufacturing a semiconductor device according to claim 4.
【請求項6】 前記第2のエッチングガス系は、CHF
3 ガス,CH2 2ガス及びCH3 Fガスから成る群か
ら選択された任意の1種のガス又はこれらの任意の2種
以上の混合ガスであることを特徴とする請求項1に記載
の半導体装置の製造方法。
6. The second etching gas system comprises CHF.
3 gas, according to claim 1, characterized in that the CH 2 F 2 gas and CH 3 F from the group consisting of gas any selected one gas, or any combination of two or more gas A method for manufacturing a semiconductor device.
【請求項7】 前記第2のエッチングガス系は、CHF
3 とArとO2 の混合ガスであることを特徴とする請求
項6に記載の半導体装置の製造方法。
7. The second etching gas system is CHF.
3 the method of manufacturing the semiconductor device according to claim 6, characterized in that a mixed gas of Ar and O 2.
【請求項8】 前記窒化膜は、シリコン窒化膜又はシリ
コン窒化酸化膜であることを特徴とする請求項1に記載
の半導体装置製造方法。
8. The method according to claim 1, wherein the nitride film is a silicon nitride film or a silicon nitride oxide film.
【請求項9】 前記配線層は、ポリシリコン配線層、ポ
リサイド配線層又はメタル配線層であることを特徴とす
る請求項1に記載の半導体装置の製造方法。
9. The method according to claim 1, wherein the wiring layer is a polysilicon wiring layer, a polycide wiring layer, or a metal wiring layer.
【請求項10】 前記第1及び第2の電極と前記窒化膜
の間にシリコン酸化膜を形成する工程をさらに有するこ
とを特徴とする請求項1に記載の半導体装置の製造方
法。
10. The method according to claim 1, further comprising forming a silicon oxide film between the first and second electrodes and the nitride film.
【請求項11】 前記第1エッチング工程によって、前
記窒化膜及び残りの層間絶縁膜の表面に有機フッ化物の
薄層が成長しており、 前記第2エッチング工程では、前記窒化膜上の前記有機
フッ化物の薄層はエッチング阻止層として機能して前記
窒化膜を保護し、該残りの層間絶縁膜上の前記有機フッ
化物の薄層はエッチング可能であり、こうして該残りの
前記層間絶縁膜を選択的にエッチングすることを特徴と
する請求項1に記載の半導体装置の製造方法。
11. A thin layer of organic fluoride is grown on the surface of the nitride film and the remaining interlayer insulating film by the first etching step, and in the second etching step, the organic fluoride on the nitride film is formed. The thin layer of fluoride functions as an etch stop layer to protect the nitride film, and the thin layer of organic fluoride on the remaining interlayer insulating film can be etched, thus removing the remaining interlayer insulating film. 2. The method for manufacturing a semiconductor device according to claim 1, wherein selective etching is performed.
【請求項12】 前記第1の電極は第1のMOSトラン
ジスタのゲート電極であり、第2の電極は第2のMOS
トランジスタのゲート電極であることを特徴とする請求
項1に記載の半導体装置の製造方法。
12. The first electrode is a gate electrode of a first MOS transistor, and the second electrode is a second MOS transistor.
The method according to claim 1, wherein the method is a gate electrode of a transistor.
【請求項13】 絶縁層,シリコン窒化膜及び絶縁層を
有するディアル・ダマシン構造のエッチングに於いて、 少なくともCX Y ガスを有する第1のエッチングガス
系を用いて、前記シリコン窒化膜が部分的に露出するま
で、前記絶縁層をエッチングする第1のエッチング工程
と、 少なくともCHX Y ガスを有する第2のエッチングガ
ス系を用いて、残りの前記絶縁層をエッチングする第2
のエッチング工程とを含む、半導体装置製造方法。
13. In the etching of a dual damascene structure having an insulating layer, a silicon nitride film and an insulating layer, the silicon nitride film is partially etched using a first etching gas system having at least C X F Y gas. A first etching step of etching the insulating layer until it is completely exposed, and a second etching step of etching the remaining insulating layer using a second etching gas system having at least a CH X F Y gas.
A semiconductor device manufacturing method, comprising:
JP11130616A 1998-05-15 1999-05-11 Fabrication of semiconductor device Pending JP2000036491A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11130616A JP2000036491A (en) 1998-05-15 1999-05-11 Fabrication of semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-133397 1998-05-15
JP13339798 1998-05-15
JP11130616A JP2000036491A (en) 1998-05-15 1999-05-11 Fabrication of semiconductor device

Publications (1)

Publication Number Publication Date
JP2000036491A true JP2000036491A (en) 2000-02-02

Family

ID=26465702

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11130616A Pending JP2000036491A (en) 1998-05-15 1999-05-11 Fabrication of semiconductor device

Country Status (1)

Country Link
JP (1) JP2000036491A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
KR100643567B1 (en) * 2004-06-30 2006-11-10 주식회사 하이닉스반도체 Method for fabricating of Landing Plug Contact
JP2011166120A (en) * 2010-02-12 2011-08-25 Samsung Electronics Co Ltd Thin-film transistor and method for forming the same
US8207560B2 (en) 2006-10-16 2012-06-26 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same
JP2016134428A (en) * 2015-01-16 2016-07-25 東京エレクトロン株式会社 Etching method
CN110808228A (en) * 2018-08-06 2020-02-18 东京毅力科创株式会社 Etching method and method for manufacturing semiconductor device

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7329610B2 (en) 2000-05-12 2008-02-12 Tokyo Electron Limited Method of high selectivity SAC etching
JP4852213B2 (en) * 2000-05-12 2012-01-11 東京エレクトロン株式会社 Method for etching highly selective SAC
JP2003533042A (en) * 2000-05-12 2003-11-05 東京エレクトロン株式会社 Method for etching highly selective SAC
US7030029B2 (en) 2000-05-12 2006-04-18 Tokyo Electron Limited Method of high selectivity SAC etching
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
KR100759602B1 (en) * 2000-05-12 2007-09-17 동경 엘렉트론 주식회사 Method of high selectivity sac etching
WO2001086701A3 (en) * 2000-05-12 2002-09-06 Tokyo Electron Ltd Method of high selectivity sac etching
KR100643567B1 (en) * 2004-06-30 2006-11-10 주식회사 하이닉스반도체 Method for fabricating of Landing Plug Contact
US8207560B2 (en) 2006-10-16 2012-06-26 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same
US8853699B2 (en) 2010-02-12 2014-10-07 Samsung Display Co., Ltd. Thin film transistor and method of forming the same
JP2011166120A (en) * 2010-02-12 2011-08-25 Samsung Electronics Co Ltd Thin-film transistor and method for forming the same
JP2016134428A (en) * 2015-01-16 2016-07-25 東京エレクトロン株式会社 Etching method
CN110808228A (en) * 2018-08-06 2020-02-18 东京毅力科创株式会社 Etching method and method for manufacturing semiconductor device
CN110808228B (en) * 2018-08-06 2023-05-26 东京毅力科创株式会社 Etching method and method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
JP3086747B2 (en) Semiconductor device and manufacturing method thereof
TWI250579B (en) Method for fabricating semiconductor device
KR100389034B1 (en) Method of forming interlayer connection and semiconductor devices formed by using the same
KR100652791B1 (en) Method for fabrication of semiconductor device
TW200910520A (en) Method for forming contact in semiconductor device
JPH11330404A (en) Manufacture of dram cell capacitor
KR20020037684A (en) Method of manufacturing semiconductor device
JP2000036491A (en) Fabrication of semiconductor device
US20060110910A1 (en) Method for forming landing plug poly of semiconductor device
JP3278933B2 (en) Method for manufacturing semiconductor device
US6455410B2 (en) Semiconductor device and method of manufacturing the same
JPH08203872A (en) Formation of contact hole and semiconductor device
KR100429008B1 (en) Method of forming contact hole of semiconductor device
KR101043734B1 (en) Method for fabrication of semiconductor device
KR100582356B1 (en) Method for manufacturing metal-contact in semiconductor device
KR100701425B1 (en) Method for fabrication of semiconductor device
KR100338814B1 (en) Method for manufacturing a semiconductor device
JPH11135628A (en) Manufacture of semiconductor device
KR100612554B1 (en) Capacitor of semiconductor device and method for fabricating the same
JP3076952B2 (en) Semiconductor memory device and method of manufacturing the same
JPH08306878A (en) Method of fabricating semiconductor device
KR100670681B1 (en) Method for manufacturing semiconductor device
KR0166495B1 (en) Storage electrode fabrication method of semiconductor device
KR100532981B1 (en) Etching method of semiconductor device
JP3076951B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20000627