JP2000031491A - Semiconductor device, its manufacture, semiconductor substrate and its manufacture - Google Patents

Semiconductor device, its manufacture, semiconductor substrate and its manufacture

Info

Publication number
JP2000031491A
JP2000031491A JP10197911A JP19791198A JP2000031491A JP 2000031491 A JP2000031491 A JP 2000031491A JP 10197911 A JP10197911 A JP 10197911A JP 19791198 A JP19791198 A JP 19791198A JP 2000031491 A JP2000031491 A JP 2000031491A
Authority
JP
Japan
Prior art keywords
layer
substrate
strain
thickness
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10197911A
Other languages
Japanese (ja)
Inventor
Nobuyuki Sugii
信之 杉井
Kiyokazu Nakagawa
清和 中川
Shinya Yamaguchi
伸也 山口
Masanobu Miyao
正信 宮尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP10197911A priority Critical patent/JP2000031491A/en
Publication of JP2000031491A publication Critical patent/JP2000031491A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To perform acceleration or the like by setting a strain application layer made of a mixed crystal semiconductor layer to the thickness of a specific range, and reducing the thickness of an Si layer between SiGe strain application layer and an SiO2 insulating layer at most to the thickness of the SiGe strain application layer, thereby setting the thickness of the strain channel layer to the critical thickness of Si of a specific value or less on the SiGe. SOLUTION: In the semiconductor device 100, an SiGe strain application layer 102 made of an SiGe (0<=x<=1) and a strain Si channel layer 104 are sequentially laminated and grown on an upper surface of an Si substrate 101, and a structure having an SiO2 insulating layer 103 therein is formed at a surface layer of the substrate 101. The layer 102 of the device 10 is formed in a thickness of about 50 to 200 nm, and the thickness of the Si layer between the layer 102 and the layer 103 is set to the thickness of less of the SiGe strain application layer. Further, the thickness of the layer 104 is set to a power of about (3-2x) times of 10 as a critical thickness nm in which Si is strain grown on the SiGe.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置,半導体
装置の製造方法,半導体基板および半導体基板の製造方
法に関し、特にSiGeヘテロ構造トランジスタを含む半導
体装置の製造技術に適用して有効な技術に関する。
The present invention relates to a semiconductor device, a method of manufacturing a semiconductor device, a semiconductor substrate, and a method of manufacturing a semiconductor substrate, and more particularly to a technology effective when applied to a manufacturing technology of a semiconductor device including a SiGe heterostructure transistor.

【0002】[0002]

【従来の技術】集積回路の高密度化に伴い、Si電界効果
トランジスタの寸法縮小および速度向上が急務となって
いる。一方で通信用の高速低消費電力トランジスタの開
発も強く望まれている。
2. Description of the Related Art With the increase in the density of integrated circuits, there is an urgent need to reduce the size and speed of Si field-effect transistors. On the other hand, development of a high-speed, low-power transistor for communication is strongly desired.

【0003】Siチャネルに歪みを印加させた歪みSi(ヘ
テロ構造)トランジスタは従来のSi電界効果トランジス
タに比べ格段に高速化しうることが示唆されている(M.
V.Fischetti and S.E.Laux:J.Appl.Phys.80(1996)223
4)。
It has been suggested that a strained Si (heterostructure) transistor in which strain is applied to a Si channel can be much faster than a conventional Si field-effect transistor (M.
V. Fischetti and SELaux: J. Appl. Phys. 80 (1996) 223
Four).

【0004】Si基板上に形成するヘテロ構造トランジス
タにおいて、チャネル層に歪を与えるためには、チャネ
ル層の下部にこれと格子定数の異なるバッファ層(歪み
印加層)を設ける必要がある。従来、Si1-xGex混晶(0≦
x≦1)をバッファ層として用いていたが、1ミクロン以
上の厚みのバッファ層を積層する必要があり、転位がバ
ッファ層上部まで貫通する問題や表面荒さの悪化のため
に、チャネル層の輸送特性に悪影響を与えて、所望の電
子輸送特性を有する高移動度トランジスタの実現は困難
であった。
In a heterostructure transistor formed on a Si substrate, a buffer layer (strain applying layer) having a different lattice constant from the channel layer must be provided below the channel layer in order to apply a strain to the channel layer. Conventionally, Si 1-x Ge x mixed crystal (0 ≦
x ≦ 1) was used as the buffer layer, but it was necessary to stack a buffer layer having a thickness of 1 μm or more, and due to the problem of dislocations penetrating to the upper part of the buffer layer and the deterioration of the surface roughness, the transport of the channel layer was The characteristics are adversely affected, and it is difficult to realize a high mobility transistor having desired electron transport characteristics.

【0005】また、SiおよびGeを用いたトランジスタ
(電界効果型トランジスタ)に関しては、エクステンデ
ド アブストラクト オブ 1993 インターナショナル
コンファレンス オン ソリッドステート デバイス
アンド マテリアルズ、マクハリ、1993年、第201 頁
から第203 頁(Extended Abstravts of the 1993 Intern
ational Conference on Solid State Devices and Mate
rials, Makuhari(1993)pp.201-203)に論じられている。
Further, regarding transistors using Si and Ge (field effect transistors), Extended Abstract of 1993 International Conference on Solid State Devices and Materials, McHari, 1993, pp. 201-203 (Extended Abstravts) of the 1993 Intern
ational Conference on Solid State Devices and Mate
rials, Makuhari (1993) pp. 201-203).

【0006】この文献に記載されている電界効果型トラ
ンジスタ(高移動度トランジスタ)は、Si基板上にSiGe
バッファ層を有し、このSiGeバッファ層上にSiチャネル
層とSiGe層を有する構造になっている。また、前記SiGe
層の中段にはSbをドープした単一原子層からなるデルタ
ドープ層(電子供給層)が設けられている。
[0006] The field effect transistor (high mobility transistor) described in this document is composed of SiGe on a Si substrate.
It has a buffer layer, and has a structure having a Si channel layer and a SiGe layer on the SiGe buffer layer. In addition, the SiGe
In the middle of the layer, a delta-doped layer (electron supply layer) composed of a single atomic layer doped with Sb is provided.

【0007】一方、高速動作を考えて絶縁板上にシリコ
ン層を設けたSOI 基板を用いることが検討されている。
SOI 基板には張り合わせ基板等のいくつかの方法が提案
されているが、Si基板に酸素イオンを注入した後にアニ
ールして酸化物層を形成するSIMOX 法が有望視されてい
る。SIMOX 基板を用いることは、従来のSi電界効果トラ
ンジスタのみならず歪みSiトランジスタの作製において
も大きな利点をもたらす。
On the other hand, use of an SOI substrate having a silicon layer provided on an insulating plate has been studied in consideration of high-speed operation.
Several methods, such as a bonded substrate, have been proposed for SOI substrates, but the SIMOX method, in which oxygen ions are implanted into a Si substrate and then annealed to form an oxide layer, holds promise. The use of a SIMOX substrate offers significant advantages in the fabrication of strained Si transistors as well as conventional Si field effect transistors.

【0008】すなわち、SIMOX基板上にSiGe歪み印加層
を形成すると、基板内のSiO2とその上部のSi層に転位が
多数発生するためにSiGe層の転位密度を軽減させること
が可能になる。しかしながら転位密度の低減のためには
SiGe層の厚みは最低でも500nm以上必要であり、膜表面
の平坦性や生産性のために望ましくない。
That is, when a SiGe strain applying layer is formed on a SIMOX substrate, a large number of dislocations are generated in SiO 2 in the substrate and the Si layer thereon, so that the dislocation density of the SiGe layer can be reduced. However, in order to reduce dislocation density,
The thickness of the SiGe layer needs to be at least 500 nm or more, which is not desirable because of the flatness of the film surface and productivity.

【0009】このように、従来技術では、高速なSiGeヘ
テロ構造高移動度トランジスタ(HEMT)の実現に必要な高
品質な歪印加層の形成が困難であった。なお、SIMOX基
板を用いて電界効果型トランジスタや高移動度トランジ
スタを形成した例については、D.K.Nayak,J.S.Park,J.
C.S.Woo,K.L.Wang,G.K.Yabiku,and K.P.MacWilliams In
ternational Electron Devices Meeting(IEDM)に記載さ
れている。
As described above, in the prior art, it was difficult to form a high-quality strain applying layer necessary for realizing a high-speed SiGe heterostructure high mobility transistor (HEMT). For examples of forming field-effect transistors and high-mobility transistors using a SIMOX substrate, see DKNayak, JSPark, J.
CSWoo, KLWang, GKYabiku, and KPMacWilliams In
It is described in the ternational Electron Devices Meeting (IEDM).

【0010】[0010]

【発明が解決しようとする課題】上記従来技術では、バ
ッファ層の貫通転位、表面性の悪化、ないしはバッファ
層の生産性悪化といった問題があり、これが高速なSiGe
ヘテロ構造高移動度トランジスタの実現を阻んでいた。
In the above-mentioned prior art, there are problems such as threading dislocations in the buffer layer, deterioration in surface properties, and deterioration in productivity of the buffer layer.
This has hindered the realization of heterostructure high mobility transistors.

【0011】本発明の目的は、結晶性良好なヘテロ構造
を実現することによって、高速化,高性能化,高集積化
が達成できるヘテロ構造トランジスタを有する半導体装
置および生産性に優れた半導体装置の製造方法を提供す
ることにある。
SUMMARY OF THE INVENTION It is an object of the present invention to provide a semiconductor device having a heterostructure transistor capable of achieving high speed, high performance, and high integration by realizing a heterostructure having good crystallinity, and a semiconductor device excellent in productivity. It is to provide a manufacturing method.

【0012】本発明の他の目的は、結晶性良好なヘテロ
構造のSi基板(半導体基板)を提供することにある。本
発明の前記ならびにそのほかの目的と新規な特徴は、本
明細書の記述および添付図面からあきらかになるであろ
う。
Another object of the present invention is to provide a Si substrate (semiconductor substrate) having a heterostructure with good crystallinity. The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0013】[0013]

【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば、下
記のとおりである。 (1)Si基板に形成され、前記Si基板の主面の内側に形
成されるSiO2絶縁層と、前記Si基板の主面上に設けられ
る混晶半導体層からなる歪み印加層と、前記歪み印加層
上に設けられるSi層からなる歪みチャネル層と、前記歪
みチャネル層に設けられソース領域またはドレイン領域
を構成する一対の拡散領域と、前記一対の拡散領域間の
歪みチャネル層上にゲート絶縁膜を介して設けられるゲ
ート電極とによって構成される電界効果型トランジスタ
を有する半導体装置であって、前記歪み印加層はSi1-xG
ex(0≦x≦1)からなり、前記歪み印加層は50〜200nm程
度の厚さになり、前記Si1-xGex歪み印加層と前記SiO2
縁層との間のSi層の厚さは前記Si1-xGex歪み印加層以下
の厚さになり、前記歪みチャネル層の厚さはSi1-xGex
にSiが歪み成長する臨界厚さである、10の(3-2x)乗nm程
度以下になっている。
The following is a brief description of an outline of typical inventions disclosed in the present application. (1) An SiO 2 insulating layer formed on the Si substrate and formed inside the main surface of the Si substrate, a strain applying layer made of a mixed crystal semiconductor layer provided on the main surface of the Si substrate, and A strain channel layer made of a Si layer provided on the application layer, a pair of diffusion regions forming a source region or a drain region provided in the strain channel layer, and gate insulation on the strain channel layer between the pair of diffusion regions. A semiconductor device having a field-effect transistor constituted by a gate electrode provided through a film, wherein the strain applying layer is made of Si 1-x G
e x (0 ≦ x ≦ 1), the strain applying layer has a thickness of about 50 to 200 nm, and a Si layer between the Si 1-x Ge x strain applying layer and the SiO 2 insulating layer. The thickness is equal to or less than the Si 1-x Ge x strain applying layer, and the thickness of the strain channel layer is a critical thickness at which Si grows on Si 1-x Ge x in a strain growth, 10 ( 3-2x).

【0014】このような電界効果型トランジスタは以下
の製造方法によって製造される。Si基板に形成され、前
記Si基板に設けたソース領域とドレイン領域との間にSi
層からなる歪みチャネル層を有しかつ前記ソース領域と
ドレイン領域との間の歪みチャネル層上にゲート絶縁膜
を介してゲート電極を設けて構成される電界効果型トラ
ンジスタを有する半導体装置の製造方法であって、前記
Si基板の主面に混晶半導体層からなる歪み印加層を形成
する工程と、前記歪み印加層の表面から酸素イオンを注
入するとともにアニールして前記Si基板内にSiO2絶縁層
を形成する工程と、前記歪み印加層上に前記歪みチャネ
ル層を形成する工程と、前記Si基板の主面側に素子分離
絶縁領域を形成して素子形成領域を形成する工程と、前
記素子形成領域内に前記ゲート電極およびソース領域や
ドレイン領域を構成する拡散領域を形成する工程とを有
する。前記酸素イオンの注入およびアニールの処理条件
を選択し、前記歪み印加層と前記SiO2絶縁層との間に前
記Si基板の表層部分が残留するように形成する。前記歪
み印加層は50〜200 nmの厚さに形成し、前記歪みチャネ
ル層の厚さは10の(3-2x)乗nm程度以下に形成する。
Such a field effect transistor is manufactured by the following manufacturing method. Si formed between a source region and a drain region provided on the Si substrate and formed on the Si substrate.
For manufacturing a semiconductor device having a field effect transistor having a strained channel layer composed of layers and a gate electrode provided on a strained channel layer between the source region and the drain region via a gate insulating film And said
Forming a strain applying layer made of a mixed crystal semiconductor layer on the main surface of the Si substrate, and implanting oxygen ions from the surface of the strain applying layer and annealing to form a SiO 2 insulating layer in the Si substrate Forming the strain channel layer on the strain applying layer; forming an element isolation insulating region on the main surface side of the Si substrate to form an element formation region; and forming the element formation region in the element formation region. Forming a gate electrode and a diffusion region forming a source region and a drain region. The conditions for the oxygen ion implantation and annealing are selected so that the surface layer of the Si substrate remains between the strain applying layer and the SiO 2 insulating layer. The strain applying layer is formed to have a thickness of 50 to 200 nm, and the thickness of the strain channel layer is formed to be about 10 (3−2 ×) nm or less.

【0015】(2)前記手段(1)の構成において、前
記歪み印加層の下面に前記SiO2絶縁層の上面が接する構
造になっている。即ち、Si基板に形成され、前記Si基板
の主面上に設けられる厚さ50〜200 nmの混晶半導体層
〔Si1-xGex層(0≦x≦1)〕からなる歪み印加層と、前記
歪み印加層の下面に上面が接しかつ前記歪み印加層に沿
って延在するように前記Si基板内に設けられるSiO2絶縁
層と、前記歪み印加層上に設けられる厚さが10の(3-2x)
乗nm程度以下のSi層からなる歪みチャネル層と、前記歪
みチャネル層に設けられソース領域またはドレイン領域
を構成する一対の拡散領域と、前記一対の拡散領域間の
歪みチャネル層上にゲート絶縁膜を介して設けられるゲ
ート電極とによって構成される電界効果型トランジスタ
を有する構成になっている。
(2) In the configuration of the means (1), the upper surface of the SiO 2 insulating layer is in contact with the lower surface of the strain applying layer. That is, a strain applying layer formed on a Si substrate and formed of a mixed crystal semiconductor layer (Si 1-x Ge x layer (0 ≦ x ≦ 1)) having a thickness of 50 to 200 nm provided on the main surface of the Si substrate. A SiO 2 insulating layer provided in the Si substrate so that an upper surface is in contact with a lower surface of the strain applying layer and extends along the strain applying layer, and a thickness provided on the strain applying layer is 10 (3-2x)
A strained channel layer made of a Si layer having a power of about nm or less, a pair of diffusion regions provided in the strained channel layer to form a source region or a drain region, and a gate insulating film on the strained channel layer between the paired diffusion regions. And a gate electrode provided through the gate electrode.

【0016】このような電界効果型トランジスタは、前
記(1)の手段による製造方法において、前記酸素イオ
ンの注入およびアニールの処理条件を選択し、前記歪み
印加層の下面に前記SiO2絶縁層の上面が一致するように
形成する。
In such a field effect transistor, in the manufacturing method according to the means (1), the conditions for the oxygen ion implantation and annealing are selected, and the SiO 2 insulating layer is formed on the lower surface of the strain applying layer. It is formed so that the upper surfaces match.

【0017】(3)前記手段(1)または(2)の構成
において、前記歪みチャネル層上にはスペーサ層,導電
型決定不純物がドープされたキャリア供給層,キャップ
層が順次形成されて変調ドープ型の電界効果型トランジ
スタを構成している。
(3) In the configuration of the means (1) or (2), a spacer layer, a carrier supply layer doped with a conductivity type determining impurity, and a cap layer are sequentially formed on the strain channel layer to form modulation doping. Field-effect transistor.

【0018】このような変調ドープ型の電界効果型トラ
ンジスタは、前記(1)または(2)の手段による製造
方法において、前記歪みチャネル層上にスペーサ層,導
電型決定不純物がドープされたデルタキャリア供給層,
キャップ層を順次形成して変調ドープ型の電界効果型ト
ランジスタを形成する。
[0018] In the modulation doping type field effect transistor according to the above (1) or (2), the spacer layer and the delta carrier doped with a conductivity type determining impurity are formed on the strained channel layer. Supply layer,
A cap layer is sequentially formed to form a modulation-doped field effect transistor.

【0019】(4)Si基板と、前記Si基板の主面に成長
形成された混晶半導体層と、前記混晶半導体層の表面か
ら注入された酸素イオンのアニール処理によって形成さ
れたSiO2絶縁層とからなる半導体基板。前記SiO2絶縁層
と前記混晶半導体層との間には前記混晶半導体層の厚さ
以下のSi基板を構成するSi層が存在している。前記混晶
半導体層はSi1-xGex層(0≦x≦1)からなり、その厚さは
50〜200 nmになっている。
(4) An Si substrate, a mixed crystal semiconductor layer grown and formed on the main surface of the Si substrate, and a SiO 2 insulating film formed by annealing oxygen ions implanted from the surface of the mixed crystal semiconductor layer. A semiconductor substrate comprising a layer. Between the SiO 2 insulating layer and the mixed crystal semiconductor layer, there is an Si layer constituting a Si substrate having a thickness equal to or less than the thickness of the mixed crystal semiconductor layer. The mixed crystal semiconductor layer is composed of a Si 1-x Ge x layer (0 ≦ x ≦ 1), and its thickness is
It is 50-200 nm.

【0020】このような半導体基板は、Si基板の主面に
混晶半導体層を成長形成する工程と、前記混晶半導体層
の表面から前記Si基板内に注入分布のピークが位置する
ように酸素イオンを注入する工程と、前記Si基板をアニ
ールして前記SiO2絶縁層を形成する工程とによって製造
される。
In such a semiconductor substrate, a step of growing and forming a mixed crystal semiconductor layer on the main surface of the Si substrate and a step of forming an oxygen distribution peak from the surface of the mixed crystal semiconductor layer into the Si substrate are performed. It is manufactured by a step of implanting ions and a step of annealing the Si substrate to form the SiO 2 insulating layer.

【0021】(5)前記手段(1)の構成において、前
記混晶半導体層の下面に前記SiO2絶縁層の上面が一致し
ている構成になっている。
(5) In the configuration of the means (1), the upper surface of the SiO 2 insulating layer is coincident with the lower surface of the mixed crystal semiconductor layer.

【0022】前記(1)の手段によれば、(a)電界効
果型トランジスタの構造は、SIMOX基板を用いて製造し
た電界効果型トランジスタと同様なものになるが、SiGe
歪み印加層の厚さはSIMOX基板の500nm程度以上に比較
し、その半分以下の200nm程度以下と薄くでき、またこ
の結果、SiGe歪み印加層上に厚さ10の(3-2x)乗nm程度以
下の薄い歪みSiチャネル層を形成できる。
According to the means (1), (a) the structure of the field-effect transistor is the same as that of the field-effect transistor manufactured using the SIMOX substrate.
The thickness of the strain applying layer can be reduced to about 200 nm or less, which is half or less of that of the SIMOX substrate of about 500 nm or more, and as a result, the thickness of the SiGe strain applying layer is about 10 to the power of (3-2x) nm. The following thin strained Si channel layer can be formed.

【0023】(b)前記(a)により、SiGe歪み印加層
の平坦化が図れ、歪みSiチャネル層の厚さを10の(3-2x)
乗nm程度以下と薄くできることから、電界効果トランジ
スタのパンチスルー電流の低減、チャネル層中への転移
発生による移動度の低下を防止することができ、電界効
果型トランジスタの特性(高速化,高性能化)向上が達
成できる。
(B) By the above (a), the SiGe strain applying layer can be flattened, and the thickness of the strained Si channel layer is reduced to 10 (3-2x).
Since the thickness can be made as thin as about the power of nm, the punch-through current of the field effect transistor can be reduced, and the mobility can be prevented from lowering due to the occurrence of transition into the channel layer. Improvement) can be achieved.

【0024】(c)前記(b)により、SiGe歪み印加層
の平坦化が図れ、歪みSiチャネル層の薄型化から微細加
工が可能になり、高集積化が達成できる。
(C) According to the above (b), the SiGe strain applying layer can be flattened, the strained Si channel layer can be thinned and fine processing can be performed, and high integration can be achieved.

【0025】(d)前記(a)により、SiGe歪み印加
層,歪みSiチャネル層の形成時間の短縮が図れ、半導体
装置の製造コストの低減が達成できる。
(D) According to the above (a), the time for forming the SiGe strain applying layer and the strained Si channel layer can be reduced, and the manufacturing cost of the semiconductor device can be reduced.

【0026】(e)前記SiGe歪み印加層と前記SiO2絶縁
層との間のSi層の厚さは前記SiGe歪み印加層以下の厚さ
になり、効果的なSiGe歪み印加層の形成が達成できる。
(E) The thickness of the Si layer between the SiGe strain applying layer and the SiO 2 insulating layer is less than the thickness of the SiGe strain applying layer, and an effective formation of the SiGe strain applying layer is achieved. it can.

【0027】前記(2)の手段によれば、前記手段
(1)の効果に加えて、前記歪み印加層の下面に前記Si
O2絶縁層の上面が接するようにSiO2絶縁層を形成するこ
とから、浮遊容量の低減が達成でき、電界効果型トラン
ジスタの特性が向上する。
According to the means (2), in addition to the effect of the means (1), the lower surface of the strain applying layer has the Si
Since the SiO 2 insulating layer is formed such that the upper surface of the O 2 insulating layer is in contact with the O 2 insulating layer, the stray capacitance can be reduced and the characteristics of the field effect transistor can be improved.

【0028】前記(3)の手段によれば、前記手段
(1)または(2)の構成による効果を有する変調ドー
プ型の電界効果型トランジスタを有する半導体装置を製
造することかできる。
According to the means (3), it is possible to manufacture a semiconductor device having a modulation-doped field effect transistor having the effect of the structure of the means (1) or (2).

【0029】前記(4)の手段によれば、表面が混晶半
導体層となるシリコン・オン・インシュレータ(SO
I)構造の平坦性に優れた新たなる半導体基板を提供す
ることができる。この半導体基板はSi基板上に混晶半導
体層(SiGe層)を有し、かつSi基板の表層部の内方に酸
素イオンの注入とアニール処理によって形成されたSiO2
絶縁層を有する構造となるため、その製造において前記
混晶半導体層の厚さを薄くでき、かつ前記混晶半導体層
もSiとの格子定数の違い(Siの格子定数は5.4309Å,Ge
の格子定数は5.6575Å) により歪み印加層として作用す
る層とさせることができる。従って、この半導体基板の
使用によって微細加工も可能になり、半導体装置の高集
積化が可能になる。また、平坦性が良好で歪み印加層と
なる混晶半導体層を有する半導体基板となることから、
前記混晶半導体層に歪みチャネル層を形成した場合、さ
らにはスペーサ層,キャリア供給層等を形成する等によ
って高速,高性能の電界効果型トランジスタや変調ドー
プ型の電界効果型トランジスタ等の製造も達成できる。
According to the means (4), the silicon-on-insulator (SO) whose surface is a mixed crystal semiconductor layer
I) A new semiconductor substrate having excellent structure flatness can be provided. This semiconductor substrate has a mixed crystal semiconductor layer (SiGe layer) on a Si substrate, and SiO 2 formed by injecting oxygen ions and annealing inside the surface layer of the Si substrate.
Since the structure has an insulating layer, the thickness of the mixed crystal semiconductor layer can be reduced in the manufacture thereof, and the mixed crystal semiconductor layer also has a difference in lattice constant from Si (the lattice constant of Si is 5.4309 °, Ge
Has a lattice constant of 5.6575 °), and can be a layer acting as a strain applying layer. Therefore, fine processing can be performed by using the semiconductor substrate, and high integration of the semiconductor device can be realized. In addition, since the semiconductor substrate has a mixed crystal semiconductor layer having good flatness and a strain application layer,
When a strain channel layer is formed in the mixed crystal semiconductor layer, a high-speed, high-performance field-effect transistor or a modulation-doped field-effect transistor can be manufactured by forming a spacer layer, a carrier supply layer, and the like. Can be achieved.

【0030】前記(5)の手段によれば、半導体基板は
前記手段(4)の構成による半導体基板の効果を有する
とともに、前記混晶半導体層の下面に前記SiO2絶縁層の
上面が一致している構成になっていることから浮遊容量
の低減が達成できる。
According to the means (5), the semiconductor substrate has the effect of the semiconductor substrate according to the structure of the means (4), and the upper surface of the SiO 2 insulating layer coincides with the lower surface of the mixed crystal semiconductor layer. With this configuration, a reduction in stray capacitance can be achieved.

【0031】[0031]

【発明の実施の形態】以下、図面を参照して本発明の実
施の形態を詳細に説明する。なお、発明の実施の形態を
説明するための全図において、同一機能を有するものは
同一符号を付け、その繰り返しの説明は省略する。
Embodiments of the present invention will be described below in detail with reference to the drawings. In all the drawings for describing the embodiments of the present invention, components having the same functions are denoted by the same reference numerals, and their repeated description will be omitted.

【0032】(実施形態1)図1乃至図5は本発明の一
実施形態(実施形態1)である半導体装置に係わる図で
あり、図1は半導体装置の模式的断面図、図2乃至図5
は半導体装置の製造における各工程での模式的断面図で
ある。
(Embodiment 1) FIGS. 1 to 5 relate to a semiconductor device according to an embodiment (Embodiment 1) of the present invention. FIG. 1 is a schematic sectional view of the semiconductor device, and FIGS. 5
FIG. 3 is a schematic cross-sectional view of each step in the manufacture of a semiconductor device.

【0033】本実施形態1では、電界効果型トランジス
タを有する半導体装置について説明する。図1乃至図5
は電界効果型トランジスタ部分のみを示す図である。
In the first embodiment, a semiconductor device having a field effect transistor will be described. 1 to 5
FIG. 3 is a diagram showing only a field-effect transistor portion.

【0034】本実施形態1の半導体装置100は、図1に
示すように、Si基板101の上表面(主面)にSi1-xGex(0
≦x≦1)からなるSiGe歪み印加層102,歪みSiチャネル
層104を順次積層成長させた構造になっている。また、S
i基板101の表層部において、その内部にSiO2絶縁層103
を有する構造になっている。
As shown in FIG. 1, the semiconductor device 100 of the first embodiment has an Si 1-x Ge x (0
≦ x ≦ 1), and has a structure in which a SiGe strain applying layer 102 and a strained Si channel layer 104 are sequentially grown. Also, S
i In the surface portion of the substrate 101, an SiO 2 insulating layer 103
It has a structure having.

【0035】また、前記歪みSiチャネル層104,SiGe歪
み印加層102およびSiO2絶縁層103上のSi層部分には貫通
しかつ底が前記SiO2絶縁層103に到達する素子分離絶縁
領域105が形成されている。前記素子分離絶縁領域105に
囲まれる素子形成領域121には、電界効果型トランジス
タのソース領域やドレイン領域を構成する一対の拡散領
域108が設けられている。
An element isolation insulating region 105 that penetrates through the strained Si channel layer 104, the SiGe strain applying layer 102, and the Si layer on the SiO 2 insulating layer 103 and has a bottom reaching the SiO 2 insulating layer 103 is formed. Is formed. A pair of diffusion regions 108 constituting a source region and a drain region of a field effect transistor are provided in an element formation region 121 surrounded by the element isolation insulating region 105.

【0036】また、前記一対の拡散領域108間の歪みSi
チャネル層104の表面にはゲート酸化膜106が設けられて
いる。このゲート酸化膜106の上にはゲート電極107が設
けられ、ゲート酸化膜106およびゲート電極107の両端に
は絶縁体からなる側壁(サイドウォール)122が設けられ
ている。前記拡散領域108は前記ゲート酸化膜106の両端
側にそれぞれ設けられている。
The strain Si between the pair of diffusion regions 108
On the surface of the channel layer 104, a gate oxide film 106 is provided. A gate electrode 107 is provided on the gate oxide film 106, and side walls (sidewalls) 122 made of an insulator are provided on both ends of the gate oxide film 106 and the gate electrode 107. The diffusion regions 108 are provided at both ends of the gate oxide film 106, respectively.

【0037】前記歪みSiチャネル層104,ゲート電極107
および側壁122上には層間絶縁膜109が設けられている。
この層間絶縁膜109にはコンタクトホールが設けられて
いるとともに、このコンタクトホール部分には金属配線
111が形成され、ゲート電極107に接続されるゲート配
線,拡散領域108に接続されるソースやドレイン用の配
線が形成され、電界効果型トランジスタが構成されてい
る。
The strained Si channel layer 104 and the gate electrode 107
On the side wall 122, an interlayer insulating film 109 is provided.
A contact hole is provided in the interlayer insulating film 109, and a metal wiring is formed in the contact hole.
111 is formed, and a gate wiring connected to the gate electrode 107 and a wiring for source and drain connected to the diffusion region 108 are formed, thereby forming a field effect transistor.

【0038】次に、図2乃至図5を参照しながら、本実
施形態1の半導体装置の製造方法と、各構成部分の組
成,寸法等について説明する。
Next, with reference to FIGS. 2 to 5, a method of manufacturing the semiconductor device of the first embodiment and the composition and dimensions of each component will be described.

【0039】最初に、図2に示すように、数百μmの厚
さのSi基板101を用意する。その後、前記Si基板101を洗
浄し、清浄なSi基板101にする。
First, as shown in FIG. 2, an Si substrate 101 having a thickness of several hundred μm is prepared. After that, the Si substrate 101 is cleaned to obtain a clean Si substrate 101.

【0040】次に、洗浄後、ただちに化学気相成長装置
(CVD装置)に導入し、図2に示すように、前記Si基板101
の平坦な一表面(主面)上にSi1-xGex混晶層(0≦x≦1)
からなるSiGe歪み印加層(SiGe バッファ層)102を形成す
る。本実施形態1では前記混晶比xは0.3とする。従
って、SiGe歪み印加層102はSi0.7Ge0.3歪み印加層102に
なる。CVDにおいては、例えば、原料にはSiH4および
GeH4を用い、成長温度500℃で成長させ、150nmの厚さに
成長させる。
Next, immediately after cleaning, a chemical vapor deposition apparatus is used.
(CVD apparatus), and as shown in FIG.
Si 1-x Ge x mixed crystal layer (0 ≦ x ≦ 1) on one flat surface (principal surface) of
A SiGe strain applying layer (SiGe buffer layer) 102 made of is formed. In the first embodiment, the mixed crystal ratio x is 0.3. Therefore, the SiGe strain applying layer 102 becomes the Si 0.7 Ge 0.3 strain applying layer 102. In CVD, for example, SiH4 and
It is grown at a growth temperature of 500 ° C. using GeH4 to a thickness of 150 nm.

【0041】Si0.7Ge0.3歪み印加層102(混晶比x)の
形成方法は化学気相成長法に限らず高純度のSiGe層が形
成できる方法であればよい。SiGe層の厚みは、素子分離
性能や浮遊容量の低減を考慮すると、50-200nm程度とす
ることが望ましい。また、SiとGeの組成比は、SiGe合金
が全率固溶系であるため基本的には任意であるが、Siチ
ャネル層に適切な歪みを与え、かつSiチャネル層の平坦
性を保つに適当な値として、Ge比率(混晶比x)が10%
から40%程度にすることが望ましい。また、膜厚方向に
向かってGe組成を変化させる(傾斜組成)ことも有効で
ある。
The method of forming the Si 0.7 Ge 0.3 strain application layer 102 (mixed crystal ratio x) is not limited to the chemical vapor deposition method, but may be any method capable of forming a high-purity SiGe layer. The thickness of the SiGe layer is desirably about 50 to 200 nm in consideration of element isolation performance and reduction of stray capacitance. In addition, the composition ratio of Si and Ge is basically arbitrary because the SiGe alloy is a solid solution system, but it is suitable for giving appropriate strain to the Si channel layer and maintaining flatness of the Si channel layer. As an important value, Ge ratio (mixed crystal ratio x) is 10%
From about 40% is desirable. It is also effective to change the Ge composition (gradient composition) in the film thickness direction.

【0042】次に酸素イオンを加速電圧200KeV、ドーズ
量4×1017/cm2の条件でSiGe歪み印加層102の上から注
入するとともに、その後1300℃で8時間アニールを行
う。これにより、図3に示すように、SiGe歪み印加層10
2の直下のSi基板101の表層部分にSiO2絶縁層103が形成
される。SiO2絶縁層103の厚みは凡そ100nmであり、絶縁
耐圧50V以上が確保される。前記アニール処理により、S
iGe歪み印加層102は欠陥密度が極めて低く、平坦でかつ
歪み緩和が十分になされる。
Next, oxygen ions are implanted from above the SiGe strain applying layer 102 under the conditions of an acceleration voltage of 200 KeV and a dose of 4 × 10 17 / cm 2 , and thereafter annealing is performed at 1300 ° C. for 8 hours. As a result, as shown in FIG.
The SiO 2 insulating layer 103 is formed on the surface layer portion of the Si substrate 101 immediately below the second. The thickness of the SiO 2 insulating layer 103 is approximately 100 nm, and a withstand voltage of 50 V or more is ensured. By the annealing treatment, S
The iGe strain applying layer 102 has a very low defect density, is flat, and has sufficient strain relaxation.

【0043】ここで、酸素イオンの注入深さ(酸素濃度
プロファイルの頂点位置)が極めて重要である。仮に浮
遊容量の低減等に有利であるために、SiO2絶縁層とSiチ
ャネルとの距離をなるべく近づける、すなわち注入深さ
を浅くしてSiGe層中に酸素を注入すると、熱処理過程に
おいてSiの選択的酸化とGeの析出が起こり、充分な絶縁
性を保つことが不可能になるばかりでなく、表面平坦性
が著しく悪化してしまう。そこで、酸素イオンの注入深
さはSiGe層の直下、Si内部にすることが必要である。こ
うすれば、熱処理過程において絶縁性に優れ、かつ平坦
なSiO2層が形成される。熱処理過程において、SiGe層は
酸素イオン注入による損傷が回復し、歪みは緩和され、
従来より格段に薄いSiGe歪み印加層が形成できることに
なる。さらに、SiGe層と酸素イオン注入位置との距離は
近いほど望ましく、例えば、SiとSiGeの歪み成長の臨界
厚さ(Ge濃度20%で400nm前後、50%で100nm前後)以下
にするほうが良い。こうすることにより、効果的に歪み
が緩和されたSiGe歪み印加層が形成される。この距離を
著しく薄くすると、酸素イオン注入濃度プロファイルの
すそがSiGe層内に入るが、注入位置(濃度プロファイル
の頂点)がSi層内に入っておれば、その後のアニール工
程においても上記したようなGeの析出等の問題による影
響が極めて小さくなる。
Here, the implantation depth of oxygen ions (the top position of the oxygen concentration profile) is extremely important. If the distance between the SiO 2 insulating layer and the Si channel is made as short as possible, that is, if the implantation depth is reduced and oxygen is implanted into the SiGe layer in order to be advantageous in reducing the floating capacitance, etc. Oxidation and the precipitation of Ge occur, which makes it impossible not only to maintain sufficient insulation properties, but also significantly deteriorates surface flatness. Therefore, it is necessary that the implantation depth of oxygen ions be directly below the SiGe layer and inside Si. In this way, a flat SiO 2 layer having excellent insulation properties is formed in the heat treatment process. During the heat treatment process, the SiGe layer recovers from damage due to oxygen ion implantation, the strain is relaxed,
A significantly thinner SiGe strain applying layer can be formed than before. Furthermore, it is desirable that the distance between the SiGe layer and the oxygen ion implantation position is as short as possible. For example, it is preferable that the distance be equal to or less than the critical thickness for strain growth of Si and SiGe (about 400 nm at a Ge concentration of 20% and about 100 nm at a 50% concentration). By doing so, a SiGe strain applying layer in which strain is effectively alleviated is formed. If this distance is significantly reduced, the bottom of the oxygen ion implantation concentration profile enters the SiGe layer. However, if the implantation position (apex of the concentration profile) is located within the Si layer, the above-described step is performed in the subsequent annealing step. The effect of problems such as Ge precipitation is extremely small.

【0044】この方法によって、従来より薄くかつ平坦
で結晶欠陥の極めて少ないSiGe歪み印加層がSiO2絶縁層
の上部に形成できることになる。なお、前記SiGe歪み印
加層と前記SiO2絶縁層との間のSi層の厚さは前記SiGe歪
み印加層以下の厚さであればよい。
According to this method, a SiGe strain applying layer which is thinner and flatter than the conventional one and has very few crystal defects can be formed on the SiO 2 insulating layer. The thickness of the Si layer between the SiGe strain applying layer and the SiO 2 insulating layer may be any thickness that is equal to or less than the SiGe strain applying layer.

【0045】次にSiGe歪み印加層102の上部に、図4に
示すように、化学気相成長法により歪みSiチャネル層10
4を形成する。膜厚は20nmとした。前述のようなSiGe歪
み印加層102の上部に歪みSiチャネル層104を形成するこ
とから、SiGe歪み印加層102で十分に歪み緩和されてお
りかつ極めて平坦なため、歪みSiチャネル層104に効果
的に歪みを与えることが出来、かつチャネル層の結晶欠
陥密度も極めて小さくなる。また、歪みSiチャネル層10
4は、電界効果トランジスタのパンチスルー電流の低
減、チャネル層中への転移発生による移動度の低下を防
止するためには概ね10の(3-2x)乗nm程度以下の膜厚にす
ることが望ましい。この歪みSiチャネル層104はSiGe歪
み印加層102の格子定数がSiより大きい(Siは5.4309Å,
Geは5.6575Å)ことから引っ張り歪みを受ける。これに
より、この中のキャリア(電子およびホール)移動度
は、無歪みSi中の移動度の1500(電子),500(ホール)より
も、例えば、3500(電子),5000(ホール)程度と大きくな
る。
Next, as shown in FIG. 4, the strained Si channel layer 10 is formed on the SiGe strain applying layer 102 by a chemical vapor deposition method.
Form 4. The film thickness was 20 nm. Since the strained Si channel layer 104 is formed on the SiGe strain applying layer 102 as described above, the strain is sufficiently relaxed by the SiGe strain applying layer 102 and is extremely flat, which is effective for the strained Si channel layer 104. , And the crystal defect density of the channel layer becomes extremely small. In addition, the strained Si channel layer 10
In order to reduce the punch-through current of the field-effect transistor and to prevent the mobility from being lowered due to the transfer into the channel layer, the thickness of the layer 4 should be approximately 10 (3-2x) nm or less. desirable. In the strained Si channel layer 104, the lattice constant of the SiGe strain applying layer 102 is larger than Si (Si is 5.4309Å,
Ge receives tensile strain because it is 5.6575Å). As a result, the carrier (electron and hole) mobilities therein are larger than the mobility of 1500 (electrons) and 500 (holes) in unstrained Si, for example, about 3500 (electrons) and 5000 (holes). Become.

【0046】次に、図4に示すように、常用の手法で素
子分離絶縁領域105を形成して電界効果型トランジスタ
や電界効果型トランジスタを含む回路素子等を形成する
素子形成領域121を形成する。前記素子分離絶縁領域105
は、例えば、トレンチの形成と、このトレンチを酸化膜
で埋め込むことによって形成される。
Next, as shown in FIG. 4, an element isolation insulating region 105 is formed by a conventional method, and an element forming region 121 for forming a field effect transistor or a circuit element including the field effect transistor is formed. . The element isolation insulating region 105
Is formed, for example, by forming a trench and filling the trench with an oxide film.

【0047】素子形成領域121は周囲を素子分離絶縁領
域105で囲まれ、下部はSiO2絶縁層103が設けられている
ことから電気絶縁性の高いものとなり、組み込まれる電
界効果型トランジスタ等の素子の特性を向上させること
ができる。
The element forming region 121 is surrounded by the element isolation insulating region 105, and the lower portion is provided with the SiO 2 insulating layer 103, so that the element forming region 121 has high electric insulation. Characteristics can be improved.

【0048】次に、図4に示すように、歪みSiチャネル
層104の表面を熱酸化して酸化膜を形成するとともにポ
リシリコン膜を重ねて形成した後、ゲート形成領域を除
く部分のポリシリコン膜と酸化膜をエッチングしてゲー
ト酸化膜106とゲート電極107を形成する。
Next, as shown in FIG. 4, after the surface of the strained Si channel layer 104 is thermally oxidized to form an oxide film and a polysilicon film is overlaid, the polysilicon in the portion excluding the gate formation region is formed. The gate oxide film 106 and the gate electrode 107 are formed by etching the film and the oxide film.

【0049】次に、図5に示すように、前記Si基板101
の主面側に酸化膜を形成した後、異方性エッチングによ
って前記酸化膜を除去し、前記ゲート酸化膜106および
ゲート電極107の両側面に側壁(サイドウォール)122を形
成する。
Next, as shown in FIG.
After an oxide film is formed on the main surface side of the substrate, the oxide film is removed by anisotropic etching, and side walls (sidewalls) 122 are formed on both side surfaces of the gate oxide film 106 and the gate electrode 107.

【0050】次に、図5に示すように、Si基板101の主
面側に選択的にレジストを設けるとともに、前記側壁12
2を利用してセルフアラインによりソース領域やドレイ
ン領域を構成する拡散領域108を形成する。
Next, as shown in FIG. 5, a resist is selectively provided on the main surface side of the Si
Using FIG. 2, a diffusion region 108 constituting a source region and a drain region is formed by self-alignment.

【0051】次に、図5に示すように、層間絶縁膜109
を形成し、コンタクトホール110を明け、Al等の金属膜
を蒸着してパターニングすることによって前記コンタク
トホール110部分に金属配線111を形成し、電界効果トラ
ンジスタが完成する(図1参照)。
Next, as shown in FIG.
Is formed, a contact hole 110 is opened, and a metal film such as Al is deposited and patterned to form a metal wiring 111 on the contact hole 110, thereby completing a field effect transistor (see FIG. 1).

【0052】この電界効果型トランジスタは、前記拡散
領域108の形成におけるイオン注入において、P等のV
属元素を注入すればn型領域が形成できてnチャネル型
電界効果型トランジスタ(NMOS)となり、Ga等のIII属元
素を注入すればp型領域が形成できてpチャネル型電界
効果型トランジスタ(PMOS)となる。従って、同一Si基板
101にPMOS,NMOSを形成することによってCMOSFETも製造
できる。
In this field effect transistor, the ion implantation in the formation of the diffusion region
By implanting a group element, an n-type region can be formed and an n-channel field-effect transistor (NMOS) can be formed. By implanting a group III element such as Ga, a p-type region can be formed and a p-channel field-effect transistor (NMOS) can be formed. PMOS). Therefore, the same Si substrate
By forming a PMOS and an NMOS in 101, a CMOSFET can also be manufactured.

【0053】本実施形態1の半導体装置の製造において
製造される図3で示すSi基板101は、このままの状態で
半導体基板として市販可能である。
The Si substrate 101 shown in FIG. 3 manufactured in the manufacture of the semiconductor device according to the first embodiment is commercially available as it is as a semiconductor substrate.

【0054】即ち、この半導体基板は、Si基板101の主
面にSiGe歪み印加層102を有するとともに、Si基板101の
表層部分においてその内方にSiO2絶縁層103を有する構
造である。そして、各部の寸法は前述のように、SiGe歪
み印加層102は50〜200nm程度の厚さであり、SiO2絶縁層
103は凡そ100nmである。また、SiGe歪み印加層102と前
記SiO2絶縁層103との間のSi層の厚さは前記SiGe歪み印
加層以下の厚さになっている。
That is, this semiconductor substrate has a structure in which the SiGe strain applying layer 102 is provided on the main surface of the Si substrate 101, and the SiO 2 insulating layer 103 is provided inside the surface layer of the Si substrate 101. Then, the dimensions of each part as described above, SiGe strained applied layer 102 has a thickness of about 50 to 200 nm, SiO 2 insulating layer
103 is approximately 100 nm. The thickness of the Si layer between the SiGe strain applying layer 102 and the SiO 2 insulating layer 103 is smaller than the thickness of the SiGe strain applying layer.

【0055】本実施形態1によれば以下の効果を奏す
る。 (1)電界効果型トランジスタの構造は、SIMOX基板を
用いて製造した電界効果型トランジスタと同様な素子分
離性に優れたものになるが、SiGe歪み印加層102の厚さ
はSIMOX基板の500nm程度以上に比較し、その半分以下の
200nm程度以下と薄くでき、平坦性が良好になる。この
結果、SiGe歪み印加層の貫通転位、クラックの発生、表
面性の悪化が防止でき、結晶性良好なヘテロ構造を実現
することができる。また、SiGe歪み印加層102の平坦化
から、SiGe歪み印加層102上に形成する歪みSiチャネル
層104も10の(3-2x)乗nm程度以下と薄くできる。従っ
て、電界効果トランジスタのパンチスルー電流の低減、
チャネル層中への転移発生による移動度の低下を防止す
ることができ、電界効果型トランジスタの高速化,高性
能化が達成できる。
According to the first embodiment, the following effects can be obtained. (1) The structure of the field effect transistor is excellent in element isolation similar to that of a field effect transistor manufactured using a SIMOX substrate, but the thickness of the SiGe strain applying layer 102 is about 500 nm of the SIMOX substrate. Less than half of that
The thickness can be reduced to about 200 nm or less, and the flatness is improved. As a result, it is possible to prevent threading dislocations, cracks, and deterioration in surface properties of the SiGe strain applying layer, and to realize a heterostructure having good crystallinity. In addition, due to the planarization of the SiGe strain applying layer 102, the strained Si channel layer 104 formed on the SiGe strain applying layer 102 can be made as thin as 10 (3-2x) nm or less. Therefore, reduction of the punch-through current of the field effect transistor,
It is possible to prevent a decrease in mobility due to the occurrence of transition into the channel layer, and to achieve a higher speed and higher performance of the field effect transistor.

【0056】(2)前記(1)により、SiGe歪み印加層
102の平坦化が図れ、歪みSiチャネル層104の薄型化から
微細加工が可能になり、高集積化が達成できる。
(2) According to the above (1), the SiGe strain applying layer
The flattening of the semiconductor layer 102 can be achieved, and fine processing can be performed by reducing the thickness of the strained Si channel layer 104, thereby achieving high integration.

【0057】(3)SiGe歪み印加層102,歪みSiチャネ
ル層104の薄型化により、膜形成時間の短縮が図れ、半
導体装置の製造コストの低減が達成できる。
(3) By reducing the thickness of the SiGe strain applying layer 102 and the strained Si channel layer 104, the film formation time can be shortened and the manufacturing cost of the semiconductor device can be reduced.

【0058】(4)SiGe歪み印加層102とSiO2絶縁層103
との間のSi層の厚さは前記SiGe歪み印加層102以下の厚
さになり、効果的なSiGe歪み印加層102の形成が達成で
きる。
(4) SiGe strain applying layer 102 and SiO 2 insulating layer 103
In this case, the thickness of the Si layer becomes smaller than the thickness of the SiGe strain applying layer 102, and the effective formation of the SiGe strain applying layer 102 can be achieved.

【0059】(5)表面がSiGe混晶半導体層となるシリ
コン・オン・インシュレータ(SOI)構造の平坦性に
優れた新たなる半導体基板を提供することができる。こ
の半導体基板はSi基板101上にSiGe歪み印加層102を有
し、かつSi基板101の表層部の内方に酸素イオンの注入
とアニール処理によって形成されたSiO2絶縁層103を有
する構造となるため、その製造において前記SiGe歪み印
加層102の厚さを薄くでき、かつ前記SiGe歪み印加層102
もSiとの格子定数の違い(Siの格子定数は5.4309Å,Ge
の格子定数は5.6575Å)により歪み印加層として作用す
る層とさせることができる。従って、この半導体基板の
使用によって半導体装置の微細加工も可能になり、半導
体装置の高集積化が可能になる。また、平坦性が良好で
歪み印加層となる混晶半導体層を有する半導体基板とな
ることから、前記混晶半導体層に歪みチャネル層を形成
した場合、高速,高性能の電界効果型トランジスタの製
造が達成できる。また、後述するように前記歪みSiチャ
ネル層104上にスペーサ層,キャリア供給層,キャップ
層等を形成する等によって高速,高性能の変調ドープ型
の電界効果型トランジスタ等の製造も達成できる。
(5) It is possible to provide a new semiconductor substrate excellent in flatness of a silicon-on-insulator (SOI) structure whose surface is a SiGe mixed crystal semiconductor layer. This semiconductor substrate has a structure in which a SiGe strain applying layer 102 is provided on a Si substrate 101, and a SiO 2 insulating layer 103 formed by oxygen ion implantation and annealing is provided inside a surface layer portion of the Si substrate 101. Therefore, in the manufacture thereof, the thickness of the SiGe strain applying layer 102 can be reduced, and
Also the difference in lattice constant from Si (Si lattice constant is 5.4309Å, Ge
Has a lattice constant of 5.6575 °), so that the layer acts as a strain applying layer. Therefore, by using this semiconductor substrate, fine processing of the semiconductor device is also possible, and high integration of the semiconductor device becomes possible. In addition, since a semiconductor substrate having a mixed crystal semiconductor layer having good flatness and serving as a strain applying layer is provided, when a strained channel layer is formed in the mixed crystal semiconductor layer, a high-speed, high-performance field-effect transistor can be manufactured. Can be achieved. Further, as described later, by forming a spacer layer, a carrier supply layer, a cap layer, and the like on the strained Si channel layer 104, a high-speed, high-performance modulation-doped field-effect transistor can be achieved.

【0060】(実施形態2)図6は本発明の他の実施形
態(実施形態2)である半導体装置を示す模式的断面図
である。本実施形態2では、前記実施形態1の電界効果
型トランジスタにおいて、SiO2絶縁層103とSiGe歪み印
加層102との間にSi層を介在させずに、SiO2絶縁層103の
形成時、すなわち、Si基板101上のSiGe歪み印加層102の
表面から酸素イオンを注入しかつアニールする際、酸素
イオンの注入深さの制御と、アニール処理の制御によっ
て、SiO2絶縁層103の上面がSiGe歪み印加層102の下面に
一致するようにSiO2絶縁層103を形成したものである。
(Embodiment 2) FIG. 6 is a schematic sectional view showing a semiconductor device according to another embodiment (Embodiment 2) of the present invention. In the second embodiment, in the field-effect transistor of the first embodiment, when the SiO 2 insulating layer 103 is formed without interposing the Si layer between the SiO 2 insulating layer 103 and the SiGe strain applying layer 102, When oxygen ions are implanted from the surface of the SiGe strain applying layer 102 on the Si substrate 101 and annealing is performed, the upper surface of the SiO 2 insulating layer 103 is deformed by controlling the oxygen ion implantation depth and the annealing process. The SiO 2 insulating layer 103 is formed so as to match the lower surface of the application layer 102.

【0061】このような構造にすることによって、前記
実施形態1の効果に加えて浮遊容量の低減が達成でき、
電界効果型トランジスタの特性の向上が達成できる。
With this structure, the stray capacitance can be reduced in addition to the effect of the first embodiment.
Improvement of the characteristics of the field effect transistor can be achieved.

【0062】また、本実施形態2の半導体装置の製造に
おいて、SiO2絶縁層103を形成した段階のものは半導体
基板として市販できる。図7はSiO2絶縁層103の上面がS
iGe歪み印加層102の下面に一致した構造の新たなる半導
体基板130の断面図である。この構造の半導体基板130も
このまま市販でき、この半導体基板130を使用して、電
界効果型トランジスタや後述する変調ドープ型の電界効
果型トランジスタ等を有する半導体装置を製造すること
ができる。
In the manufacture of the semiconductor device according to the second embodiment, the device at the stage where the SiO 2 insulating layer 103 is formed can be sold as a semiconductor substrate. FIG. 7 shows that the upper surface of the SiO 2 insulating layer 103 has S
5 is a cross-sectional view of a new semiconductor substrate 130 having a structure corresponding to the lower surface of the iGe strain applying layer 102. FIG. The semiconductor substrate 130 having this structure can be marketed as it is, and a semiconductor device having a field-effect transistor, a modulation-doped field-effect transistor described later, or the like can be manufactured using the semiconductor substrate 130.

【0063】(実施形態3)本実施形態3では、変調ド
ープ型の電界効果型トランジスタを有する半導体装置に
ついて説明する。図8は変調ドープ型の電界効果型トラ
ンジスタを示す模式的断面図、図9乃至図12は本実施
形態3の変調ドープ型の電界効果型トランジスタの製造
方法を示す模式的断面図である。
Embodiment 3 In Embodiment 3, a semiconductor device having a modulation-doped field-effect transistor will be described. FIG. 8 is a schematic cross-sectional view illustrating a modulation-doped field-effect transistor, and FIGS. 9 to 12 are schematic cross-sectional views illustrating a method of manufacturing the modulation-doped field-effect transistor according to the third embodiment.

【0064】本実施形態3の半導体装置140は、前記実
施形態1の半導体装置100において、歪みSiチャネル層1
04上に、膜厚15nmのSi1-xGex混晶(0≦x≦1)からなるSi
Geスペーサ層211、膜厚5nmのSbをドープしたSi1-xGex
晶(0≦x≦1)からなるSiGeキャリア供給層(キャリアド
ーピング層)212、膜厚10nmのSi1-xGex混晶(0≦x≦1)
からなるSiGeキャップ層213、膜厚5nmのSiからなるSiキ
ャップ層214を有する構造になっている。前記混晶比x
は、例えば0.3である。
The semiconductor device 140 of the third embodiment is different from the semiconductor device 100 of the first embodiment in that the strained Si channel layer 1
04, a Si 1-x Ge x mixed crystal (0 ≦ x ≦ 1) having a thickness of 15 nm
Ge spacer layer 211, SiGe carrier supply layer (carrier doping layer) 212 composed of Sb-doped Si 1-x Ge x mixed crystal (0 ≦ x ≦ 1) with a thickness of 5 nm, Si 1-x Ge with a thickness of 10 nm x mixed crystal (0 ≦ x ≦ 1)
The structure has a SiGe cap layer 213 made of Si and a Si cap layer 214 made of Si having a thickness of 5 nm. The mixed crystal ratio x
Is, for example, 0.3.

【0065】また、素子分離絶縁領域105に囲まれる素
子形成領域121のSiキャップ層214上には両端に側壁122
を有するゲート酸化膜106およびゲート電極107が設けら
れている。ゲート酸化膜106の両端側にはソース領域ま
たはドレイン領域になる拡散領域108が設けられてい
る。この拡散領域108は歪みSiチャネル層104の途中深さ
まで到達する構造になっている。
Further, sidewalls 122 are formed on both ends of the Si cap layer 214 in the element forming region 121 surrounded by the element isolation insulating region 105.
A gate oxide film 106 and a gate electrode 107 are provided. Diffusion regions 108 serving as a source region or a drain region are provided at both ends of the gate oxide film 106. This diffusion region 108 has a structure that reaches the middle depth of the strained Si channel layer 104.

【0066】本実施形態3の半導体装置140の製造にお
いては、図9に示すように、Si基板101 の主面にSiGe歪
み印加層102を有し、Si基板101の表層部分においてその
内方にSiO2絶縁層103を有する半導体基板を製造する。
この製造方法は、前記実施形態1と同様であり、図3と
全く同じ構造である。
In the manufacture of the semiconductor device 140 according to the third embodiment, as shown in FIG. 9, a SiGe strain applying layer 102 is provided on the main surface of a Si substrate 101, and the SiGe strain applying layer 102 is formed inside the surface layer of the Si substrate 101. A semiconductor substrate having the SiO 2 insulating layer 103 is manufactured.
This manufacturing method is the same as that of the first embodiment, and has exactly the same structure as that of FIG.

【0067】次に、図10に示すように、前記歪みSiチ
ャネル層104上に、化学気相成長法によって、膜厚15nm
のSi1-xGex混晶(x=0.3)からなるSiGeスペーサ層211、
膜厚5nmのSbをドープしたSi1-xGex混晶(x=0.3)からな
るSiGeキャリア供給層(キャリアドーピング層)212、
膜厚10nmのSi1-xGex混晶(x=0.3)からなるSiGeキャッ
プ層213、膜厚5nmのSiからなるSiキャップ層214を順次
成長形成する。
Next, as shown in FIG. 10, a film thickness of 15 nm is formed on the strained Si channel layer 104 by a chemical vapor deposition method.
SiGe spacer layer 211 made of Si 1-x Ge x mixed crystal (x = 0.3)
A SiGe carrier supply layer (carrier doping layer) 212 made of a 5-nm - thick Sb-doped Si 1-x Ge x mixed crystal (x = 0.3),
A 10 nm thick SiGe cap layer 213 made of a mixed crystal of Si 1-x Ge x (x = 0.3) and a 5 nm thick Si cap layer 214 made of Si are sequentially grown.

【0068】次に、図11に示すように、常用の手法で
素子分離絶縁領域105を形成して素子形成領域121 を形
成する。前記素子分離絶縁領域105は、例えば、トレン
チの形成と、このトレンチを酸化膜で埋め込むことによ
って形成される。
Next, as shown in FIG. 11, an element isolation insulating region 105 is formed by a conventional method to form an element forming region 121. The element isolation insulating region 105 is formed, for example, by forming a trench and filling the trench with an oxide film.

【0069】次に、図11に示すように、前記Siキャッ
プ層214の表面を熱酸化して酸化膜を形成するとともに
ポリシリコン膜を重ねて形成した後、ゲート形成領域を
除く部分のポリシリコン膜と酸化膜をエッチングして、
図12に示すように、ゲート酸化膜106とゲート電極107
を形成する。
Next, as shown in FIG. 11, after the surface of the Si cap layer 214 is thermally oxidized to form an oxide film and a polysilicon film is formed on the silicon cap layer 214, a portion of the polysilicon film excluding the gate forming region is formed. Etch the film and oxide film,
As shown in FIG. 12, the gate oxide film 106 and the gate electrode 107
To form

【0070】次に、図示はしないが前記実施形態1の場
合と同様に前記ゲート酸化膜106 およびゲート電極107
の両側面に側壁(サイドウォール)122を形成した後、常
用の方法で側壁122を利用してセルフアラインによりソ
ース領域やドレイン領域を構成する拡散領域108を形成
し、次いで層間絶縁膜109を形成し、コンタクトホール
を明け、Al等の金属膜を蒸着してパターニングすること
によって前記コンタクトホール部分に金属配線111を形
成し、図8に示すようなn型の変調ドープ型の電界効果
型トランジスタを形成する。前記拡散領域108は歪みSi
チャネル層104の途中深さまで到達するように形成され
る。
Next, although not shown, the gate oxide film 106 and the gate electrode 107 are formed in the same manner as in the first embodiment.
After forming sidewalls (sidewalls) 122 on both side surfaces of the semiconductor device, a diffusion region 108 constituting a source region and a drain region is formed by self-alignment using the sidewalls 122 in a conventional manner, and then an interlayer insulating film 109 is formed. Then, a contact hole is opened, and a metal film such as Al is deposited and patterned to form a metal wiring 111 in the contact hole portion, thereby forming an n-type modulation-doped field effect transistor as shown in FIG. Form. The diffusion region 108 has strained Si
The channel layer 104 is formed so as to reach an intermediate depth.

【0071】また、前記拡散領域108の形成におけるイ
オン注入において、III属元素を注入すればpチャネル
型の変調ドープ型の電界効果型トランジスタを製造でき
る。
In the ion implantation for forming the diffusion region 108, a p-channel modulation-doped field effect transistor can be manufactured by implanting a Group III element.

【0072】本実施形態3による変調ドープ型の電界効
果型トランジスタにおいても、SiGe歪み印加層102の薄
型化により平坦化が良好になり、SiGe歪み印加層102上
に形成する歪みSiチャネル層104も10の(3-2x)乗nm程度
以下と薄くでき、パンチスルー電流の低減、チャネル層
中への転移発生による移動度の低下を防止することがで
き、電界効果型トランジスタの高速化,高性能化が達成
できる。
Also in the modulation-doped field-effect transistor according to the third embodiment, the flatness is improved by reducing the thickness of the SiGe strain applying layer 102, and the strained Si channel layer 104 formed on the SiGe strain applying layer 102 is also improved. It can be made as thin as 10 (3-2x) nm or less, which can reduce punch-through current, prevent the mobility from dropping due to dislocation into the channel layer, and increase the speed and performance of the field-effect transistor. Can be achieved.

【0073】また、SiGe歪み印加層102の平坦化による
歪みSiチャネル層104の薄型化から微細加工が可能にな
り、高集積化が達成できる。
Further, since the strained Si channel layer 104 is made thinner by flattening the SiGe strain applying layer 102, fine processing becomes possible and high integration can be achieved.

【0074】また、SiGe歪み印加層102,歪みSiチャネ
ル層104の薄型化により、膜形成時間の短縮が図れ、半
導体装置の製造コストの低減が達成できる等の効果を有
することになる。
Further, by reducing the thickness of the SiGe strain applying layer 102 and the strained Si channel layer 104, the film formation time can be shortened, and the effects such as the reduction of the manufacturing cost of the semiconductor device can be achieved.

【0075】本実施形態3においても、SiGe歪み印加層
102の下面にSiO2絶縁層103の上面が一致するようにSiO2
絶縁層103を形成する技術を採用することにより、変調
ドープ型の電界効果型トランジスタの浮遊容量の低減が
達成できる。
Also in the third embodiment, the SiGe strain applying layer
As the upper surface of the SiO 2 insulating layer 103 is coincident with the lower surface of 102 SiO 2
By employing the technique of forming the insulating layer 103, the stray capacitance of the modulation-doped field-effect transistor can be reduced.

【0076】以上本発明者によってなされた発明を実施
形態に基づき具体的に説明したが、本発明は上記実施形
態に限定されるものではなく、その要旨を逸脱しない範
囲で種々変更可能であることはいうまでもない、たとえ
ば、Si基板101上に形成する歪み印加層102としてGaAs等
他の混晶半導体層を形成した半導体装置の場合でも前記
実施例同様な効果が得られる。
Although the invention made by the inventor has been specifically described based on the embodiment, the invention is not limited to the above embodiment, and various modifications can be made without departing from the gist of the invention. Needless to say, for example, even in the case of a semiconductor device in which another mixed crystal semiconductor layer such as GaAs is formed as the strain applying layer 102 formed on the Si substrate 101, the same effect as in the above embodiment can be obtained.

【0077】以上の説明では主として本発明者によって
なされた発明をその背景となった利用分野である電界効
果型トランジスタの製造技術に適用した場合について説
明したが、それに限定されるものではない。
In the above description, the case where the invention made by the present inventor is mainly applied to the manufacturing technique of the field effect transistor, which is the field of application as the background, has been described, but the invention is not limited to this.

【0078】本発明は少なくともトランジスタやダイオ
ード等の能動素子を有する半導体装置の製造に適用でき
る。
The present invention can be applied to the manufacture of a semiconductor device having at least an active element such as a transistor or a diode.

【0079】[0079]

【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。 (1)本発明による電界効果トランジスタおよびこれを
内蔵する半導体装置は、従来に比べて、パンチスルー電
流が低く、かつチャネル部分の欠陥密度が著しく減少す
ること、歪み印加層(バッファ層)の厚さを従来より減
少させることが可能でチャネル部分の平坦性に優れる。
即ち、素子の高速化,高集積化,高性能化が図れるため
に、その工業的価値は極めて高い。
The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows. (1) The field-effect transistor according to the present invention and the semiconductor device incorporating the same have a lower punch-through current, a significantly reduced defect density in the channel portion, and a greater thickness of the strain applying layer (buffer layer) than in the prior art. And the channel portion is excellent in flatness.
That is, the industrial value of the device is extremely high because the speed, integration and performance of the device can be improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施形態(実施形態1)である半導
体装置を示す模式的断面図である。
FIG. 1 is a schematic cross-sectional view illustrating a semiconductor device according to an embodiment (Embodiment 1) of the present invention.

【図2】本実施形態1の半導体装置の製造において主面
にSiGe層を形成したSi基板の模式的断面図である。
FIG. 2 is a schematic cross-sectional view of a Si substrate having a SiGe layer formed on a main surface in manufacturing the semiconductor device of the first embodiment.

【図3】本実施形態1の半導体装置の製造においてSi基
板の表層部分にSiO2絶縁層を形成した模式的断面図であ
る。
FIG. 3 is a schematic cross-sectional view in which an SiO 2 insulating layer is formed on a surface portion of a Si substrate in manufacturing the semiconductor device of the first embodiment.

【図4】本実施形態1の半導体装置の製造において素子
形成領域の表面にゲート酸化膜およびゲート電極を形成
したSi基板の模式的断面図である。
FIG. 4 is a schematic cross-sectional view of a Si substrate having a gate oxide film and a gate electrode formed on the surface of an element formation region in the manufacture of the semiconductor device of the first embodiment.

【図5】本実施形態1の半導体装置の製造において層間
絶縁膜にコンタクトホールを設けたSi基板の模式的断面
図である。
FIG. 5 is a schematic cross-sectional view of an Si substrate provided with a contact hole in an interlayer insulating film in manufacturing the semiconductor device of the first embodiment.

【図6】本発明の他の実施形態(実施形態2)である半
導体装置を示す模式的断面図である。
FIG. 6 is a schematic sectional view showing a semiconductor device according to another embodiment (Embodiment 2) of the present invention.

【図7】本実施形態2による半導体基板を示す模式的断
面図である。
FIG. 7 is a schematic sectional view showing a semiconductor substrate according to a second embodiment.

【図8】本発明の他の実施形態(実施形態3)である半
導体装置を示す模式的断面図である。
FIG. 8 is a schematic sectional view showing a semiconductor device according to another embodiment (Embodiment 3) of the present invention.

【図9】本実施形態3の半導体装置の製造において主面
にSiGe層を形成しSi基板の表層部分にSiO2絶縁層を形成
した模式的断面図である。
FIG. 9 is a schematic cross-sectional view in which a SiGe layer is formed on a main surface and an SiO 2 insulating layer is formed on a surface layer of a Si substrate in manufacturing the semiconductor device of the third embodiment.

【図10】本実施形態3の半導体装置の製造においてSi
基板の主面に順次半導体層を積層したSi基板の模式的断
面図である。
FIG. 10 is a diagram illustrating a method of manufacturing a semiconductor device according to the third embodiment;
FIG. 2 is a schematic cross-sectional view of a Si substrate in which semiconductor layers are sequentially stacked on a main surface of the substrate.

【図11】本実施形態3の半導体装置の製造において素
子分離絶縁領域を設けるとともに最上層のSi基板の表層
部分を酸化膜に形成したSi基板の模式的断面図である。
FIG. 11 is a schematic cross-sectional view of a Si substrate in which an element isolation insulating region is provided and a surface portion of the uppermost Si substrate is formed of an oxide film in the manufacture of the semiconductor device of the third embodiment.

【図12】本実施形態3の半導体装置の製造においてゲ
ート酸化膜およびゲート電極を形成したSi基板の模式的
断面図である。
FIG. 12 is a schematic cross-sectional view of a Si substrate on which a gate oxide film and a gate electrode have been formed in the manufacture of the semiconductor device of Embodiment 3;

【符号の説明】[Explanation of symbols]

100…半導体装置、101…Si基板、102…Si0.7Ge0.3バッ
ファ層、103…SiO2絶縁層、104…歪みSiチャネル層、10
5…素子分離絶縁領域、106…ゲート酸化膜、107…ゲー
ト電極、108…拡散領域、109…層間絶縁膜、110…コン
タクトホール、111…金属配線、121…素子形成領域、12
2…側壁(サイドウォール)、130…半導体基板、140…半
導体装置、211…SiGeスペーサ層、212…SiGeキャリア供
給層、213…SiGeキャップ層、214…Siキャップ層。
100: semiconductor device, 101: Si substrate, 102: Si 0.7 Ge 0.3 buffer layer, 103: SiO 2 insulating layer, 104: strained Si channel layer, 10
5 ... element isolation insulating region, 106 ... gate oxide film, 107 ... gate electrode, 108 ... diffusion region, 109 ... interlayer insulating film, 110 ... contact hole, 111 ... metal wiring, 121 ... device formation region, 12
2 ... side wall (side wall), 130 ... semiconductor substrate, 140 ... semiconductor device, 211 ... SiGe spacer layer, 212 ... SiGe carrier supply layer, 213 ... SiGe cap layer, 214 ... Si cap layer.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 山口 伸也 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 宮尾 正信 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 Fターム(参考) 5F040 DA01 DA18 DB06 DC01 EB12 EC07 EE06 EH02 EK05 EM00 FA03 FA05 5F102 FA00 GA14 GC01 GD10 GJ03 GL03 GL08 HA02  ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Shinya Yamaguchi 1-280 Higashi Koikekubo, Kokubunji-shi, Tokyo Inside the Central Research Laboratory of Hitachi, Ltd. F-term in Central Research Laboratory (reference) 5F040 DA01 DA18 DB06 DC01 EB12 EC07 EE06 EH02 EK05 EM00 FA03 FA05 5F102 FA00 GA14 GC01 GD10 GJ03 GL03 GL08 HA02

Claims (15)

【特許請求の範囲】[Claims] 【請求項1】 Si基板に形成され、前記Si基板の主面の
内側に形成されるSiO2絶縁層と、前記Si基板の主面上に
設けられる混晶半導体層からなる歪み印加層と、前記歪
み印加層上に設けられるSi層からなる歪みチャネル層
と、前記歪みチャネル層に設けられソース領域またはド
レイン領域を構成する一対の拡散領域と、前記一対の拡
散領域間の歪みチャネル層上にゲート絶縁膜を介して設
けられるゲート電極とによって構成される電界効果型ト
ランジスタを有する半導体装置であって、前記歪み印加
層はSi1-xGex(0≦x≦1)からなり、前記歪み印加層は50
〜200nm程度の厚さになり、前記Si1-xGex歪み印加層と
前記SiO2絶縁層との間のSi層の厚さは前記Si1-xGex歪み
印加層以下の厚さになり、前記歪みチャネル層の厚さは
10の(3-2x)乗nm程度以下になっていることを特徴とする
半導体装置。
1. An SiO 2 insulating layer formed on a Si substrate and formed inside a main surface of the Si substrate, a strain applying layer made of a mixed crystal semiconductor layer provided on the main surface of the Si substrate, A strain channel layer made of a Si layer provided on the strain applying layer, a pair of diffusion regions forming a source region or a drain region provided in the strain channel layer, and a strain channel layer between the pair of diffusion regions. A semiconductor device having a field-effect transistor including a gate electrode provided with a gate insulating film interposed therebetween, wherein the strain applying layer is made of Si 1-x Ge x (0 ≦ x ≦ 1); 50 applied layers
~ 200 nm thick, the thickness of the Si layer between the Si 1-x Ge x strain applying layer and the SiO 2 insulating layer is less than the thickness of the Si 1-x Ge x strain applying layer. And the thickness of the strain channel layer is
A semiconductor device characterized in that it is about 10 (3-2x) nm or less.
【請求項2】 Si基板に形成され、前記Si基板の主面上
に設けられる混晶半導体層からなる歪み印加層と、前記
歪み印加層の下面に上面が接しかつ前記歪み印加層に沿
って延在するように前記Si基板内に設けられるSiO2絶縁
層と、前記歪み印加層上に設けられるSi層からなる歪み
チャネル層と、前記歪みチャネル層に設けられソース領
域またはドレイン領域を構成する一対の拡散領域と、前
記一対の拡散領域間の歪みチャネル層上にゲート絶縁膜
を介して設けられるゲート電極とによって構成される電
界効果型トランジスタを有することを特徴とする半導体
装置。
2. A strain applying layer formed on a Si substrate and comprising a mixed crystal semiconductor layer provided on a main surface of the Si substrate, and an upper surface being in contact with a lower surface of the strain applying layer and being along the strain applying layer. An SiO 2 insulating layer provided in the Si substrate so as to extend, a strain channel layer made of a Si layer provided on the strain applying layer, and a source region or a drain region provided in the strain channel layer A semiconductor device comprising: a field-effect transistor including a pair of diffusion regions and a gate electrode provided on a strained channel layer between the pair of diffusion regions with a gate insulating film interposed therebetween.
【請求項3】 前記歪み印加層はSi1-xGex(0≦x≦1)か
らなり、前記歪み印加層は50〜200nmの厚さになり、前
記歪みチャネル層の厚さは10の(3-2x)乗nm程度以下にな
っていることを特徴とする請求項2に記載の半導体装
置。
3. The strain applying layer is made of Si 1-x Ge x (0 ≦ x ≦ 1), the strain applying layer has a thickness of 50 to 200 nm, and the strain channel layer has a thickness of 10 3. The semiconductor device according to claim 2, wherein the power is about (3-2x) nm or less.
【請求項4】 前記歪みチャネル層上にはスペーサ層,
導電型決定不純物がドープされたキャリア供給層,キャ
ップ層が順次形成されて変調ドープ型の電界効果型トラ
ンジスタを構成していることを特徴とする請求項1乃至
請求項3のいずれか1項に記載の半導体装置。
4. A spacer layer on the strain channel layer,
4. The modulation-doped field effect transistor according to claim 1, wherein a carrier supply layer doped with a conductivity type determining impurity and a cap layer are sequentially formed. 13. The semiconductor device according to claim 1.
【請求項5】 Si基板に形成され、前記Si基板に設けた
ソース領域とドレイン領域との間にSi層からなる歪みチ
ャネル層を有しかつ前記ソース領域とドレイン領域との
間の歪みチャネル層上にゲート絶縁膜を介してゲート電
極を設けて構成される電界効果型トランジスタを有する
半導体装置の製造方法であって、前記Si基板の主面に混
晶半導体層からなる歪み印加層を形成する工程と、前記
歪み印加層の表面から酸素イオンを注入するとともにア
ニールして前記Si基板内にSiO2絶縁層を形成する工程
と、前記歪み印加層上に前記歪みチャネル層を形成する
工程と、前記Si基板の主面側に素子分離絶縁領域を形成
して素子形成領域を形成する工程と、前記素子形成領域
内に前記ゲート電極およびソース領域やドレイン領域を
構成する拡散領域を形成する工程とを有することを特徴
とする半導体装置の製造方法。
5. A strain channel layer formed on a Si substrate and having a Si layer between a source region and a drain region provided on the Si substrate, and a strain channel layer between the source region and the drain region. A method for manufacturing a semiconductor device having a field-effect transistor formed by providing a gate electrode with a gate insulating film interposed therebetween, wherein a strain applying layer made of a mixed crystal semiconductor layer is formed on a main surface of the Si substrate. Forming a SiO 2 insulating layer in the Si substrate by implanting and annealing oxygen ions from the surface of the strain applying layer, and forming the strain channel layer on the strain applying layer, Forming a device isolation region on the main surface side of the Si substrate to form a device formation region, and forming a diffusion region constituting the gate electrode and a source region or a drain region in the device formation region. The method of manufacturing a semiconductor device characterized by a step.
【請求項6】 前記酸素イオンの注入およびアニールの
処理条件を選択し、前記歪み印加層と前記SiO2絶縁層と
の間に前記Si基板の表層部分が残留するように形成する
ことを特徴とする請求項5に記載の半導体装置の製造方
法。
6. The method according to claim 1, wherein said oxygen ion implantation and annealing treatment conditions are selected so that a surface portion of said Si substrate remains between said strain applying layer and said SiO 2 insulating layer. A method for manufacturing a semiconductor device according to claim 5.
【請求項7】 前記酸素イオンの注入およびアニールの
処理条件を選択し、前記歪み印加層の下面に前記SiO2
縁層の上面が一致するように形成することを特徴とする
請求項5に記載の半導体装置の製造方法。
7. The method according to claim 5, wherein the conditions for the implantation and annealing of the oxygen ions are selected so that the upper surface of the SiO 2 insulating layer coincides with the lower surface of the strain applying layer. Of manufacturing a semiconductor device.
【請求項8】 前記歪みチャネル層上にスペーサ層,導
電型決定不純物がドープされたデルタキャリア供給層,
キャップ層を順次形成して変調ドープ型の電界効果型ト
ランジスタを形成することを特徴とする請求項5乃至請
求項7のいずれか1項に記載の半導体装置の製造方法。
8. A spacer layer, a delta carrier supply layer doped with a conductivity type determining impurity, on the strain channel layer,
8. The method of manufacturing a semiconductor device according to claim 5, wherein a capping layer is sequentially formed to form a modulation-doped field effect transistor.
【請求項9】 前記歪み印加層は50〜200nmの厚さに形
成し、前記歪みチャネル層の厚さは10の(3-2x)乗nm程度
以下に形成することを特徴とする請求項5乃至請求項8
のいずれか1項に記載の半導体装置の製造方法。
9. The strain applying layer is formed to a thickness of 50 to 200 nm, and the thickness of the strain channel layer is formed to be about 10 (3−2 ×) nm or less. To claim 8
13. The method for manufacturing a semiconductor device according to claim 1.
【請求項10】 Si基板と、前記Si基板の主面に成長形
成された混晶半導体層と、前記混晶半導体層の表面から
注入された酸素イオンのアニール処理によって形成され
たSiO2絶縁層とからなる半導体基板。
10. A Si substrate, a mixed crystal semiconductor layer grown and formed on a main surface of the Si substrate, and a SiO 2 insulating layer formed by annealing oxygen ions implanted from the surface of the mixed crystal semiconductor layer. A semiconductor substrate comprising:
【請求項11】 前記SiO2絶縁層と前記混晶半導体層と
の間には前記混晶半導体層の厚さ以下のSi基板を構成す
るSi層が存在していることを特徴とする請求項10に記
載の半導体基板。
11. An Si layer constituting a Si substrate having a thickness equal to or less than the thickness of the mixed crystal semiconductor layer exists between the SiO 2 insulating layer and the mixed crystal semiconductor layer. A semiconductor substrate according to claim 10.
【請求項12】 前記混晶半導体層の下面に前記SiO2
縁層の上面が一致していることを特徴とする請求項10
に記載の半導体基板。
12. The SiO 2 insulating layer according to claim 10, wherein a lower surface of said mixed crystal semiconductor layer and an upper surface of said SiO 2 insulating layer coincide with each other.
A semiconductor substrate according to claim 1.
【請求項13】 前記混晶半導体層の厚さは50〜200nm
になっていることを特徴とする請求項10乃至請求項1
2のいずれか1項に記載の半導体基板。
13. The mixed crystal semiconductor layer has a thickness of 50 to 200 nm.
2. The method according to claim 1, wherein
3. The semiconductor substrate according to any one of 2.
【請求項14】 前記混晶半導体層はSi1-xGex(0≦x≦
1)からなっていることを特徴とする請求項10乃至請求
項13のいずれか1項に記載の半導体基板。
14. The mixed crystal semiconductor layer is formed of Si 1-x Ge x (0 ≦ x ≦
The semiconductor substrate according to any one of claims 10 to 13, wherein the semiconductor substrate comprises (1).
【請求項15】 前記請求項10乃至請求項14のいず
れか1項に記載のSi基板の製造方法であって、前記Si基
板の主面に混晶半導体層を成長形成する工程と、前記混
晶半導体層の表面から前記Si基板内に注入分布のピーク
が位置するように酸素イオンを注入する工程と、前記Si
基板をアニールして前記SiO2絶縁層を形成する工程とを
有することを特徴とする半導体基板の製造方法。
15. The method for manufacturing a Si substrate according to claim 10, wherein the step of growing and forming a mixed crystal semiconductor layer on a main surface of the Si substrate comprises: Implanting oxygen ions from the surface of the crystalline semiconductor layer so that the peak of the implantation distribution is located in the Si substrate; and
Forming the SiO 2 insulating layer by annealing the substrate.
JP10197911A 1998-07-14 1998-07-14 Semiconductor device, its manufacture, semiconductor substrate and its manufacture Pending JP2000031491A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10197911A JP2000031491A (en) 1998-07-14 1998-07-14 Semiconductor device, its manufacture, semiconductor substrate and its manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10197911A JP2000031491A (en) 1998-07-14 1998-07-14 Semiconductor device, its manufacture, semiconductor substrate and its manufacture

Publications (1)

Publication Number Publication Date
JP2000031491A true JP2000031491A (en) 2000-01-28

Family

ID=16382331

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10197911A Pending JP2000031491A (en) 1998-07-14 1998-07-14 Semiconductor device, its manufacture, semiconductor substrate and its manufacture

Country Status (1)

Country Link
JP (1) JP2000031491A (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
WO2002071493A2 (en) * 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog
US6593641B1 (en) 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2004512683A (en) * 2000-10-19 2004-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Layer migration of low defect SiGe using etch back method
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7019380B2 (en) 2003-06-20 2006-03-28 Kabushiki Kaisha Toshiba Semiconductor device
JP2006517343A (en) * 2003-01-17 2006-07-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド MOSFET device having tensile strained substrate and method of making the same
JP2007519223A (en) * 2003-08-29 2007-07-12 インターナショナル・ビジネス・マシーンズ・コーポレーション Ultra-fast SI / SIGE modulation doped field effect transistor on ultra-thin SOI / SGOI substrate
JP2007190090A (en) * 2006-01-17 2007-08-02 Pentax Corp Optical system for endoscope and endoscope
JPWO2006011517A1 (en) * 2004-07-30 2008-05-01 Sumco Techxiv株式会社 Semiconductor substrate manufacturing method and semiconductor substrate
US7436046B2 (en) 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
US7557388B2 (en) 2005-04-06 2009-07-07 Samsung Electronics Co., Ltd. MOSFET formed on a strained silicon layer
JP2009272504A (en) * 2008-05-09 2009-11-19 Univ Nagoya Multilayer film structure and forming method thereof
US7829442B2 (en) 2002-08-23 2010-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP2011097062A (en) * 2009-10-30 2011-05-12 Imec Semiconductor element and method of manufacturing the same
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2002013262A3 (en) * 2000-08-07 2002-05-02 Amberwave Systems Corp Gate technology for strained surface channel and strained buried channel mosfet devices
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6583015B2 (en) 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP2004512683A (en) * 2000-10-19 2004-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Layer migration of low defect SiGe using etch back method
US7427773B2 (en) 2000-10-19 2008-09-23 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US7786468B2 (en) 2000-10-19 2010-08-31 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6593641B1 (en) 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071493A3 (en) * 2001-03-02 2002-12-27 Amberwave Systems Corp Relaxed silicon germanium platform for high speed cmos electronics and high speed analog
US8822282B2 (en) 2001-03-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating contact regions for FET incorporating SiGe
WO2002071493A2 (en) * 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes
US7829442B2 (en) 2002-08-23 2010-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP2006517343A (en) * 2003-01-17 2006-07-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド MOSFET device having tensile strained substrate and method of making the same
US7019380B2 (en) 2003-06-20 2006-03-28 Kabushiki Kaisha Toshiba Semiconductor device
JP2007519223A (en) * 2003-08-29 2007-07-12 インターナショナル・ビジネス・マシーンズ・コーポレーション Ultra-fast SI / SIGE modulation doped field effect transistor on ultra-thin SOI / SGOI substrate
JPWO2006011517A1 (en) * 2004-07-30 2008-05-01 Sumco Techxiv株式会社 Semiconductor substrate manufacturing method and semiconductor substrate
US7436046B2 (en) 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
US7868425B2 (en) 2004-10-05 2011-01-11 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US7944024B2 (en) 2004-10-05 2011-05-17 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US7557388B2 (en) 2005-04-06 2009-07-07 Samsung Electronics Co., Ltd. MOSFET formed on a strained silicon layer
US7799648B2 (en) 2005-04-06 2010-09-21 Samsung Electronics Co., Ltd. Method of forming a MOSFET on a strained silicon layer
JP2007190090A (en) * 2006-01-17 2007-08-02 Pentax Corp Optical system for endoscope and endoscope
JP2009272504A (en) * 2008-05-09 2009-11-19 Univ Nagoya Multilayer film structure and forming method thereof
JP2011097062A (en) * 2009-10-30 2011-05-12 Imec Semiconductor element and method of manufacturing the same

Similar Documents

Publication Publication Date Title
US6583000B1 (en) Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
JP4521542B2 (en) Semiconductor device and semiconductor substrate
US6767793B2 (en) Strained fin FETs structure and method
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
JP3512701B2 (en) Semiconductor device and manufacturing method thereof
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
JP2002237590A (en) Mos field effect transistor
JP2000021783A (en) Semiconductor device and its manufacture
JPH09219524A (en) Semiconductor device and its manufacture
JPWO2005122272A1 (en) MIS field effect transistor with strained silicon channel layer
US20030052334A1 (en) Structure and method for a high-speed semiconductor device
WO2011066729A1 (en) Hybrid material inversion-mode cylindrical gate-all-around complementary metal-oxide-semiconductor (cmos) field effect transistor
JPH1012883A (en) Semiconductor device
JP3311940B2 (en) Semiconductor device and manufacturing method thereof
US20050189610A1 (en) Semiconductor device and method of manufacturing the same
JP3875477B2 (en) Semiconductor element
JP3664704B2 (en) Semiconductor device
JP2002270834A (en) Semiconductor device and method of manufacturing the same
JP2005079277A (en) Field effect transistor
Masahara et al. Demonstration of asymmetric gate-oxide thickness four-terminal FinFETs having flexible threshold voltage and good subthreshold slope
JP2002184962A (en) Semiconductor substrate, manufacturing method, and semiconductor device
JP2018107230A (en) Semiconductor device and manufacturing method of the same
JP2002203912A (en) Semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090120