GB2355727A - Atomic layer deposition method - Google Patents

Atomic layer deposition method Download PDF

Info

Publication number
GB2355727A
GB2355727A GB0024571A GB0024571A GB2355727A GB 2355727 A GB2355727 A GB 2355727A GB 0024571 A GB0024571 A GB 0024571A GB 0024571 A GB0024571 A GB 0024571A GB 2355727 A GB2355727 A GB 2355727A
Authority
GB
United Kingdom
Prior art keywords
reactant
film
reaction chamber
injecting
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB0024571A
Other versions
GB2355727B (en
GB0024571D0 (en
Inventor
Yeong-Kwan Kim
Young-Wook Park
Sung-Je Choi
Sang-In Lee
Jae-Soon Lim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020000053415A external-priority patent/KR100343144B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of GB0024571D0 publication Critical patent/GB0024571D0/en
Publication of GB2355727A publication Critical patent/GB2355727A/en
Application granted granted Critical
Publication of GB2355727B publication Critical patent/GB2355727B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

In a method of forming a thin film using an atomic layer deposition (ALD) method, a thin film is formed on a substrate in a cycle of injecting a first reactant including an atom that forms the thin film and a ligand into a reaction chamber that includes the substrate, purging the first reactant, injecting a second reactant into the reaction chamber, and purging the second reactant. The thin film is formed by a chemical reaction between the atom that forms the thin film and a second reactant whose binding energy with respect to the atom that forms the thin film is larger than the binding energy of the ligand with respect to the atom that forms the thin film and the generation of by-products is prevented. The generation of a hydroxide by-product in the thin film is suppressed by using a material that does not include a hydroxide as the second reactant, purging the second reactant, and reacting the second reactant with a third reactant that includes hydroxide. After purging the second reactant, the third reactant for removing impurities and improving the stoichiometry of the thin film is injected and purged. By doing so, it is possible to obtain a thin film, which does not include impurities and whose stoichiometry is excellent. The dangling bond of the surface of the substrate is terminated by injecting oxidising gas before injecting the first reactant when the first reactant is Al(CH<SB>3</SB>)<SB>3</SB> the second reactant is O<SB>3</SB>,O<SB>2</SB>, or N<SB>2</SB>O. When the first reactant is a metal, the second reactant is N<SB>2</SB>O,O<SB>2</SB>,O<SB>3</SB>, or CO<SB>2</SB>, and the third reactant is an oxidising gas.

Description

2355727 METHOD OF FORMING THIN FILM USING ATOMIC LAYER DEPOSITION METHOD
BACKGROUND OF THE INVENTION
I Field of the Invention
The present invention relates to a method of forming a thin film, and more particularly, to a method of forming a thin film. using an atomic layer deposition (ALD) method. 2. Description of the Related Art
In general, a thin film. is used as a dielectric of a semiconductor device, a transparent conductor of a liquid-crystal display, and a protective layer of an electroluminescent thin film display. A thin film may be formed by a sol-gel method, a sputtering method, an electroplating method, an evaporation method, a chemical vapor deposition (CVD) method, or an ALD method.
Among the methods, it is possible to obtain better step coverage by an ALD method than by the CVD method and it is possible to perform low temperature processing by the ALD method. In an ALD method, the thin film is formed by decomposing a reactant not by pyrolysis, but by chemical exchange through periodic supply of the respective reactants. Here, a method of forming an aluminum oxide film that can be used as a dielectric film of a semiconductor device using a conventional ALD method will be described in detail.
FIG. I is a flowchart of the process of forming an aluminum oxide film using a conventional ALD method. FIGS. 2A through 2D describe the reaction mechanism during the formation of the aluminum oxide fihn by the method of FIG. 1.
To be specific, a first reactant A, that is, trimethylaluminum (AI(CH3)3, "TMA") composed of aluminum a, and a methyl ligand a2 is injected into a reaction chamber (not shown), into which a silicon substrate is loaded (step 1). The reaction chamber is purged of an physisorbed first reactant A by injecting an inert gas (step 3). Thus, only the first reactant A which is chemisorbed into a substrate S remains bonded to the substrate S as shown in FIG. 2A.
A second reactant B, water vapor consisting of oxygen b I and a hydrogen radical b2, is injected into a reaction chamber containing the substrate S into which the first reactant A is chemisorbed (step 5). By doing so, the second reactant B is chemisorbed into the first reactant A as shown in FIG. 2B.
The hydrogen radical b2 of the chemisorbed second reactant B moves to the methyl ligand a2 of the first reactant A and the methyl ligand is separated from the first reactant A as shown in FIG. 2C. As shown in the chemical formula I and FIG. 2D, the hydrogen radical b2 of the second reactant B reacts with the methyl ligand a2 of the separated first reactant A and forms a volatile vapor phase material D formed of CH4. An aluminum oxide film C is formed on the substrate S by the reaction between aluminum a, of the first reactant A and 2-41(CH3)3 + 3 H2 0 --> A1,2 03 + 6 CH4 hydrogen bi of the second reactant B. The volatile vapor phase material D formed of CH4 and the un-reacted vapor are removed by purging the reaction chamber of the volatile vapor phase material D formed of CH4 and the vapor by injecting inert gas (step 7). It is checked whether the aluminum oxide film is formed to an appropriate thickness (step 9) and the steps I through 7 are cyclically repeated if necessary.
in a conventional ALD method, since the methyl. ligand a2 is removed by the movement of the hydrogen radical b2, sub-reaction occurs producing an OH radical that remains according to the movement of the hydrogen radical b2, as described in the chemical 41(CH3)3 + 3 H2 0 --> AIPH).; + 3 CH4 formula 2.
When the sub-reaction occurs, undesired impurities such as AI(OM3 are included in the aluminum oxide film C. When impurities such as AI(OH)3 are included, it is not possible to obtain desired thin film characteristics. In particular, when an aluminum oxide film including AI(OH)3 is used as a dielectric film of a semiconductor device, the aluminum oxide film including AI(OH)3 operates as a trap site for electrons or a current leakage site, thus deteriorating the characteristics of the dielectric film.
2 SUMMARY OF THE RWENTION
It is an object of tile present invention to provide a method of forming a high purity thin film by suppressing the formation of undesired impurities when an atomic layer deposition (ALD) method is used.
To achieve the above ob ect, in a method of forming a thin film using an atomic layer j deposition (ALD) method according to an embodiment of the present invention, a first reactant including an atom that forms the thin film and a ligand is injected into a reaction chamber that comprises a substrate so that the first reactant is chemisorbed into the substrate. Any first reactant which is only physisorbed into the substrate is removed by purging the reaction chamber with inert gas. A thin film in units of atomic layers is formed by a chemical reaction between the atom that forms the thin film and a second reactant whose binding energy with respect to the atom that forms the thin film is larger than the binding energy of the ligand with respect to the atom that forms the thin film by injecting the second reactant into the reaction chamber and the ligand is removed without generating by-products.
According to the present invention, the ligand of the first reactant A is separated by the difference in binding energy without the movement of a radical from the second reactant B to the first reactant A. A volatile vapor phase material is formed by the combination of ligands and the vapor phase material is purged. Accordingly, since it is possible to reduce the impurities generated in the thin film by a sub-reaction without the movement of the radical, it is possible to obtain a high purity thin film.
In a method of forming a thin film using an ALD method according to another embodiment of the present invention, any first reactant which is only physisorbed is removed by chernisorbing the first reactant into the substrate and purging the reaction chamber with inert gas. The chemisorbed first reactant is chemically exchanged to form a metal-oxygen atomic layer film by injecting a second reactant which does not contain a hydroxide into the reaction chamber. The physisorbed second reactant is removed by purging the reaction chamber with inert gas. A metal oxide film in units of atomic layers is formed while the generation of a hydroxide is prevented by injecting a third reactant into the reaction chamber, thus chemically exchanging the remaining chemisorbed first reactant to further contribute to the formation of the metal-oxygen atomic layer. After injecting the third reactant into the reaction chamber, a fourth reactant such as ozone gas for removing impurities and improving 3 the stoichiometry of the metal oxide film can be injected into the reaction chamber and the reaction chamber can be purged with inert gas.
It is preferable that the first reactant is a metal reactant, that the second reactant which does not contain a hydroxide is N20, 02, 03, or C02, and that the third reactant is oxidizing gas. The temperature of the reaction chamber is preferably maintained to be between 100 and 4000C from the step of injecting the first reactant to the step of injecting the third reactant. The dangling bond of the surface of the substrate can be terminated by injecting oxidizing gas before injecting the first reactant, when the substrate is a silicon substrate.
In a method of forming a thin film using an ALD method according to another embodiment, any first reactant which is only physisorbed into the substrate is removed by chemisorbing the first reactant into the substrate and purging the reaction chamber with inert gas. A thin film in units of atomic layers is formed by injecting a second reactant into the reaction chamber and chemically exchanging the first reactant to further contribute to the formation of the second reactant. A third, reactant for removing impurities and improving the stoichiometry of the thin film is injected into the reaction chamber in which the thin film is formed after removing any physisorbed second reactant by purging the reaction chamber with inert gas.
It is preferable that the first reactant is a metal reactant and that the second and third reactants are oxidizing gases. It is preferable that the first reactant is a metal reactant and that the second and third reactants are nitriding gases. The dangling bond of the surface of the substrate can be terminated by injecting oxidizing gas or nitriding gas before injecting the first reactant, when the substrate is a silicon substrate. The temperature of the reaction chamber is maintained to be between 100 and 400C from the step of injecting the first reactant to the step of injecting the third reactant. According to the method of forming the atomic layer thin film of the present invention, it is possible to prevent or suppress the formation of an undesired by-product such as hydroxide, to thus obtain a high purity thin film.
4 BRIEF DESCRIPTION OF THE DRAWINGS
The above object and advantages of the present invention will become more apparent by describing in detail a preferred embodiment thereof with reference to the attached drawings in which:
FIG. I is a flowchart of the process of forming an aluminum oxide film using a conventional atomic layer deposition (ALD) method; FIGS. 2A through 2D illustrate the reaction mechanism during the formation of the aluminum oxide film of FIG. 1; FIG. 3 schematically illustrates an apparatus for forming an atomic layer thin film by an ALD method according to the present invention; FIGS. 4A through 4D illustrate the reaction mechanism of a method of forming a thin film using an ALD method according to a first embodiment of the present invention; FIG. 5 is a flowchart of the process of forming an aluminum oxide film according to the first embodiment of the present invention; FIGS. 6A through 6D illustrate the reaction mechanism when the aluminum oxide film is formed using the ALD method of FIG. 5; FIGS. 7 and 8 are graphs showing residual gas analysis (RGA) data when the aluminum oxide film is formed by the conventional technology and the first embodiment of the present invention, respectively; FIG. 9 is a graph showing the thickness of the aluminum oxide film according to the number of cycles when the aluminum oxide film is formed by the conventional technology and the first embodiment of the present invention; FIG. 10 is a graph showing stress hysteresis according to the temperature of aluminum oxide films formed by the conventional technology and the first embodiment of the present invention; FIG. I I is a graph showing a percentage of contraction of thickness according to the post-annealing conditions of aluminum oxide films formed by the conventional technology and the first embodiment of the present invention; RGS. 12 and 13 are graphs showing absorption constants and indices of refraction of aluminum oxide films formed by the conventional technology and the first embodiment of the present invention according to wavelength; FIG. 14 is a graph showing wet etch rates of aluminum oxide films formed by the conventional technology and the first embodiment of the present invention according to postannealing temperature and the atmosphere gas; FIG. 15 is a sectional view showing the structure of a capacitor of a semiconductor device, for which a dielectric film formed by the first embodiment of the present invention is used; FIG. 16 is a sectional view showing the structure of a transistor of a semiconductor device, for which a dielectric film formed by the first embodiment of the present invention is used; FIG. 17 is a graph illustrating the leakage curTent characteristics of a conventional capacitor and a SIS capacitor, for which a dielectric film formed by the first embodiment of the present invention is used, according to applied voltage; FIG. 18 is a graph showing the takeoff voltage of the SIS capacitor, for which a dielectric film formed by the first embodiment of the present invention is used, according to the thickness of an equivalent oxide film; FIG. 19 is a graph showing the leakage current characteristic of a NUS capacitor, for which a dielectric film. formed by the first embodiment of the present invention is used, according to applied voltage; FIG. 20 is a graph for comparing the leakage current characteristic of the MIS capacitor, for which a dielectric fihn formed by the first embodiment of the present invention is used, with the leakage current characteristic of a conventional capacitor; FIGS. 21 A and 21B are graphs showing leakage current characteristics according to applied voltage when the aluminum oxide films according to the conventional technology and the first embodiment of the present invention are used as capping films of a MM capacitor; FIG. 22 is a flowchart of a second embodiment of the method of forming a thin filin using an ALD method according to the present invention; FIGS. 23A through 23D illustrate a combination relationship between reactants adsorbed on a substrate when an aluminum oxide film is formed by a method of forming a thin film using an ALD method according to the second embodiment of the present invention; FIG. 24 is an x-ray photoelectron spectroscopy (XPS) graph of the aluminum oxide film formed by a conventional ALD method; 6 FIGS. 25A and 25B are graphs for showing the leakage current characteristics of aluminum oxide films manufactured by the conventional method and the second embodiment of the present invention, respectively; FIG. 26 is a flowchart of a method of forming a thin film using an ALD method according to a third embodiment of the present invention; FIG. 27 is a timing diagram showing the supply of reactants during the formation of a thin film using an ALD method according to the third embodiment of the present invention; FIG. 28 is a graph showing the thickness of an aluminum oxide film manufactured by the method of forming an atomic layer thin film according to the third embodiment of the present invention as a function of the number of times the steps of the method are repeated; . -FIG. 29 is a graph for showing the uniformity of an aluminum oxide film manufactured by the method of forming an atomic layer thin film according to the third embodiment of the present invention; FIGS. 30A and 30B are graphs for analyzing the aluminum peaks of aluminum oxide films manufactured by the conventional technology and the method of forming an atomic layer thin film. according to the third embodiment of the present invention, respectively, using XPS; FIGS. 3 1 A and 3 1 B are graphs for analyzing the carbon peaks of aluminum oxide films manufactured by the conventional technology and the method of forming a thin film using an ALD method according to the third embodiment of the present invention, respectively, using XPS; and FIG. 32 is a flowchart of a method of forming an atomic layer thin film according to a fourth embodiment of the present invention.
DESCREPTION OF THE PREFERRED EMBODIMENTS The present invention now will be described more fully with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. In the drawings, the thickness of layers and regions are exaggerated for clarity. It will also be understood that when a layer is referred to as being
7 "on" another layer or substrate, it can be directly on the other layer or substrate, or interve i g layers may also be present. The same reference numerals in different drawings represent the same elements, and elements will only be described once.
FIG. 3 illustrates an apparatus for forming an atomic layer thin film using an atomic layer deposition (ALD) method according to the present invention. The apparatus includes a reaction chamber 11 that can be heated by an external heater (not shown), a susceptor 13 installed at the bottom of the reaction chamber 11 to support a substrate 15, for example, a silicon substrate thereon, a shower head 17 installed above the susceptor 13 so that reaction gas may be injected into the reaction chamber 11, and a vacuum pump 19 connected to the reaction chamber I I in order to control the pressure inside the reaction chamber 11.
Two gas inlets A and B, which are separated from each other, are connected to the shower head 17. A first reactant, inert gas, a second reactant, and a third reactant can be injected into the shower head 17. The fn-st reactant is a metal reactant. The Inert gas is nitrogen gas or argon gas. The second reactant is oxidizing gas which does not contain a hydroxide, for example, N20, 02, 03, or C02 gas or water vapor. The third reactant is water vapor or a material that includes an oxygen radical as an activated oxidizing agent, such as ozone, 02 plasma or N20 plasma. In FIG. 3, the second reactant and the third reactant are installed separately, however, they can be installed together.
The first reactant and inert gas are injected into the reaction chamber 11 through the gas inlet A. The second reactant and the third reactant are injected into the reaction chamber I I through the gas inlet B. The first reactant, the second reactant, and the third reactant have different gas inlets in order to prevent them from reacting with each other inside a gas inlet. The injection of the fn-st reactant and inert gas into the reaction chamber I I is controlled by a first valve V1 and a second valve V2. The injection of the second reactant and the third reactant into the reaction chamber 11 is controlled by a third valve V3 and a fourth valve V4.
Various embodiments of a method according to the present invention of forming an atomic layer thin film using the above-described apparatus will now be described.
First Embodiment FIGS. 4A through 4D illustrate the reaction mechanism of a method of forming a thin film using an ALD method according to a first embodiment of the present invention. After a first reactant A, consisting of an atom a, that forms a thin film and a ligand a2, is chemisorbed into a substrate 15, for example, a silicon substrate, by injecting the first reactant A into a reaction chamber 11 into which the substrate is loaded, the physisorbed first reactant A is removed by purging the reaction chamber by injecting the inert gas (FIG. 4A).
A second reactant B is injected into the reaction chamber I I containing the substrate into which the first reactant A is adsorbed. By doing so, the second reactant B is chemisorbed into the first reactant A. An imperfect material that actively reacts with the first reactant A is used as the second reactant B. A material, in which the binding energy between the second reactant B and the thin film forming atom al of the first reactant A is larger than the binding energy between the thin film forming atom a, of the first reactant A and the ligand a2, is used as the second reactant B (FIG. 4B).
Since the binding energy between the second reactant B and the thin film forming atom a, of the first reactant A is larger than the binding energy between the thin film fo atom a, of the first reactant A and the ligand a2, the second reactant B is combined with the thin film forming atom a, of the first reactant A and the ligand a2 is separated from the first reactant A (FIG. 4C).
Since the ligand a2 separated from the first reactant A is unstable, a volatile vapor phase material D is formed by combination of the ligands a2. A thin film C in units of atomic layers is formed on the substrate 15 by a reaction between the thin film forming atom a, of the first reactant A and the second reactant B. The volatile vapor phase material D is removed by purging with the inert gas (FIG. 4D).
A case where the method of forming a thin film using a difference in binding energy, which is described in FIGS. 4A through 41), is applied to forming an aluminum oxide film will be taken as an example.
FIG. 5 is a flowchart of the process of forming an aluminum oxide film according to a fu-st embodiment of the present invention. FIGS. 6A through 6D illustrate the reaction mechanism when the aluminum oxide film is formed using the ALD method of FIG. 5. The first reactant A such as trimethyl aluminum (AI(CH3)3, TMA) consisting of thin film forming aluminum a, and a methyl ligand a2 is injected into the reaction chamber 11, into which the substrate 15, for example, a silicon substrate, is loaded (step 101). The physically adsorbed TMA is removed by purging with inert gas (step 103). By doing so, only TMA that is chernisorbed into the substrate 15 as shown in FIG. 6A remains.
9 The second reactant such as ozone B that is an oxidizing agent is injected into the reaction chamber 11, into which the TMA is adsorbed (step 105). By doing so, ozone B is chemisorbed into aluminum a, of the TMA as shown in FIG. 6B.
Ozone B is an imperfect material that actively reacts with TMA. The binding energy between ozone B and aluminum a, of the TMA is about 540 W/mol, which is larger than the binding energy between aluminum a, of the TMA and the methyl ligand a2 (for example, AlC binding energy) which is 255 W/mol. Since the binding energy between ozone B and thin film forming aluminum al of the TMA is larger than the binding energy between thin film forming aluminum a, of the TMA and the methyl ligand a2, the methyl ligand a2 is separated from the TMA as shown in FIG. 6C.
Also, since the methyl ligand a2 separated from the TMA is unstable, a volatile vapor phase material D formed Of C21-16 is formed by the combination of the methyl ligands; a2 as shown in FIG. 6D. An aluminum oxide film C in units of atomic layers is formed on the substrate 15 by the reaction between thin film forming aluminum a, of the TMA and ozone B 2A'(CH3)3 + 03 -+ A12 03 + 3 C2 H6 as shown in the chemical formula 3.
The volatile vapor phase material D formed Of C21-16 and the un-reacted methyl ligands a2 are removed by purging the reaction chamber a second time with the inert gas (step 107). It is checked whether the aluminum oxide film is formed to an appropriate thickness (step 109) and the steps 10 1 through 107 are cyclically repeated if necessary.
Ozone is used as the second reactant in the present embodiment. However, ozone can be activated more using ultraviolet (UV) rays, or 02 plasma or N20 plasma can be used as the TAM + 02 (activated) _ 4,11(CH3)3 + 3 02 -+ A12 03 + 6 C2 H6 activated oxidizing agent instead of ozone as shown in the chemical formula 4.
FIGS. 7 and 8 are graphs showing residual gas analysis (RGA) data when an aluminum oxide film is formed by the conventional technology and the first embodiment of the present invention, respectively. In FIGS. 7 and 8, the aluminum oxide film is formed in the sections marked with arrows.
Since the form of the removed ligand varies according to the mechanism with which the second reactant B reacts with the first reactant A as mentioned above, the material generated during a process varies. Namely, when the TMA and water vapor (H20) are used as the first reactant A and the second reactant B, respectively, as in the case for FIG. 7, CH' and CH', which are formed by receiving a hydrogen radical from water vapor (H20), are detected as the main by-products. When the TMA and ozone are used as the first reactant A and the second reactant B, respectively, as is the case for FIG. 8, CH3 ligands are remov4 and thus C2 Hj+ or C2 H+6 is detected as a main by-product.
FIG. 9 is a graph showing the thickness of the aluminum oxide film according to the number of cycles when the aluminum oxide film is formed by the conventional technology and the first embodiment of the present invention. The thickness of a deposited thin film is determined by the number of supply cycles of each reactant since an atomic layer deposition (ALD) method is a surface controlling process. Namely, when the thickness increases linearly with the number of cycles, it means that the thin film is formed by an ALD method. As shown in FIG. 9, since the thickness linearly increases in the conventional technology and in the present invention, it is noted that the thin film is formed by an ALD method.
The difference in latent cycles between the conventional technology (marked with 0), in which water vapor is used as the second reactant B, and the present invention (marked with 0), in which ozone is used as the second reactant B is shown. Namely, the thin film is deposited from an initial cycle without a latent cycle in the present invention (marked with 0). However, the thin film. is deposited after the lapse of a latent period of 12 cycles in the conventional technology (marked with 0). From this, it is noted that the aluminum oxide film is more stably formed in the present invention since the thin fibn is formed by a heterogeneous reaction.
FIG. 10 is a graph showing the stress hysteresis according to the temperature of aluminum oxide films formed by the conventional technology and the first embodiment of the present invention.
To be specific, in the stress hysteresis (marked with 0) of the conventional aluminum oxide film formed by using TMA as the first reactant A and using water vapor as the second reactant B, the form of stress changes from tensile stress into compression stress at 450C. Meanwhile, in the stress hysteresis (marked with 0) of the aluminum oxide film according to the present invention, which is formed by using the TMA and ozone as the first reactant A I I and the second reactant B, respectively, the form of stress is tensile stress throughout the entire temperature range, that is, a stress mode does not change. Accordingly, it is noted that the film formed according to the present invention is more stable against heat.
FIG. I I is a graph showing a percentage of contraction of thickness according to the post-annealing conditions of the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention. On the X axis, N450, N750, and N830 are samples post annealed in nitrogen atmospheres at 450C, 750C, and 830'C, respectively. 0450, 0750, and 0830 are samples post armealed in oxygen atmospheres at 4500C, 7500C, and 830C, respectively. RTO is a sample, on which rapid thermal oxidation is performed at 8500C. It is noted that the percentage of thickness contraction (the decreasing rate of thickness) according to the temperature and gas conditions of the post-annealing in the aluminum oxide films does not significantly vary according to whether the films were formed by the conventional technology or the first embodiment of the present invention.
FIGS. 12 and 13 are graphs showing absorption constants and indices of refraction of the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention according to wavelength. The absorption constants of the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention are less than 0.005 for wavelengths of 180 through 900 run as shown in FIG. 12. T-bat is, the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention show excellent transparency. The indices of refraction of the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention do not significantly vary for wavelengths of 180 through 900 run as shown in FIG. 13.
FIG. 14 is a graph showing wet etch rates of aluminum oxide films; formed by the conventional technology and the first embodiment of the present invention according to postannealing temperature and the atmosphere gas. On the X axis, as-dep is a sample that is not annealed after being deposited on the substrate. N450, N750, and N830 are sample that are post- annealed in nitrogen atmospheres at 450'C, 750'C, and 830C. 0450, 0750, and 0830 are samples that are post-annealed in oxygen atmospheres at 450'C, 750C, and 830C. RTP is a sample that underwent rapid thermal oxidation in an oxygen atmosphere at 8500C. The Y axis denotes etch rateswhen the respective samples are wet etched by a 200:1 HF solution.
12 As shown in FIG. 14, in the aluminum oxide films formed by the conventional technology and the first embodiment of the present invention, wet etch rates are reduced as annealing temperatures increase regardless of annealing conditions. In particular, when postannealing is performed at temperatures higher than 800C, the etch rate is rapidly reduced to 2 through 3 A/min. Also, when post-annealing is performed at temperatures lower thari 800 OC, the etch rate of the aluminum oxide film according to the first embodiment of the present invention is lower than the etch rate of the aluminum oxide film according to the conventional technology by about 30%. From this, it is noted that the oxide film is more chemically stable when ozone is used as an oxidizing gas than when water vapor is used as the oxidizing gas.
A case where the aluminum oxide film formed by the first embodiment of the present invention is used for a semiconductor device, will now be described.
FIG. 15 is a sectional view showing the structure of a capacitor of a semiconductor device, for which a dielectric film formed by the first embodiment of the present invention is used. The capacitor of the semiconductor device,. for which the dielectric film formed by the first embodiment of the present invention is used, includes a lower electrode 205 formed on a substrate 201, for example, a silicon substrate, a dielectric film 207, and an upper electrode 209. In FIG. 15, reference numerals 203 and 211 denote an interlayer dielectric film and a capping layer formed on the upper electrode of the capacitor, respectively.
Hereinafter, a capacitor, in which the upper electrode 209 and the lower electrode 205 are formed of a polysilicon film doped with impurities and the dielectric film 207 is formed of an aluminum oxide film formed by the first embodiment of the present invention, is referred to as an "SIS capacitor". A capacitor, in which the lower electrode 205 is formed of a polysilicon film doped with the impurities, the dielectric film 207 is formed of an aluminum oxide film formed by the first embodiment of the present invention, and the upper electrode 209 is formed of a TiN film, is referred to as a "NUS capacitor". A capacitor, in which the upper electrode 209 and the lower electrode 205 are formed of noble metals of the platinum group, such as Pt and Ru, and the dielectric film 207 is formed of an insulating film such as a TaO film or BST(BaSrTi03), is referred to as a "NDM capacitor".
FIG. 16 is a sectional view showing the structure of a transistor of a semiconductor device, for which a dielectric film formed by the first embodiment of the present invention is used. The semiconductor device, for which the dielectric film according to the first 13 embodiment of the present invention is used includes a silicon substrate 301 doped with impurities such as phosphorus, arsenic, boron, which operates as a first electrode, a gate insulating film 305, which operates as a dielectric film, and a gate electrode 307, which operates as a second electrode. In FIG. 2, reference numeral 303 denotes source and drain regions, which are impurity doped regions.
When the structure of the transistor of the semiconductor device according to the present invention is compared with the structure of the capacitor of the semiconductor device according to the present invention, the silicon substrate 301 and the gate electrode 307 correspond to the lower electrode and the upper electrode, respectively. The gate insulating film 305 corresponds to the dielectric film. of the capacitor.
The insulating characteristics of the dielectric film will now be described with reference to the structure of the capacitor for convenience of explanation, however, the same treatment applies to the transistor.
FIG. 17 is a graph illustrating the leakage current characteristics of a conventional capacitor and a SIS capacitor, for which the dielectric film formed by the first embodiment of the present invention is used, according to applied voltage.
To be specific, the SIS capacitor according to the present invention (marked with 0) is the same as the conventional capacitor (marked with 0), except that the method of forming the dielectric film of the SIS capacitor is different from the method of forming the dielectric film of the conventional capacitor. As shown in FIG. 17, the SIS capacitor according to the present invention (0) shows a take off voltage larger than the take off voltage of the conventional capacitor (0) at a leakage current density that can be allowed in a capacitor of a common semiconductor device, that is, I E-7A/cm2. Therefore, since the thickness of the dielectric film can be reduced at a certain leakage current value in the SIS capacitor according to the present invention (0), the SIS capacitor according to the present invention (0) is advantageous for increasing the degree of integration of the semiconductor device.
FIG. 18 is a graph showing the takeoff voltage of the SIS capacitor, in which the dielectric film formed by the first embodiment of the present invention is used, according to the thickness of an equivalent oxide film. Since the SIS capacitor according to the present invention shows stable insulating characteristics until the thickness of the equivalent oxide film. is 35A, the takeoff voltage is not significantly reduced. When the thickness of the 14 equivalent oxide film is less than 35A, the takeoff voltage is rapidly reduced, and thus the insulating characteristics deteriorate.
FIG. 19 is a graph for showing the leakage current characteristic of a MIS capacitor, for which the dielectric film formed by the first embodiment of the present invention is used, according to applied voltages. As a common reference value, when a leakage curTent density is I E-7 and a voltage is 1.2V, the thickness of the equivalent oxide film can be 26.5A in the case of the NHS capacitor according to the present invention. When the thickness of the equivalent oxide film is reduced, it is very advantageous for increasing the degree of integration of the semiconductor device.
FIG. 20 is a graph for comparing the leakage current characteristic of the MIS capacitor, for which the dielectric film formed by the first embodiment of the present invention is used, with the leakage current characteristic of the conventional capacitor. The conventional capacitor is the same as the NUS capacitor according to the present invention, excluding that the dielectric film of the conventional capacitor is different from the dielectric fihn of the N11S capacitor. As shown in FIG. 20, an applied voltage in the MIS capacitor, for which the aluminum oxide film according to the first embodiment of the present invention is used, is larger than an applied voltage in the conventional capacitor, in which a TaO film or a NO film is used as the dielectric film, in the leakage current value of I fA per a cell. That is to say, the leakage current characteristic of the NIIS capacitor according to the present invention is better, even in a thin equivalent oxide film, than the leakage current characteristic of the conventional capacitor. In FIG. 20, numbers in parentheses denote the thicknesses of the dielectric films.
FIGS. 2 1 A and 2 1 B are graphs showing leakage curTent characteristics according to applied voltage when the aluminum oxide films according to the conventional technology and the first embodiment of the present invention are used as the capping films of a N11M capacitor. In FIGS, 21A and 21B, "E" denotes the MIM capacitor when the capping fihn is not used. In FIG. 21A, "0" denotes a case where the aluminum oxide film is formed to be the capping film according to the conventional technology. "V" denotes a case where the aluminum oxide film formed to be the capping film is hydrogen annealed at 400C. In FIG. 21B, "0" denotes a case where the aluminum oxide film is formed to be the capping film according to the first embodiment of the present invention. "A" denotes a case where the aluminum oxide film formed to be the capping film is hydrogen annealed at 400T. "T' denotes a case where the aluminum oxide film formed to be the capping film is nitrogen annealed at 700C.
In general, when the MIM capacitor is used for a semiconductor device, the dielectric film deteriorates during the hydrogen annealing, which is performed in a successive alloy process. Accordingly, the capping film that operates as a hydrogen barrier is formed on the MIN4 capacitor. As shown in FIG. 2 1 A, when the aluminum oxide film formed by the first embodiment of the present invention is used as the capping film, the leakage current characteristic does not deteriorate since a barrier characteristic is excellent after the successive hydrogen annealing processes are performed. However, when the aluminum oxide film formed by the conventional technology is used as the capping film as shown in FIG. 2 1 B, hydrogen of water vapor and an OH ligand deteriorate the leakage current characteristic of the MIM capacitor during the deposition process.
Second Embodiment FIG. 22 is a flowchart of a second embodiment of the method of forming a thin film using an ALD method according to the present invention. A termination treatment of combining the dangling bond of the substrate 15 with oxygen is performed by oxygen flushing the substrate (15 of FIG. 3), for example, the silicon substrate, with oxidizing gas (step 2 1). That is, at any sites where oxygen can be bonded to the substrate 15, oxygen is bonded to the substrate by oxygen flushing the substrate (15 of FIG. 3), for example, the silicon substrate with oxidizing gas. The dangling bond can be combined with oxygen, that is, oxygen can be bonded to the substrate at any available sites, not only by performing the oxygen flushing, but also by performing ozone cleaning and forming a silicon oxide film. Also, the oxygen flushing may not be performed on the substrate 15.
After loading the substrate 15 into the reaction chamber (I I of FIG. 3), the processing temperature of the reaction chamber I I is maintained to be between 100 and 400C, preferably between 300 and 350C, and the processing pressure of the reaction chamber I I is maintained to be between I and 10,000 mTorr, using a heater (not shown) (step 23). The processing temperature and the processing pressure are maintained in the successive steps, however, they can be changed, if necessary.
The first reactant such as trimethyl aluminum (AI(CH3)3: TMA) is injected into the reaction chamber I I through the gas inlet A and the shower head 17 for a long enough time to 16 cover the surface of the substrate, for example, between I msecond and 10 seconds, by opening the first valve Vl, while the processing temperature and the processing pressure are maintained (step 25). By doing so, the first reactant is chemisorbed into the oxygen flushed silicon substrate.
The reaction chamber I I is purged with inert gas such as argon for between 0. 1 and 100 seconds by selectively opening the second valve V2 while the processing temperature and the processing pressure are maintained (step 27). By doing so, the first reactant which is only physically deposited on the substrate 15 is removed.
The second reactant, for example, oxidizing gas which does not contain a hydroxide is injected into the reaction chamber I I through the shower head 17 by opening the third valve (V3) while the processing temperature and the processing pressure are maintained (step 29). N20, 02, 03, or C02 gas can be used as the second reactant. By doing so, the chemisorbed first reactant reacts with the second reactant. Accordingly, the first reactant is chemically exchanged to form a metal-oxygen atomic layer film. The second reactant does not fully react with the first reactant. However, it is possible to form the metal-oxygen atomic layer without generating a hydroxide in a metal oxide film as described later on.
Unnecessary reactants are removed by purging the reaction chamber 11 with inert gas a second time for between 0. 1 and 100 seconds while the processing temperature and the processing pressure are maintained (step 3 1).
Tlie third reactant, for example, an oxide such as water vapor is injected into the reaction chamber 11 through the shower head 17 for a long enough time to cover the surface of the substrate, for example, between 1 msecond and 10 seconds, by opening a fourth valve V4 (step 33). By doing so, since the third reactant more actively reacts with the first reactant than the second reactant does, the first reactant which did not react with the second reactant, reacts with the third reactant and is chemically exchanged to further contribute to the formation of the metal- oxygen atomic layer film. At this time, since the available amount of the first reactant is reduced by previously reacting the second reactant, which does not contain a hydroxide, with the first reactant, a metal oxide film in units of atomic layers in which the generation of a hydroxide is prevented is formed.
In the present embodiment, an aluminum oxide film (AI203) is an example of the metal oxide film. However, a TiO2 film, a ZrO2 film, a HfO2 film, a Ta2O5 film, a Nb205 film, a CeO2 film, a Y203 film, a SiO2 film, a In. 203 film, a RuO2 film, a IrO2 film, a SM03 17 film, a PbTi03 film, a SrRU03 film, a CaRu03 film, a (Ba'Sr)Ti03 film, a Pb(Zr,,Ti)03 film, a (Pb,La)(Zr,Ti)03 film, a (Sr,Ca)Ru03 film, a (BaSr)Ru03 film, a In2OAITO) film doped with Sn, and a 1203 film doped with Zr are other examples of metal oxide films which can be created according to the present invention.
One cycle in which the metal oxide film in units of atomic layers is formed by removing the unnecessary reactants by purging the reaction chamber I I with inert gas for between 0. 1 seconds and 100 seconds while the processing temperature and pressure are maintained, is completed (step 35). It is possible to prevent the third reactant from reacting with the first reactant to the highest degree by Rirther performing a step of injecting and purging the second reactant which does not contain a hydroxide after purging the reaction chamber the third time.
Tben, it is checked whether the thickness of the metal oxide film. formed on the substrate is appropriate, for example, between 10 A and 1,000 A (step 37). When the thickness of the metal oxide film is appropriate, the step of forming the metal oxide film is completed. When the metal oxide film is not thick enough, the steps from the step of injecting the first reactant into the reaction chamber to the step of purging the reaction chamber a third time. (step 3 5) are cyclically repeated.
FIGS. 23A through 23D illustrate the combination relationship between reactants adsorbed on a substrate when an the aluminum oxide film is formed by a method of forming a thin film. using an ALD method according to a second embodiment of the present invention. The substrate 15, for example, the silicon substrate, is oxygen flushed, thus combining the dangling bond of the substrate 15 with oxygen, as shown in FIG. 23A. That is, therefore, at any sites where oxygen can be bonded to the substrate 15, oxygen is bonded to the surface of the substrate as shown in FIG. 23A. The substrate 15 may not be oxygen flushed, if it is not necessary.
After injecting trimethyl aluminum (AI(CH3)3) which is the first reactant into the reaction chamber whose processing temperature is maintained to be between 100 and 4000C and whose processing pressure is maintained to be between I and 10,000 mTorr, the reaction chamber is purged with argon gas. By doing so, only the first reactant which is chemisorbed into the oxygen flushed substrate remains as shown in FIG. 6. Namely, various forms of bonds such as Si-O, Si-O-CH3, and Si-O-AI-CH3 are formed on the silicon substrate.
18 The second reactant which does not include a hydroxide such as N20, 02, 03, or C02 is injected into the reaction chamber 11. For example, when N20 is used as the second 2AI(CH3)3 + 3 N2 0 _+ A12 03 + AI(CH3)3 + 3 C2 H6 + 3 N2 reactant, the reaction proceeds as follows.
As shown in the chemical formula 1, when N20 which does not contain a hydroxide is injected into trimethyl aluminum, trimethyl aluminum is consumed and A1203 is formed. That is to say, the chemisorbed first reactant reacts with the second reactant. Accordingly, the first reactant is chemically exchanged to finther contribute to the formation of the metaloxygen atomic layer film as shown in FIG. 23C. Namely, bonds of the form Si-O-Al-O are formed on the silicon substrate.
After injecting the third reactant, such as water vapor, into the reaction chamber, the reaction chamber is purged with argon gas. By doing so, the first reactant which did not react with the second reactant, reacts with the third reactant and is changed to form the metaloxygen atomic layer as shown in FIG. 23D. At this time, the metal-oxide film in units of atomic layers, in which generation of hydroxide is inhibited, is formed since the available amount of the first reactant is reduced by previously reacting the second reactant, which does not include a hydroxide, with the first reactant.
The way in which the aluminum oxide film in units of atomic layers, in which the absolute amount of a hydroxide is small, is formed will now be described in detail.
The inventors discovered that the undesired by-product AI(OH)3 is contained in the aluminum oxide fihn by the reaction represented by chemical formula 2, when the aluminum oxide filin is formed by a conventional ALD method. In order to look for the by-product AI(OH)3, the present inventors performed an x-ray photoelectron spectroscopy (XPS) analysis of the aluminum oxide film formed by the conventional ALD method.
FIG. 24 is an x-ray photoelectron spectroscopy (XPS) graph of an aluminum oxide filin formed by the conventional ALD method. In FIG. 24, the X axis denotes binding energy and the Y axis denotes the electron count in arbitrary units.
It is noted that the right side of the curve b is a little wider than the right side of the curve a when the curves overlap each other centering around 53 5. 1 eV in the aluminum oxide film peak formed by the conventional ALD method. That is to say, the aluminum oxide film formed by the conventional ALD method shows a graph (b) having a width wider than a 19 graph (a) of a pure alurninurn oxide filin since AI(OH)3 is contained in the fihn formed by the conventional method.
Considering the above, when trimethyl aluminum directly reacts with water vapor like in the conventional technology, a large amount of AI(OH)3, which contains a hydroxide, is created by the reaction represented by chemical formula 2. Therefore, in order to reduce the amount of AI(OH)3, the absolute amount of the trimethyl aluminum which reacts with water vapor must be reduced. In the present invention, since the absolute amount of trimethyl aluminum is reduced by reacting trimethyl aluminum with N20, which does not contain hydroxide, and then reacting the remaining un-reacted trimethyl aluminum with water vapor, the aluminum oxide film in units of atomic layers is formed with a small absolute amount of a hydroxide.
FIGS. 25A and 25B are graphs showing the leakage current characteristics of aluminum oxide films manufactured by the conventional method and the second embodiment of the present invention, respectively. The leakage current characteristics are investigated by applying the aluminum oxide filin to a capacitor. A polysilicon film is used as the lower electrode and as the upper electrode of the capacitor. In FIGS. 25A and 25B, first curves a and c denote results of measuring the amount of current for a cell, which flows through a dielectric film, when the lower electrode is connected to ground and a voltage between 0 and 5 V is applied to the upper electrode. Second curves b and d denote results of measuring the amount of current for a cell, which flows through the dielectric film, under the same conditions that the first measurement was performed under, after the first measurement. As shown in FIG. 2513, when the aluminum oxide film formed by the present invention is used as the dielectric film, the leakage current is smaller at a given voltage, for example, 2 V, compared with the conventional case of FIG. 25A at the same voltage and the distance between the first curve and the second curve is short. Accordingly, it is noted that leakage current characteristics are improved by the present invention.
Third Embodiment FIG. 26 is a flowchart for a method of forming a thin film using an ALD method according to a third embodiment of the present invention. FIG. 27 is a timing diagram showing the supply of reactants during the formation of the thin fihn using the ALD method according to the third embodiment of the present invention. In the following description, the formation of an aluminum oxide film is taken as an example.
The dangling bond of the substrate, which may be a silicon substrate, is terminated by oxygen or nitrogen flushing the substrate 1 5 using oxidizing or nitriding gas (step 4 1). That is, at any sites where oxygen can be bonded to the substrate which may be a silicon substrate, oxygen is bonded to the substrate by oxygen or nitrogen flushing the substrate 15 using oxidizing or nitriding gas. The oxygen or nitrogen flushing can be performed using not only the atomic layer thin film forming apparatus shown in FIG. 3, but also other apparatuses. Moreover, the dangling bond can be combined with oxygen or nitrogen, that is, at any sites where oxygen or nitrogen can be bonded to the substrate, oxygen or nitrogen is bonded to the substrate, not only by performing the oxygen or nitrogen flushing but also by performing ozone cleaning and forming a silicon oxide film and a silicon nitride film. The oxygen or nitrogen flushing may not be necessary.
After loading the substrate 15 into the reaction chamber 11, the processing temperature of the reaction chamber 11 is maintained to be between 100 and 4000C, preferably between 300 and 3500C, and the processing temperature of the reaction chamber I I is maintained to be between I and 10,000 mT6ff using a heater (not shown) and a pump 19 (step 43). The processing conditions are maintained in the successive steps, however, they c4n be changed, if necessary.
A first reactant, such as trimethyl aluminum (AI(CH3)3: TMA), is injected into the reaction chamber I I through the gas inlet A and the shower head 17 for a long enough time to cover the surface of the substrate, for example, between I msecond and 10 seconds by opening the first valve V I, while the processing conditions are maintained (step 45). By doing so, the first reactant is chernisorbed into the oxygen or nitrogen flushed silicon substrate.
The reaction chamber I I is purged a first time by an inert gas such as argon gas for between 0. 1 and 100 seconds by selectively opening the second valve V2, while the processing conditions are maintained (step 47). By doing so, any first reactant which is only physically deposited on the substrate 15 is removed.
A second reactant, for example, oxidizing gas which has excellent oxidizing power, like water vapor is injected into the reaction chamber I I through the shower head 17 by opening the third valve (V3), while the processing conditions are maintained (step 49).
21 In doing so, the chemisorbed first reactant reacts with the second reactant forming a thin film in units of atomic layers, that is, an aluminum oxide film is formed by chemical exchange. Namely, CH3 of TMA reacts with H of H20, thus forming C114, which is removed. Al of TMA reacts with 0 of H20, thus forming A1203- Since the atomic layer thin film is formed at a temperature of 400'C or less, which is low, TMA is not completely decomposed. Accordingly, a large amount of impurities such as carbon or OH form bonds in the aluminum oxide film.
Any second reactant which did not react with the first reactant and is only physisorbed into the substrate 15 is removed by purging the reaction chamber I I a second time with inert gas such as argon gas for between 0. 1 and 100 seconds, while the processing conditions are maintained (step 5 1).
A third reactant for removing impurities and improving the stoichiometry of the thin film, for example, an oxidizing gas such as ozone is injected into the reaction chamber through a fourth valve V4 and the shower head for a long enough time to cover the surface of the substrate on which the thin film is formed, for example, between I msecond and 10 seconds (step 53). By doing so, it is possible to remove impurities such as carbon or OH which is bonded to and contained in the thin film in units of atomic layers, and to solve the problem that there is a lack of oxygen in the aluminum oxide film. Accordingly, it is possible to obtain a thin film with excellent stoichiometry.
A cycle during which the thin film in units of atomic layers is formed, is completed by purging the reaction chamber I I a third time with an inert gas for between 0. 1 and 100 seconds while the processing conditions are maintained, thus removing the un-reacted physisorbed third reactant (step 55).
It is checked whether the thickness of the thin film in units of atomic layers formed on the substrate is appropriate, for example, between 10 A and 1,000 A (step 57). When the thickness of the thin film is appropriate, the process of forming the thin film is completed. When the thin filin is not thick enough, the steps, from the step (step 45) of injecting the first reactant to the step (step 55) of purging the reaction chamber a third time, are cyclically repeated.
In the present embodiment, the aluminum oxide film is formed using trimethyl aluminum (AI(CH3)3: TMA) as the first reactant water vapor which is oxide gas as the second reactant, and ozone gas for removing the impurities as the third reactant. However, it 22 is possible to form a titanium nitride film using TiC14 as the first reactant, NH3 as the second reactant, and nitrogen gas for removing impurities and improving the stoichiometry of the thin filin as the third reactant.
Furthermore, according to the method of forming an atomic layer thin film of the present invention, it is possible to form a single atomic oxide, a composite oxide, a single atomic nitride, or a composite nitride other than an aluminum oxide film or a titanium nitride film- Ti02, TA205, Zr02, M2, Nb205, CeO2, Y203, SiO2, 111203, R1102, and IrO2 are examples of single atomic oxides. SM03, PbTi03, SrRuO3, CaRU03, (BaSr)TiO3, Pb(Zr, Ti)03, (PbLa)(Zr,Ti)03, (SrCa)RuO3, M203 doped with Sn, IrL203 doped with Fe, and In203 doped with Zr are examples of composite oxides. SiN, NbN, ZrN, TiN, TAN, Ya3N5, AIN, GaN, WN, and BN are examples of single atomic nitrides. WBN, WSiN, TiSN, TaSiN, AlSiN, and AMN are examples of composite nitrides.
A thin film formed by the method of forming a thin film using the ALD method according to the present invention can be applied to semiconductor devices. For example, the thin film can be used as a gate oxide layer, the electrode of a capacitor, an etching stopping film, a capping film for preventing reaction, an anti-reflection film during a photolithography process, a barrier metal film, a selective deposition film, or a metal gate electrode.
FIG. 28 is a graph showing the thickness of an aluminum oxide film manufactured by the method of forming an atomic layer thin filin according to the third embodiment of the present invention as a function of the number of times the steps of the method are repeated cycles. One cycle includes the steps of injecting the first reactant into the reaction chamber, purging the reaction chamber of the physisorbed first reactant, injecting the second reactant into the reaction chamber, purging the reaction chamber of the physisorbed second reactant, injecting the third reactant into the reaction chamber, and purging the reaction chamber of the physisorbed third reactant. As shown in FIG. 28, according to the thin film manufacturing method of the present invention, since the aluminum oxide film is grown to a thickness of 1. 1 A each cycle and the thicknessof the aluminum oxide film linearly increases in proportion to the number of cycles, the aluminum oxide film. is easily formed by the atomic layer deposition method of the present invention.
FIG. 29 is a graph illustrating the uniformity of an aluminum oxide film manufactured by the method of forming an aton-dc layer thin film according to the third embodiment of the present invention. The X axis denotes the positions of nine points: the central point of an 23 eight-inch substrate, four points separated by 90 on the circumference of a circle having a diameter of 1.75 inches, and another four points spaced apart by 90 on the circumference of a circle having a diameter of 3.5 inches. The Y axis denotes the thickness of the aluminum oxide film. As shown in FIG. 29, the uniformity of the aluminum oxide film is excellent over the eight-inch substrate.
FIGS. 30A and 30B are graphs for analyzing the aluminum peaks of aluminum oxide films manufactured by the conventional technology and the method of forming an atomic layer thin film according to the third embodiment of the present invention, respectively, using XPS. To be specific, the X axis denotes binding energy and the Y axis denotes the electron count. In the conventional aluminum oxide film, a large amount of AI-Al bonding occurs as shown in FIG. 30A. In the aluminum oxide film according to the present invention, almost no Al-Al bonding occurs and Al-O bonding is most prominent as shown in FIG. 30B. Accordingly, it is noted that the stoichiometry of the aluminum oxide film according to the present invention is excellent.
24 FIGS. 3 1 A and 3 1 B are graphs for analyzing the carbon peaks of aluminum oxide films manufactured by the conventional technology and the method of forming a thin film using the ALD method according to the third embodiment of the present invention, respectively, using XPS. To be specific, the X axis denotes the binding energy and the Y axis denotes electron count. In the conventional aluminum oxide film, a carbon peak is shown, as in FIG. 3 1 A, which means that a large amount of carbon is contained in the aluminum oxide film. In the aluminum oxide film according to the present invention, no carbon peak is shown in FIG. 3 1 B. Therefore, it is possible to obtain an aluminum oxide film in which impurities such as carbon are reduced according to the present invention.
Fourth Embodiment FIG. 32 is a flowchart for a method of forming an atomic layer thin film according to a fourth embodiment of the present invention. In FIG. 32, the reference numerals identical to those of FIG. 22 denote identical steps.
The fourth embodiment of the present invention is a combination of the second embodiment and the third embodiment. Specifically, the fourth embodiment is the same as the second and third embodiments except that the reaction chamber is purged a fourth time (step 36b) after injecting a fourth reactant, for example, an oxidizing gas such as ozone gas for removing impurities and improving the stoichiometry of the thin film, into the reaction chamber through the third valve V3 and the shower head 17 for a long enough time to cover the surface of the substrate on which the thin film is formed, for example, between I msecond and 10 seconds, like in the third embodiment (step 3 6a), after purging the reaction chamber a third time in the second embodiment.
By doing so, it is possible to remove impurities such as bonded carbon or OH bond, which are contained in the metal oxide film in units of atomic layers, and to solve the problem that oxygen is lacking in the metal oxide film, to thus obtain a highly pure thin film. That is to say, according to the present invention, it is possible to obtain a thin fihn of desired quality and to minimize the density of the impurities by increasing the probability that the main reactants react with each other before or after injecting them. Thus, impurities of the thin film other than the main reactants may be removed and the quality of the thin film may be improved through a complete reaction, in forming a thin film by an atomic layer deposition (ALD) method according to the present invention.
As mentioned above, in the method of forming a thin film using an ALD method according to an embodiment of the present invention, the ligand of the first reactant A is separated due to a difference in binding energy, without the movement of a radical from the second reactant B to the first reactant A. A volatile vapor phase material is formed by the combination of ligands and the vapor phase material is removed by purging. As a result, according to the method of forming a thin film using an ALD method of the present invention, it is possible to reduce the impurities generated in a thin film by subreactions, since the movement of the radical does not occur.
In the method of forming a thin metal oxide film using an ALD method according to another embodiment of the present invention, it is possible to prevent the generation of by-products such as hydroxide in the metal oxide fihn by reducing the absolute amount of the first reactant by previously reacting the first reactant with a second reactant which does not contain hydroxide, and then reacting the first reactant with a third reactant which contains a hydroxide. For example, it is possible to form an aluminum oxide film in which the absolute amount of hydroxide is small by reducing the absolute amount of trimethyl aluminum by previously reacting trimethyl aluminurn with N20 which does not contain a hydroxide, and then reacting trimethyl aluminum with water vapor.
Also, in the method of forming a thin film using an ALD method according to another embodiment of the present invention, a third reactant for removing the impurities and improving the stoichiometry of the thin film is injected into the reaction chamber and the reaction charnber is purged of the third reactant, when the atomic layer deposition method is used. By doing so, it is possible to obtain a thin film with excellent stoichiometry which does not contain impurities.
26

Claims (1)

  1. CLAIMS:
    1. A method of forming a thin film using an atomic layer deposition (ALD) method, the method comprising the steps o finjecting a first reactant including an atom that forms the thin film and a ligand into a reaction chamber that comprises a substrate so that the first reactant is chemisorbed into the substrate; removing any first reactant which is only physisorbed into the substrate by purging the reaction chamber with inert gas; and forming a thin film in units of atomic layers by a chemical reaction between the atom that forms the thin film and a second reactant whose binding energy with respect to the atom that forms the thin film is larger than the binding energy of the ligand with respect to the atom that forms the thin film by injecting the second reactant into the reaction chamber and removing the ligand without generating by-products.
    2. The method of claim 1, wherein the first reactant is AI(CH3)3 and the second reactant is an activated oxidizing agent.
    3. The method of claim 2, wherein the activated oxidizing agent is selected from the group consisting of 03, 02 plasma, and N20 plasma.
    4. The method of claim 1, ftirther comprising the step of removing any physisorbed second reactant by purging the chamber with inert gas after the step of injecting the second reactant.
    5. The method of claim 4, wherein the steps, from the step of injecting the first reactant to the step of removing any physisorbed second reactant, are repeated a plurality of times as necessary.
    6. A method of forming a thin film using an ALD method, comprising the steps of.
    27 injecting a first reactant into a reaction chamber that comprises a substrate, so that the first reactant is chemically adsorbed into the substrate; removing any first reactant which is only physisorbed into the substrate by purging the reaction chamber with inert gas; chemically exchanging the chemisorbed first reactant to form a metal- oxygen atomic layer film by injecting a second reactant which does not contain a hydroxide into the reaction chamber; removing any physisorbed second reactant by purging the reaction chamber with inert gas; and forining a metal oxide film in units of atomic layers while the generation of a hydroxide is prevented by injecting a third reactant into the reaction chamber, thus chemically exchanging the remaining chemisorbed first reactant to further contribute to the formation of the metal-oxygen atomic layer.
    7. The method of claim 6, wherein the first reactant is a metal reactant, the second reactant which does not contain a hydroxide is N20, 02, 03, or C02, and the third reactant is oxidizing gas.
    8. The method of claim 6, wherein the temperature of the reaction chamber is maintained to be between 100 and 400C from the step of injecting the first reactant to the step of injecting the third reactant.
    9. The method of claim 6, wherein the metal oxide film is one selected from the group consisting of a A1203 film, a Ti02 film, a Zr02 film, a HfO2 film, a Ta2O5 film, a Nb2O5 film, a CeO2 film, a Y203 film, a Si02 film, a In203 film, a RuO2 film, a IrO2 film, a SM03 film, a PbTi03 film, a SrRu03 film, a CaRI103 film, a (BaSr)TiO3 film, a Pb(ZrTi)03 film, a (Pb,La)(ZrTi)03 film, a (Sr,Ca)RuO3 film, a (BaSr)RuO3 film, a hi2O3([TO) film doped with Sn, and a 1203 film doped with Zr.
    10. The method of claim 6, wherein the dangling bond of the surface of the substrate is terminated by injecting oxidizing gas before injecting the first reactant, when the substrate is a silicon substrate.
    28 11. The method of claim 6, further comprising the step of removing any physisorbed third reactant by purging the reaction chamber with inert gas after the step of injecting the third reactant into the reaction chamber.
    12. The method of claim 11, wherein the steps, from the step of injecting the first reactant to the step of removing any physisorbed third reactant are repeated a plurality of times as necessary.
    13. The method of claim 11, further comprising the step of injecting a fourth reactant for removing impurities and improving the stoichiometry of the metal oxide film into the reaction chamber after the step of removing the physisorbed third reactant.
    14. The method of claim 13, wherein the fourth reactant is ozone gas.
    15. A method of forming a thin film using an ALD method, comprising the steps of. injecting a first reactant into a reaction chamber into which a substrate is loaded so that the first reactant is chemically adsorbed into the substrate; removing any first reactant which is only physisorbed into the substrate by purging the reaction chamber with inert gas; forming a thin film in units of atomic layers by injecting a second reactant into the reaction chamber and chemically exchanging the first reactant to further contribute to the formation of the second reactant; removing any physisorbed second reactant by purging the reaction chamber with inert gas; and injecting a third reactant for removing impurities and improving the stoichiometry of the thin film into the reaction chamber in which the thin film is formed.
    16. The method of claim 15, wherein the first reactant is a metal reactant and the second and third reactants are oxidizing gases.
    29 17. The method of claim 15, wherein the thin film is a metal oxide film formed of a single atomic oxide or a composite oxide.
    18. The method of claim 17, wherein the single atomic oxide is one selected from the group consisting of A1203, TiO2, Ta2Os, ZrO2, Hf02, Nb205, Ce02, Y203, Si02, 111203, RX102, and IrO2- 19. The method of claim 17, wherein the composite oxide is one selected from the group consisting of SM03, PbTi03, SrRU03, CaRu03, (BaSr)Ti03, Pb(ZrTi)03, (Pb,La)(Zr,Ti)03, (SrCa)RU03, In203 doped with Sn, 1n2O3 doped with Fe, and In203 doped with Zr.
    20. The method of claim 15, wherein the first reactant is a metal reactant and the second and third reactants are nitriding gases.
    21. The method of claim 15, wherein the thin film is a metal nitride film formed of a single atomic nitride or a composite nitride.
    22. The method of claim 21, wherein the single atomic nitride is one selected from the group consisting of SiN, NbN, ZrN, TN, TaN, Ya3N5, AIN, GaN, WN, and BN.
    23. The method of claim 21, wherein the composite nitride is one selected from the group consisting of WBN, WSiN, TiSiN, TaSiN, AlSiN, and AlTiN.
    24. The method of claim 15, finther comprising the step of removing any physisorbed third reactant by purging the reaction chamber with inert gas after the step of injecting the third reactant.
    25. The method of claim 15, the dangling bond of the surface of the substrate is terminated by injecting oxidizing gas or nitriding gas before injecting the first reactant, when the substrate is a silicon substrate.
    26. The method of claim 15, wherein the temperature of the reaction chamber is maintained to be between 100 and 400C from the step of injecting the first reactant to the step of injecting the third reactant.
    27. The method of claim 15, further comprising the step of removing any physisorbed third reactant by purging the reaction chamber with inert gas after the step of injecting the third reactant.
    28. The method of claim 27, wherein the steps, from the step of injecting the first reactant to the step of removing the physisorbed third reactant, are repeated a plurality of times as necessary.
    31
GB0024571A 1999-10-06 2000-10-06 Method of forming thin film using atomic layer deposition Expired - Lifetime GB2355727B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR19990043056 1999-10-06
KR19990050902 1999-11-16
KR20000014824 2000-03-23
KR1020000053415A KR100343144B1 (en) 1999-10-06 2000-09-08 Thin film formation method using atomic layer deposition

Publications (3)

Publication Number Publication Date
GB0024571D0 GB0024571D0 (en) 2000-11-22
GB2355727A true GB2355727A (en) 2001-05-02
GB2355727B GB2355727B (en) 2004-04-14

Family

ID=27483394

Family Applications (1)

Application Number Title Priority Date Filing Date
GB0024571A Expired - Lifetime GB2355727B (en) 1999-10-06 2000-10-06 Method of forming thin film using atomic layer deposition

Country Status (5)

Country Link
JP (1) JP4700181B2 (en)
CN (1) CN1234909C (en)
DE (1) DE10049257B4 (en)
GB (1) GB2355727B (en)
TW (1) TW515032B (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002093662A2 (en) 2001-05-14 2002-11-21 Cdt Oxford Limited A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
DE10130936A1 (en) * 2001-06-27 2003-01-16 Infineon Technologies Ag Production of a semiconductor element comprises conditioning the surface of the substrate before deposition of a monolayer of a precursor with regard to a reactive ligand of the precursor
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6905541B2 (en) 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
WO2005123277A3 (en) * 2004-06-11 2006-04-27 Nanoopto Corp Optical films and methods of making the same
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
EP1705699A1 (en) * 2004-01-05 2006-09-27 Horiba, Ltd. Film forming device and film forming method
WO2005101112A3 (en) * 2004-04-15 2007-02-08 Nanoopto Corp Optical films and methods of making the same
US7619816B2 (en) 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7859648B2 (en) 2004-07-28 2010-12-28 Infineon Technologies Ag Passivation of multi-layer mirror for extreme ultraviolet lithography
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8445389B2 (en) 2005-01-31 2013-05-21 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8765360B2 (en) 2004-04-15 2014-07-01 Polarization Solutions, Llc Optical films and methods of making the same
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
JP4590744B2 (en) * 2001-01-25 2010-12-01 ソニー株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
CN100347333C (en) 2001-10-02 2007-11-07 Toto株式会社 Thin metal oxide film and process for producing the same
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP3941099B2 (en) * 2001-12-19 2007-07-04 ソニー株式会社 Thin film formation method
JP4908738B2 (en) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー ALD method
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4681886B2 (en) 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 Semiconductor device
JP4257576B2 (en) 2003-03-25 2009-04-22 ローム株式会社 Deposition equipment
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP5025484B2 (en) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ Method for depositing lead-containing oxide film
US8721846B2 (en) 2004-11-30 2014-05-13 Tokyo Electron Limited Method of forming film, film forming apparatus and storage medium
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
JP4546519B2 (en) * 2005-02-17 2010-09-15 株式会社日立国際電気 Manufacturing method of semiconductor device
KR100648859B1 (en) 2005-06-07 2006-11-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4476880B2 (en) 2005-06-24 2010-06-09 株式会社東芝 Insulating film forming method, semiconductor device manufacturing method, and semiconductor device
US7579285B2 (en) * 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR20070099913A (en) * 2006-04-06 2007-10-10 주성엔지니어링(주) Method of forming oxide and oxide depositing apparatus
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
JP4228008B2 (en) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
JP4735601B2 (en) * 2007-05-14 2011-07-27 ソニー株式会社 Thin film formation method using atomic layer deposition
JP5221089B2 (en) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP2009170439A (en) * 2008-01-10 2009-07-30 Panasonic Corp Formation method of gate insulation film
JP2009212303A (en) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc Substrate processing method
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device
KR101451716B1 (en) 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP5344873B2 (en) * 2008-08-28 2013-11-20 三菱電機株式会社 Method for manufacturing silicon carbide semiconductor device
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
DE102009022900A1 (en) 2009-04-30 2010-11-18 Osram Opto Semiconductors Gmbh Optoelectronic component and method for its production
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5719138B2 (en) * 2009-12-22 2015-05-13 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing method
JP5693348B2 (en) 2010-05-28 2015-04-01 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5675458B2 (en) 2011-03-25 2015-02-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5882075B2 (en) 2012-02-06 2016-03-09 東京エレクトロン株式会社 Capacitor manufacturing method, capacitor, and dielectric film forming method used therefor
DE102013100818B4 (en) 2013-01-28 2023-07-27 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
DE102013103079A1 (en) * 2013-03-26 2014-10-02 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
JP6017361B2 (en) 2013-03-29 2016-10-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9685542B2 (en) * 2014-12-30 2017-06-20 Qualcomm Incorporated Atomic layer deposition of P-type oxide semiconductor thin films
CN105668622B (en) * 2015-07-30 2018-01-30 四川大学 A kind of method of gas phase atomic deposition titanium dioxide coating
CN105448742B (en) * 2015-12-30 2019-02-26 东莞市义仁汽车租赁有限公司 The method of gate medium is prepared on a kind of carbofrax material
WO2018168241A1 (en) * 2017-03-16 2018-09-20 株式会社村田製作所 Lithium ion secondary battery
CN109423621A (en) * 2017-08-22 2019-03-05 北京北方华创微电子装备有限公司 A kind of novel oxidized al atomic layer precipitation equipment and its deposition method
CN108893727A (en) * 2018-06-19 2018-11-27 南昌大学 A kind of preparation method of gallium nitride/aluminium oxide nano composite corrosion proof coating
CN109545476B (en) * 2018-11-21 2020-07-14 哈尔滨工业大学 Method for improving stability of silver nanowire electrode by atomic deposition of zinc oxide
CN112063991A (en) * 2020-08-10 2020-12-11 西安交通大学 Titanium nitride film and preparation method thereof
CN112614855A (en) * 2020-12-07 2021-04-06 长江存储科技有限责任公司 Preparation method of semiconductor etched hole inner film layer and three-dimensional memory structure
KR102563298B1 (en) * 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
JP2024067682A (en) 2022-11-07 2024-05-17 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device
CN116082066A (en) * 2022-12-28 2023-05-09 深圳市基克纳科技有限公司 Ceramic matrix-metal film product, preparation method thereof and electronic atomizer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
GB2162862A (en) * 1984-07-26 1986-02-12 Japan Res Dev Corp Process for forming monocrystalline thin film of compound semiconductor
US5374570A (en) * 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
GB2340508A (en) * 1998-08-07 2000-02-23 Samsung Electronics Co Ltd Manufacturing a thin film by atomic layer deposition
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JP2577550B2 (en) * 1986-11-20 1997-02-05 新技術事業団 Impurity doping of III-V compound semiconductor single crystal thin films
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JP2587623B2 (en) * 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JPH042699A (en) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
JPH08255795A (en) * 1995-03-15 1996-10-01 Sony Corp Method and apparatus for manufacturing semiconductor
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR19990074809A (en) * 1998-03-14 1999-10-05 윤종용 Thin Film Manufacturing Method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
GB2162862A (en) * 1984-07-26 1986-02-12 Japan Res Dev Corp Process for forming monocrystalline thin film of compound semiconductor
US5374570A (en) * 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
GB2340508A (en) * 1998-08-07 2000-02-23 Samsung Electronics Co Ltd Manufacturing a thin film by atomic layer deposition

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Applied Physics Letters Vol. 51, No. 15, 12 October 1987, pas 1143-1145 *
JP010179423 A & WPI Accession no 89-245666 *
JP010204434 A & WPI Accession no 89-281185 *
JP110269652 A & WPI Accession no 99-615894 *

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
WO2002093662A3 (en) * 2001-05-14 2003-05-15 Opsys Ltd A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
WO2002093662A2 (en) 2001-05-14 2002-11-21 Cdt Oxford Limited A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
DE10130936A1 (en) * 2001-06-27 2003-01-16 Infineon Technologies Ag Production of a semiconductor element comprises conditioning the surface of the substrate before deposition of a monolayer of a precursor with regard to a reactive ligand of the precursor
DE10130936B4 (en) * 2001-06-27 2004-04-29 Infineon Technologies Ag Manufacturing process for a semiconductor device using atomic layer deposition / ALD
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6905541B2 (en) 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
EP1705699A1 (en) * 2004-01-05 2006-09-27 Horiba, Ltd. Film forming device and film forming method
EP1705699A4 (en) * 2004-01-05 2008-08-20 Horiba Ltd Film forming device and film forming method
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
WO2005101112A3 (en) * 2004-04-15 2007-02-08 Nanoopto Corp Optical films and methods of making the same
US8765360B2 (en) 2004-04-15 2014-07-01 Polarization Solutions, Llc Optical films and methods of making the same
US7670758B2 (en) 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US8808972B2 (en) * 2004-04-15 2014-08-19 Polarization Solutions, Llc Optical films and methods of making the same
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005123277A3 (en) * 2004-06-11 2006-04-27 Nanoopto Corp Optical films and methods of making the same
US8076055B2 (en) 2004-07-28 2011-12-13 Infineon Technologies Ag Passivation of multi-layer mirror for extreme ultraviolet lithography
US8501373B2 (en) 2004-07-28 2013-08-06 Infineon Technologies Ag Passivation of multi-layer mirror for extreme ultraviolet lithography
US7859648B2 (en) 2004-07-28 2010-12-28 Infineon Technologies Ag Passivation of multi-layer mirror for extreme ultraviolet lithography
US7619816B2 (en) 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US8445389B2 (en) 2005-01-31 2013-05-21 Applied Materials, Inc. Etchant treatment processes for substrate surfaces and chamber surfaces
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device

Also Published As

Publication number Publication date
DE10049257B4 (en) 2015-05-13
DE10049257A1 (en) 2001-04-26
CN1234909C (en) 2006-01-04
GB2355727B (en) 2004-04-14
JP2001152339A (en) 2001-06-05
JP4700181B2 (en) 2011-06-15
TW515032B (en) 2002-12-21
CN1292431A (en) 2001-04-25
GB0024571D0 (en) 2000-11-22

Similar Documents

Publication Publication Date Title
GB2355727A (en) Atomic layer deposition method
US6576053B1 (en) Method of forming thin film using atomic layer deposition method
JP4823260B2 (en) Thin film formation method using atomic layer deposition
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
KR100819318B1 (en) Manufacturing method of semiconductor apparatus
US7053009B2 (en) Nanolaminate film atomic layer deposition method
KR100591508B1 (en) Atomic layer deposition of oxide film
US6468924B2 (en) Methods of forming thin films by atomic layer deposition
US7087482B2 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
US7491654B2 (en) Method of forming a ZrO2 thin film using plasma enhanced atomic layer deposition and method of fabricating a capacitor of a semiconductor memory device having the thin film
KR20010063452A (en) Method of manufacturing a capacitor in a semiconductor device
WO2004008827A2 (en) Atomic layer deposition of high k dielectric films
US7279392B2 (en) Thin film structure, capacitor, and methods for forming the same
US20040175905A1 (en) Method of forming thin film using atomic layer deposition
TWI803905B (en) Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
KR100920402B1 (en) Low Temperature Gate Stack
US20230197796A1 (en) Formation of gate stacks comprising a threshold voltage tuning layer
WO2024086529A1 (en) Method for fabricating a ferroelectric device
Ahn et al. HfAlO 3 films for gate dielectrics
KR20040058751A (en) Capacitor with improved dielectric layer and oxidation resistant layer in semiconductor device and the method for fabricating thereof

Legal Events

Date Code Title Description
PE20 Patent expired after termination of 20 years

Expiry date: 20201005