DE3624384C2 - - Google Patents

Info

Publication number
DE3624384C2
DE3624384C2 DE19863624384 DE3624384A DE3624384C2 DE 3624384 C2 DE3624384 C2 DE 3624384C2 DE 19863624384 DE19863624384 DE 19863624384 DE 3624384 A DE3624384 A DE 3624384A DE 3624384 C2 DE3624384 C2 DE 3624384C2
Authority
DE
Germany
Prior art keywords
layer
counter plate
photoresist
radiation
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE19863624384
Other languages
German (de)
Other versions
DE3624384A1 (en
Inventor
Michael G. Bethesda Md. Us Ury
John C. Gaithersburg Md. Us Matthews
Stuart N. Germantown Md. Us Rounds
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fusion Systems Corp
Original Assignee
Fusion Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fusion Systems Corp filed Critical Fusion Systems Corp
Publication of DE3624384A1 publication Critical patent/DE3624384A1/en
Application granted granted Critical
Publication of DE3624384C2 publication Critical patent/DE3624384C2/de
Granted legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Description

Die Erfindung bezieht sich auf ein Verfahren gemäß dem Oberbegriff des Patentanspruchs 1 sowie auf eine Vorrich­ tung zur Durchführung dieses Verfahrens.The invention relates to a method according to the Preamble of claim 1 and a Vorrich to perform this procedure.

Bei der Herstellung integrierter Schaltungen wird häufig das Verfahren der Photolithographie angewendet. Bei der Ausführung dieses Verfahrens wird ein Halbleiterplätt­ chen mit einem Photoresist beschichtet, der dann mit ul­ traviolettem Licht durch eine Maske hindurch bestrahlt wird, so daß ein gewünschtes Muster auf dem Photoresist abgebildet wird. Dies verursacht eine Veränderung der Löslichkeit der belichteten Bereiche des Photoresists, so daß nach Entwicklung in einem geeigneten Lösungsmit­ tel das gewünschte Muster auf dem Plättchen fixiert ist, worauf der Photoresist gehärtet wird, damit er der nach­ folgenden Bearbeitung widerstehen kann. When manufacturing integrated circuits is common applied the process of photolithography. In the Execution of this method is a semiconductor die Chen coated with a photoresist, which is then coated with ul irradiated with violet light through a mask so that a desired pattern on the photoresist is mapped. This causes a change in the Solubility of the exposed areas of the photoresist, so that after development in a suitable solution with the desired pattern is fixed on the plate, whereupon the photoresist is hardened so that it follows the can withstand the following processing.  

Bei dieser nachfolgenden Bearbeitung werden Komponenten integrierter Schaltungen, die dem gewünschten Muster entsprechen, durch Prozesse gebildet, die das Plasma­ ätzen oder die Ionenimplantation enthalten.In this subsequent processing, components integrated circuits that match the desired pattern correspond through processes formed by the plasma etch or contain the ion implantation.

Nach Bildung der Komponenten der integrierten Schaltung muß der Photoresist vom Halbleiterplättchen entfernt werden, der zu diesem Zeitpunkt bereits seinen Zweck erfüllt hat. Die relative Leichtigkeit oder Schwierig­ keit, mit der dieser Photoresist entfernt werden kann, hängt von dem Ausmaß ab, mit dem physikalische und che­ mische Änderungen im Photoresist während der speziellen Plasmaätz- oder Ionenimplantationsprozesse hervorgeru­ fen worden sind; eine weitere Abhängigkeit besteht vom Ausmaß, mit dem der Photoresist quervernetzt worden ist.After forming the components of the integrated circuit the photoresist must be removed from the semiconductor die at that point its purpose has fulfilled. The relative ease or difficulty with which this photoresist can be removed depends on the extent to which physical and che mix changes in photoresist during the special Plasma etching or ion implantation processes have been opened; there is another dependency on Extent to which the photoresist has been cross-linked.

Ein Verfahren der eingangs angegebenen Art ist aus der US-PS 43 41 592 bekannt. Bei diesem Verfahren wird der Photoresist einer ozonhaltigen Gasatmosphäre ausgesetzt, während das Substrat, auf dem sich die Photoresistschicht befindet, erhitzt wird. Dabei wird Ozon in eine Reaktions­ kammer über den Photoresist geschickt, während das Substrat auf eine nicht über 260°C liegende Temperatur erhitzt wird.A method of the type specified at the outset is known from the US-PS 43 41 592 known. With this procedure the Exposed to an ozone-containing gas atmosphere, while the substrate on which the photoresist layer is is heated. This turns ozone into a reaction chamber sent over the photoresist while the substrate heated to a temperature not exceeding 260 ° C becomes.

Bei dem aus der DE-OS 20 63 721 bekannten Verfahren wird der Photoresist, der aus einem kohlenwasserstoffhaltigen Material besteht, Sauerstoff ausgesetzt, während er mit ultraviolettem Licht bestrahlt wird, das Spektralkom­ ponenten unterhalb von 300 nm enthält. Die Kombination von ultraviolettem Licht und Sauerstoff führt zu einer Oxidation des Photoresists, wodurch er entfernt wird, indem er in flüchtige Nebenprodukte umgewandelt wird. In the method known from DE-OS 20 63 721 the photoresist made from a hydrocarbon Material is made to be exposed to oxygen while using is irradiated with ultraviolet light, the spectral com contains components below 300 nm. The combination of ultraviolet light and oxygen leads to one Oxidation of the photoresist, which removes it, by converting it into volatile by-products.  

Zusätzlich kann das die Photoresistschicht tragende Substrat bei diesem Verfahren erhitzt werden, jedoch nicht auf Temperaturen über 250°-300°C.In addition, it can support the photoresist layer Substrate can be heated using this method, however not at temperatures above 250 ° -300 ° C.

Die geschilderten Verfahren zum Entfernen von Photoresist sind zwar vielversprechend, da sie sauber und gut hand­ habbar sind und kaum Probleme mit Oberflächenverunreini­ gungen und elektrischer Beschädigungen ergeben. Jedoch sind diese Verfahren bisher nicht merklich kommerziell angewendet worden, da sie in ihrer derzeit üblichen Ausführung für die meisten Photoresist-Materialien zu langsam sind und nicht einmal die Fähigkeit haben, Photoresist-Materialien zu entfernen, die stark einer Ionenimplantation unterzogen worden sind, und daher einer Härtung unterlagen.The described methods for removing photoresist are promising because they are clean and easy to handle are available and hardly any problems with surface contamination and electrical damage. However these processes are not yet noticeably commercial have been applied since they are currently in their usual Execution for most photoresist materials too are slow and don't even have the ability To remove photoresist materials that are strong Have undergone ion implantation, and therefore one Hardening documents.

Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren der eingangs geschilderten Art zu schaffen, bei dem die Entfernung der strahlungsempfindlichen Schicht von dem Schichtträger sehr rasch durchgeführt werden kann, ins­ besondere auch dann, wenn die Schicht schwer zu entfernen ist, wenn sie beispielsweise zuvor einer zu einer Härtung führenden Ionenimplantation ausgesetzt worden ist. Ferner soll eine Vorrichtung zur Durchführung dieses Verfahrens geschaffen werden.The invention has for its object a method of the type described in the introduction, in which the Removal of the radiation sensitive layer from the Layer support can be carried out very quickly, ins especially if the layer is difficult to remove is, for example, if they previously hardened one leading ion implantation has been exposed. Further is intended to be an apparatus for performing this method be created.

Erfindungsgemäß wird die Aufgabe dadurch gelöst, daß die Schicht bis zum vollständigen Entfernen auf über 300°C erwärmt wird und daß das Gas durch einen Spalt, der aus der Schichtoberfläsche und einer Gegenplatte gebildet wird, strömt, der höchstens 2 mm breit ist.According to the invention the object is achieved in that the Layer until completely removed to over 300 ° C is heated and that the gas through a gap that comes out the layer surface and a counter plate is flowing, which is at most 2 mm wide.

Beim erfindungsgemäßen Verfahren kann das Substrat Tempe­ raturen deutlich über 300°C ausgesetzt werden, weil das Erhitzen nur für kurze Zeit vorgenommen wird. Dies wird erreicht, weil durch den engen Spalt das Oxidationsmittel mit einer genügend großen Strömungsmenge geschickt wer­ den kann und dementsprechend auch das Entfernen der Schicht in sehr kurzer Zeit erfolgt, wobei Zeitintervalle von weniger als fünf Minuten und typischerweise inner­ halb von 1 bis 3 Minuten erreicht werden.In the method according to the invention, the substrate Tempe exposed to temperatures well above 300 ° C because that  Heating is done only for a short time. this will achieved because the oxidant through the narrow gap who is sent with a sufficiently large flow rate that can and accordingly removing the Shift takes place in a very short time, with time intervals less than five minutes and typically internal half of 1 to 3 minutes.

Die bevorzugte Weiterbildung des erfindungsgemäßen Ver­ fahrens besteht darin, daß die Schicht unter UV-Bestrah­ lung behandelt wird und daß die Intensität der UV-Strah­ lung mindestens 800 mW/cm2 beträgt.The preferred development of the method according to the invention is that the layer is treated with UV radiation and that the intensity of the UV radiation is at least 800 mW / cm 2 .

Vorteilhafte Ausführungsformen der Vorrichtung zur Durch­ führung des Verfahrens sind in den Ansprüchen 3 bis 9 gekennzeichnet. Advantageous embodiments of the device for through leadership of the method are in claims 3 to 9 featured.  

Die Erfindung wird nun anhand der Zeichnung beispiels­ halber erläutert. Es zeigtThe invention will now be described with reference to the drawing explained for the sake of it. It shows

Fig. 1 eine schematische Darstellung einer Ausführungsform der Erfindung, Fig. 1 is a schematic representation of an embodiment of the invention,

Fig. 2 eine schematische Darstellung einer weiteren Ausführungsform der Erfin­ dung, Fig. Dung 2 is a schematic representation of another embodiment of OF INVENTION,

Fig. 3 und 4 eine Ausführungsform der Erfindung, bei der eine Gegenplatte mit mehreren Öffnungen ver­ wendet wird, FIGS. 3 and 4, an embodiment of the invention, ver turns in a counter-plate with a plurality of openings,

Fig. 5 und 6 eine Ausführungsform der Erfindung, bei der eine Gegenplatte ver­ wendet wird und ein Oxida­ tionsmittel von einem Rand der Platte aus zugeführt wird, FIGS. 5 and 6, an embodiment of the invention, in which a back plate is ver and applies a Oxida tion medium is supplied from one edge of the plate,

Fig. 7 und 8 eine Ausführungsform der Erfindung mit einer Gegenplatte mit mehreren parallelen Leitungen zum Zuführen eines Oxidationsmit­ tels, FIGS. 7 and 8, an embodiment of the invention, means of a counter plate having a plurality of parallel lines for supplying a Oxidationsmit,

Fig. 9 eine Ausführungsform der Erfindung mit einer Gegenplatte, der Leitungen zugeordnet sind, wobei je­ weils abwechselnd Leitungen ein Oxidationsmittel liefern, während dazwischenliegende Leitungen das Oxidationsmittel ab­ saugen,9 is an embodiment of the invention Fig. Associated with a counter-plate, the lines, wherein each weils lines alternately deliver an oxidizing agent, while intervening lines the oxidant from sucking,

Fig. 10 eine Ausführungsform der Erfindung mit einem konischen Spaltabstandsele­ ment und Fig. 10 shows an embodiment of the invention with a conical gap spacer element

Fig. 11 ein Diagramm eines bevorzugten Ultra­ violettspektrums, das bei der Licht­ quelle angewendet werden kann. Fig. 11 is a diagram of a preferred ultra violet spectrum that can be applied to the light source.

In Fig. 1 ist ein als Schichtträger dienendes Substrat, beispielsweise ein Silicium­ plättchen, das auf einem Halter 2 angebracht ist, mit einem zu entfernenden Photoresist 6 beschichtet.In Fig. 1 is a layer serving as a supporting substrate, for example, a silicon plate, which is mounted on a holder 2, coated with a photoresist to be removed. 6

Bei dieser Ausführungsform wird als Oxida­ tionsmittel Ozon verwendet; zur Erzeugung des Ozons wird reiner Sauerstoff einem Ozongenerator 18 zugeführt, der ein Generator mit stiller Entladung sein kann.In this embodiment, ozone is used as the oxidizing agent; To generate the ozone, pure oxygen is supplied to an ozone generator 18 , which can be a generator with a silent discharge.

Das Ozon wird über eine Leitung 10 durch eine Öffnung in einer Gegenplatte 20 zu dem unmittelbar über dem Photoresist 6 liegenden Bereich geleitet. Die Gegenplatte 20 wird zur Erzeugung eines schmalen Spalts über dem Photoresist 6 verwendet, durch den eine dünne Schicht aus Ozon strömt. In der bevorzugten Aus­ führung besteht die Gegenplatte 20 aus Quarz. Die Platte muß aus einem Material hergestellt sein, das durch die Einwirkung von Ozon nicht beeinträchtigt wird und das keine übermäßig schnelle Zersetzung des Ozons bewirkt. Es können auch andere Materialien als Quarz verwendet werden, solange diese Materialien die obigen Eigenschaften besitzen. The ozone is conducted via a line 10 through an opening in a counterplate 20 to the area immediately above the photoresist 6 . The counter plate 20 is used to create a narrow gap above the photoresist 6 through which a thin layer of ozone flows. In the preferred embodiment, the counter plate 20 is made of quartz. The plate must be made of a material which is not affected by the action of ozone and which does not cause the ozone to decompose excessively. Materials other than quartz can also be used as long as these materials have the above properties.

Die Gegenplatte 20 befindet sich im Abstand von 2 mm oder weniger von dem Photoresist, wobei der bevorzugte Ab­ stand für viele Resist-Materialien etwa 0,5 mm beträgt. Die Gegenplatte ist mit Hilfe geeigneter Befestigungsmittel, beispielsweise geeignete Abstandsglieder, im richtigen Abstand vom Photoresist angebracht, und vorzugsweise haben die Gegenplatte und die Halterung die Form kreisrun­ der Scheiben, so daß sie mit der Form des Halbleiter­ plättchens, von dem der Photoresist entfernt werden soll, kongruent sind. Das Ozon wird dem schmalen Spalt zwischen dem Photoresist und der Gegenplatte mit einer Strömungsmenge zugeführt, die so groß ist, daß in dem Spalt Strömungsgeschwindigkeiten hervorgeru­ fen werden, die in den Bereich von 2-600 cm/s fallen. Der schmale Spalt erleichtert das Erreichen von solchen hohen Geschwindigkeiten. In der Ausführungsform von Fig. 1, bei der eine Gegenplatte mit einer einzi­ gen, in der Mitte angebrachten Strömungsmittelöffnung verwendet wird, ergibt eine Strömungsmenge von 0,056- 0,14 m3/h Geschwindigkeiten zwischen 20 und 600 cm/s. Die Geschwindigkeit beträgt etwa 20 cm/s nahe der Außenseite des zu entfernenden Photoresists. Das Ozon strömt an der Außenseite nicht nur langsamer, sondern es ist auch mehr verunreinigt als in der Mitte. In der Ausfüh­ rungsform von Fig. 3 wird mehr nicht verunreinigtes Ozon nahe der Außenseite zugeführt, so daß die Strö­ mungsgeschwindigkeit hier niedriger sein kann. In der bevorzugten Ausführungsform wird Ozon mit einer Konzentration von 4% in Sauerstoff verwendet.The backing plate 20 is spaced 2 mm or less from the photoresist, with the preferred level for many resist materials being about 0.5 mm. The counter plate is attached with the aid of suitable fastening means, for example suitable spacers, at the correct distance from the photoresist, and preferably the counter plate and the holder have the shape of the circular discs so that they are in the shape of the semiconductor plate from which the photoresist is to be removed , are congruent. The ozone is supplied to the narrow gap between the photoresist and the counter plate with a flow rate which is so large that flow speeds are produced in the gap which fall in the range of 2-600 cm / s. The narrow gap makes it easier to reach such high speeds. In the embodiment of FIG. 1, in which a counter plate with a single, in the middle, attached fluid opening is used, a flow rate of 0.056-0.14 m 3 / h results in speeds between 20 and 600 cm / s. The speed is about 20 cm / s near the outside of the photoresist to be removed. The ozone not only flows more slowly on the outside, but it is also more contaminated than in the middle. In the embodiment of FIG. 3, more uncontaminated ozone is supplied near the outside, so that the flow rate may be lower here. In the preferred embodiment, ozone is used at a concentration of 4% in oxygen.

Das Oxidationsmittel wird aus dem Bereich über dem Photoresist mit Hilfe von Abzugsleitungen 32 und 34 abgeführt, die zu einer Neutralisiervorrichtung führen oder zur Atmosphäre hin, beispielsweise über einen Kamin, offen sind. The oxidizing agent is removed from the area above the photoresist with the aid of extraction lines 32 and 34 , which lead to a neutralizing device or are open to the atmosphere, for example via a chimney.

Die Halterung 2 ist hohl und aus einem guten Wärmeleiter, beispielsweise aus Aluminium hergestellt. Ein elektri­ sches Widerstandsheizelement 24 ist innerhalb der Halte­ rung angebracht und so angeordnet, daß es das Halblei­ terplättchen vorheizt, ehe es dem Ozon ausgesetzt wird. Das Substrat wird auf eine Tempera­ tur über 300°C, vorzugsweise beträchtlich über 300°C erhitzt, damit das schnelle Entfernen des Photoresists erzielt wird.The holder 2 is hollow and made of a good heat conductor, for example made of aluminum. An electrical resistive heating element 24 is mounted within the holder and arranged so that it pre-heats the semiconductor plate before it is exposed to the ozone. The substrate is heated to a temperature above 300 ° C, preferably considerably above 300 ° C, so that the rapid removal of the photoresist is achieved.

Die schnelle Strömung durch den schmalen Spalt stellt sicher, daß der Photoresist ständig frischem Ozon aus­ gesetzt wird, so daß Effekte wie die Ozonrekombination auf ein Minimum herabgesetzt werden.The fast flow through the narrow gap poses sure that the photoresist is constantly out of fresh ozone is set so that effects such as ozone recombination be reduced to a minimum.

In der bevorzugten Ausführungsform wird als Oxidations­ mittel zwar Ozon verwendet, jedoch können auch andere bekannte Oxidationsmittel eingesetzt werden.In the preferred embodiment it is used as an oxidation medium uses ozone, but others can known oxidizing agents are used.

Es sei bemerkt, daß eine übergroße Strömungsgeschwindig­ keit im engen Spalt zu einem Abkühlen des Photoresists führt, das das Entfernen verhindert; die Obergrenze der Strömungsgeschwindigkeit hängt vom verwendeten Photore­ sist-Material und auch von anderen Prozeßvariablen ab.It should be noted that an oversized flow rate in the narrow gap to cool the photoresist that prevents removal; the upper limit of Flow rate depends on the photore used sist material and also from other process variables.

In Fig. 2 ist eine weitere Ausführungsform der Erfin­ dung dargestellt, bei der gleiche Bezugszeichen die gleichen Teile wie bei der Ausführungsform von Fig. 1 kennzeichnen. Die Ausführungsform von Fig. 2 stimmt mit der von Fig. 1 überein mit der Ausnahme, daß der Photoresist während der Behandlung mit Ozon und Wärme mit ultraviolettem Licht bestrahlt wird, das merkliche Spektralkomponenten unterhalb von 300 nm hat. Die Be­ strahlung mit ultraviolettem Licht kann eine Verbesse­ rung der zum Entfernen des Photoresists benötigte Zeit­ dauer mit sich bringen, insbesondere dann, wenn größere Spaltbreiten in der Nähe von 2 mm angewendet werden.In Fig. 2, another embodiment of the inven tion is shown, in which the same reference numerals designate the same parts as in the embodiment of Fig. 1. The embodiment of Fig. 2 is the same as that of Fig. 1 except that the photoresist is exposed to ultraviolet light during treatment with ozone and heat, which has appreciable spectral components below 300 nm. Irradiation with ultraviolet light can bring about an improvement in the time required to remove the photoresist, in particular if larger gap widths in the vicinity of 2 mm are used.

In Fig. 2 wird die ultraviolette Strahlung von einer Quelle 30 geliefert, deren Bestrahlungsstärke wenigstens 800 mW/cm2 betragen sollte. Die Anwendung der dünnen Ozon­ schicht setzt die Absorption des ultravioletten Lichts auf ein Minimum herab und ermög­ licht die Verwendung einer fokussierten, elektrodenlosen Quelle mit hoher Leistung, die von dem Photoresist aus mechanischen Gründen getrennt sein muß, wobei der Photo­ resist in der Brennebene der Quelle angeordnet sein kann, damit die gewünschte Bestrahlungsstärke erzielt wird. Ty­ pischerweise wird eine mit Mikrowellenenergie gespeiste elektrodenlose Lichtquelle zur Erzielung der benötigten Bestrahlungsstärke benutzt.In FIG. 2, the ultraviolet radiation is supplied from a source 30, the irradiation intensity should be at least 800 mW / cm 2. The application of the thin ozone layer minimizes the absorption of ultraviolet light and enables the use of a focused, electrodeless source with high power, which must be separated from the photoresist for mechanical reasons, with the photo resist in the focal plane of the source can be arranged so that the desired irradiance is achieved. Typically, an electrodeless light source fed with microwave energy is used to achieve the required irradiance.

Ein bevorzugtes Spektrum für die Strahlungsquelle ist in Fig. 11 dargestellt; es ist zu erkennen, daß merkliche Spektralkomponenten unterhalb von 300 nm vorhanden sind. Es können zwar auch andere spezifische Spektren mit tie­ fen UV-Wellenlängen unterhalb von 300 nm vorteilhaft ar­ beiten, doch hat sich gezeigt, daß das dargestellte Spek­ trum besonders gut arbeitet. A preferred spectrum for the radiation source is shown in FIG. 11; it can be seen that there are noticeable spectral components below 300 nm. Although other specific spectra with deep UV wavelengths below 300 nm can advantageously work, it has been shown that the spectrum shown works particularly well.

Wenn bei den Ausführungsformen von Fig. 1 und Fig. 2 der Vorgang des Entfernens des Photoresists durchge­ führt worden ist, ist es erwünscht, den Halter schnell abzukühlen, damit das Halbleiterplättchen so kurz wie möglich auf der hohen Temperatur gehalten wird, damit eine Beschädigung des Plättchens vermieden und die an­ schließenden Transportvorgänge des Plättchens erleich­ tert werden. Eine Möglichkeit zur Erzielung der Kühlung besteht darin, ein Kühlmittel, beispielsweise entioni­ siertes Wasser, durch einen (nicht dargestellten) Kanal in den Halter zu leiten. Dabei würde es sich um einen kontinuierlichen Kanal handeln, wobei in Fig. 1 das Kühlmittel über eine Leitung 14 zugeführt und eine Lei­ tung 16 abgeführt wird. Das Kühlen erfolgt durch einen Wärmeleitvorgang, und es wird schnell erreicht, da der Halter aus einem guten Wärmeleiter hergestellt ist.Is when the operation of removing the photoresist Runaway in the embodiments of FIGS. 1 and Fig. 2 leads, it is desirable to quickly cool the holder, so that the semiconductor wafer is kept as short as possible at the high temperature, so that damage to the Platelets avoided and the subsequent transport operations of the platelet be tert tert. One way to achieve cooling is to pass a coolant, such as deionized water, through a channel (not shown) into the holder. This would be a continuous channel, with the coolant being supplied via a line 14 in FIG. 1 and a line 16 being discharged. The cooling is done by a heat conduction process, and it is achieved quickly because the holder is made of a good heat conductor.

Das Plättchen wird auf eine Temperatur über 300°C erhitzt, möglicherweise bis auf eine Temperatur von 350°C. Bei Ausführungsformen, bei denen eine Bestrahlung mit ultraviolettem Licht an­ gewendet wird, kann eine Erhöhung der Bestrahlungsstärke der Quelle auf 800 mW/cm2 und beträchtlich höher bis zu 2 W/cm2 oder mehr die zum Entfernen des Photoresists be­ nötigte Zeit herabsetzen, was höhere Substrattemperatu­ ren ohne daraus resultierende Schäden ermöglicht. Eine Obergrenze für die Substrattemperatur wird durch die chemischen Eigenschaften des Ozons gesetzt, das bei ho­ hen Temperaturen rekombiniert.The wafer is heated to a temperature above 300 ° C, possibly up to 350 ° C. In embodiments where ultraviolet light irradiation is used, increasing the illuminance of the source to 800 mW / cm 2 and considerably higher up to 2 W / cm 2 or more may decrease the time required to remove the photoresist, which is higher substrate temperatures without resulting damage. An upper limit for the substrate temperature is set by the chemical properties of the ozone, which recombines at high temperatures.

Die nachfolgenden Beispiele zeigen, wie die Erfindung in besonderen Fällen verwirklicht worden ist, bei denen die Vorrichtung nach Fig. 1 angewendet worden ist.The following examples show how the invention has been implemented in special cases in which the device according to FIG. 1 has been applied.

Beispiel 1example 1

Es wurde ein Photoresist mit einer Dicke von 1,5 µm entfernt, der einer Ionenim­ plantation unterzogen und unter ultraviolettem Licht gehärtet worden war.It became a photoresist with a thickness of 1.5 microns removed that an ion im  subjected to plantation and under ultraviolet light had been hardened.

Das Halbleiterplättchen wurde auf eine Temperatur von 330°C erhitzt; es erreichte während des Entfernungs­ vorgangs einen oberen Temperaturwert von 331°C. Einem engen Spalt von 0,5 mm über dem Photoresist wurde mit einer Strömungsgeschwindigkeit von 0,11 m3/h eine Gasmischung zugeführt, die 4% Ozon in Sauerstoff enthielt.The semiconductor die was heated to a temperature of 330 ° C; it reached an upper temperature of 331 ° C during the removal process. A gas mixture containing 4% ozone in oxygen was fed into a narrow gap of 0.5 mm above the photoresist at a flow rate of 0.11 m 3 / h.

Der Photoresist wurde auf einer Fläche mit einem Durch­ messer von 10 cm in 3 Minuten bis zu 98% entfernt.The photoresist was applied to a single pass area knife from 10 cm removed in 3 minutes up to 98%.

Beispiel 2Example 2

Es wurde ein Photoresist mit einer Dicke von 1,5 µm, der einer starken Ionenimplantation unterzogen worden war, entfernt.It became a photoresist with a thickness of 1.5 µm, that of a strong Ion implantation had been removed.

Das Halbleiterplättchen wurde auf eine Temperatur von 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff ent­ haltende Gasmischung wurde einem schmalen Spalt von 2 mm oder weniger über dem Photoresist einer Strömungs­ menge von 0,09-0,11 m3/h zugeführt, und der Photoresist wurde einer UV-Strahlung (200-420 nm) bei einer Bestrahlungsstärke von etwa 1450 mW/cm2 aus­ gesetzt.The semiconductor die was heated to a temperature of 320 ° C. A gas mixture containing 3% -4% ozone in oxygen was supplied to a narrow gap of 2 mm or less above the photoresist at a flow rate of 0.09-0.11 m 3 / h, and the photoresist was exposed to UV radiation ( 200-420 nm) at an irradiance of about 1450 mW / cm 2 .

Der Resist war nach 2,5 Minuten vollständig entfernt.The resist was completely removed after 2.5 minutes.

Beispiel 3Example 3

Ein PMMA-Photoresist mit einer Dicke von 1 µm wurde gehärtet.A PMMA photoresist with a thickness of 1 µm was made hardened.

Das Halbleiterplättchen wurde auf 320°C erhitzt. Eine 3%-4% Ozon in Sauerstoff enthaltende Gasmischung wurde einem engen Spalt von 2 mm oder weniger über dem Photoresist mit einer Strömungsmenge von 0,09-0,11 m3/h zugeführt, und der Photoresist wurde einer UV-Strahlung mit einer Bestrahlungsstärke von 1450 mW/cm2 ausgesetzt.The semiconductor die was heated to 320 ° C. A gas mixture containing 3% -4% ozone in oxygen was supplied to a narrow gap of 2 mm or less above the photoresist at a flow rate of 0.09-0.11 m 3 / h, and the photoresist was exposed to UV radiation with a Irradiance of 1450 mW / cm 2 exposed.

Der Resist war nach 30-45 s vollständig entfernt.The resist was completely removed after 30-45 s.

Es sei bemerkt, daß bei der Ausführung in einer tatsäch­ lich existierenden Fertigungsstraße die Anwendung des beschriebenen Verfahrens automatisiert werden kann. Halbleiterplättchen, von denen ein Photoresist entfernt werden soll, werden dabei automatisch zu dem Halter transportiert, wo sie dann auf eine vorbestimmte Temperatur erhitzt und an­ schließend dem Oxidationsmittel ausgesetzt werden.It should be noted that when executed in an actual Lich existing production line the application of the described Process can be automated. Semiconductor wafers, from which a photoresist is to be removed thereby automatically transported to the holder where they then heated to a predetermined temperature and on finally exposed to the oxidizing agent.

In der in Fig. 1 dargestellten Ausführungsform ist zwar eine Gegenplatte 20 aus Quarz mit einer einzigen, in der Mitte an­ geordneten Strömungsmittelzufuhröffnung dargestellt, doch sind auch andere Anordnungen möglich und können sogar wünschenswert sein. In diesem Zusammenhang ist es erwünscht, eine Schicht aus einem Oxidationsmittel mit gleichmäßiger Dicke und gleichmäßiger Strömungsmenge zu erzeugen, die nicht durch Bestandteile verunreinigt ist, die aus den vorkommenden chemischen Reaktionen resultieren, beispiels­ weise mit Kohlenstoffdioxid und Wasserdampf.In the embodiment shown in FIG. 1, although a quartz counterplate 20 is shown with a single, centrally located fluid supply opening, other arrangements are possible and may even be desirable. In this connection, it is desirable to produce a layer of an oxidizing agent with a uniform thickness and a uniform flow rate, which is not contaminated by constituents which result from the chemical reactions occurring, for example with carbon dioxide and water vapor.

In der Ausführungsform von Fig. 2 hat die Ozonschicht die Neigung, beim Strömen von der Mitte zum Rand der aus Quarz bestehenden Gegenplatte sich aufzulösen, während die Strömungsge­ schwindigkeit kleiner wird und das Ozon mit Kohlenstoff­ dioxid und Wasserdampf verunreinigt wird.In the embodiment of Fig. 2, the ozone layer has a tendency to dissolve when flowing from the center to the edge of the counterplate made of quartz, while the flow rate becomes lower and the ozone is contaminated with carbon dioxide and water vapor.

In Fig. 3 ist eine Ausführungsform dargestellt, bei der die Gegenplatte 40 mehrere Öffnungen 42, 44, 46 und 48 hat. Diese Anordnung führt dazu, daß eine Ozonschicht mit gleichmäßigerer Dicke bei einer gleichmäßigeren Strömungsgeschwindigkeit mit einer geringeren gesam­ ten und örtlichen Verunreinigung des Strömungsmittels erhalten wird. Es kann jedoch dabei zum Auftreten von Nullbereichen zwischen den Öffnungen beispielsweise an den in Fig. 3 mit 50 angegebenen Zonen kommen. FIG. 3 shows an embodiment in which the counter plate 40 has a plurality of openings 42, 44, 46 and 48 . This arrangement results in an ozone layer having a more uniform thickness being obtained at a more uniform flow rate with a lower overall and local contamination of the fluid. However, zero areas can occur between the openings, for example at the zones indicated by 50 in FIG. 3.

Diese Nullbereiche können durch Drehen des Photoresists in einem beträchtlichen Ausmaß kompensiert werden. In Fig. 4 ist eine Ausführungsform dargestellt, bei der der unter der Gegenplatte 52 angeordnete Halter 56 durch den Motor 58 gedreht wird. Bei einer solchen Ausführung sind zur Ermöglichung der Strö­ mungsmittelübertragung während des Drehens Gleitverbin­ dungen vorgesehen, während zur Ermöglichung der Übertra­ gung elektrischer Ströme Gleitringe verwendet werden.These zero ranges can be compensated for to a considerable extent by rotating the photoresist. In FIG. 4, an embodiment is shown in which the arranged below the backing plate 52 holder is rotated by the motor 58 56. In such an embodiment, sliding connections are provided to enable the flow of fluid to be transferred while rotating, while slip rings are used to enable the transmission of electrical currents.

In Fig. 5 ist eine Ausführungsform mit Randspeisung dargestellt, bei der die Gegenplatte 60 rechteckig ist und das Oxidationsmittel innerhalb einer Umlenkvorrich­ tung 70 durch eine Leitung 68 zugeführt wird, die sich längs des Randes der Gegenplatte 60 erstreckt. Die Leitung 68 ist in der Zeichenebene verlängert, und sie weist eine Öffnung auf, die sich an ihr entlang erstreckt. Das Oxidationsmittel wird dieser Leitung zugeführt, und es wird durch die darin angebrachte Öffnung in den Spalt zwischen der Gegenplatte 60 und dem Photore­ sist auf dem Halbleiterplättchen 62 eingespeist.In Fig. 5, an embodiment with edge feeding is shown, in which the counter plate 60 is rectangular and the oxidizing agent is supplied within a Umlenkvorrich device 70 through a line 68 which extends along the edge of the counter plate 60 . The line 68 is elongated in the plane of the drawing and has an opening which extends along it. The oxidizing agent is fed to this line, and it is fed through the opening made therein into the gap between the counterplate 60 and the photoresist on the semiconductor wafer 62 .

Die Dichte und die Strömungsgeschwindigkeit des Oxida­ tionsmittels sind in der Ausführung von Fig. 5 mit Randspeisung sehr gleichmäßig, jedoch zeigt das Oxida­ tionsmittel die Neigung, wegen der relativ großen Strecke, die es zurücklegt, verunreinigt zu werden.The density and flow rate of the oxidizing agent are very uniform in the embodiment of Fig. 5 with edge feed, but the oxidizing agent tends to be contaminated because of the relatively large distance it travels.

Dies kann durch Drehen des Photoresists kompensiert werden; Fig. 6 zeigt einen Motor 72 zum Drehen der Halterung 64′. This can be compensated for by rotating the photoresist; Fig. 6 shows a motor 72 for rotating the bracket 64 ' .

In den Fig. 7 und 8 ist eine Ausführungsform darge­ stellt, bei der zum Einleiten des Oxidationsmittels parallele Quarzleitungen angewendet werden. An die aus Quarz beste­ hende Gegenplatte 74 sind Leitungen 80 und 82 einstückig an­ geformt, wobei der Strömungsmittelfluß zu dem auf dem Halter 78 befindlichen Halbleiterplättchen 76 durch die Pfeile in Fig. 7 angegeben ist.In Figs. 7 and Darge 8 is an embodiment provides be applied in parallel for introducing the oxidant quartz lines. On the existing quartz counter plate 74 lines 80 and 82 are integrally formed, the flow of fluid to the semiconductor wafer 76 located on the holder 78 is indicated by the arrows in FIG. 7.

In Fig. 9 ist eine weitere Ausführungsform dargestellt, bei der abwechselnd aus Quarz bestehende Leitungen, die einstückig mit der ebenfalls aus Quarz bestehenden Gegenplatte ausgebildet sind, der Strömungsmittel­ zufuhr (Leitungen 90, 94, 98) und dem Absaugen (Leitungen 92, 96, 100) von Strömungsmittel von dem Be­ reich zwischen der Gegenplatte und dem auf dem Halter 88 befindlichen Halbleiterplättchen 86 dienen. Das Absaugen des Strömungsmittels, nachdem es eine kur­ ze Strecke zurückgelegt hat, führt zu einem relativ niedrigen Verunreinigungswert. FIG. 9 shows a further embodiment, in which lines alternately consisting of quartz, which are formed in one piece with the counterplate, likewise made of quartz, supply the fluid (lines 90, 94, 98 ) and suction (lines 92, 96, 100 ) of fluid from the loading area between the counterplate and the semiconductor plate 86 located on the holder 88 serve. Sucking off the fluid after it has traveled a short distance results in a relatively low contamination level.

Zur Vermeidung möglicher Nullbereiche sowie einer Ab­ schattung durch die Quarzleitungen kann es erwünscht sein, den Photoresist in den Ausführungsformen der Fig. 7, 8 und 9 zu drehen.To avoid possible zero areas and shading from the quartz lines, it may be desirable to rotate the photoresist in the embodiments of FIGS. 7, 8 and 9.

Anstelle einer Drehung des Halters in den oben be­ schriebenen Ausführungsformen kann ein vergleichbares Ergebnis erzielt werden, wenn der Halter in Schwing­ bewegungen versetzt wird.Instead of rotating the holder in the above be described embodiments may be comparable Result can be achieved when the holder is vibrating is moved.

In Fig. 10 ist eine weitere Ausführungsform dargestellt, bei der die das Strömungsmittel eingrenzende Gegenplatte 102 konisch ausgebildet ist, damit die Einlaßgeschwindigkeit vergrößert und die Geschwindigkeit zur Außenseite hin erhöht wird, wo dies erforderlich ist, damit einer Ver­ dünnung und Verunreinigung des Strömungsmittels entge­ gengewirkt wird.In Fig. 10, another embodiment is shown in which the fluid restricting counter plate 102 is conical, so that the inlet speed is increased and the speed is increased to the outside, where this is necessary, so that a thinning and contamination of the fluid counteracted Ver becomes.

Somit sind eine Vorrichtung und ein Verfahren beschrie­ ben worden, mit deren Hilfe ein Photoresist schnell ent­ fernt werden kann. An apparatus and a method are thus described have been used to quickly remove a photoresist can be removed.  

Die Erfindung ist zwar im Zusammenhang mit der Verwen­ dung einer ozonhaltigen Gasatmosphäre beschrieben wor­ den, doch ist zu erkennen, daß es auch möglich ist, zusätzlich zu Ozon Oxidationsmittel zu verwenden; un­ ter dem Ausdruck "Oxidationsmittel" sollen daher in den Ansprüchen Substanzen einschließlich Ozon, Sauer­ stoff, Chlor, Fluor, Jod und Wasserstoffperoxid ver­ standen werden.The invention is in connection with the use tion of an ozone-containing gas atmosphere was described but it can be seen that it is also possible to use oxidizing agents in addition to ozone; un ter the term "oxidizing agent" should therefore in the claims substances including ozone, acid ver, chlorine, fluorine, iodine and hydrogen peroxide ver will stand.

Wie erwähnt, ist unter dem Ausdruck "Ultraviolettstrah­ lung" und "ultraviolett" eine Strahlung bei 200-420 nm zu verstehen.As mentioned, under the expression "ultraviolet ray lung "and" ultraviolet "radiation at 200-420 nm to understand.

Die Erfindung ist zwar im Zusammenhang mit dem Entfer­ nen von Photoresist-Materialien beschrieben worden, doch kann sie allgemein beim Entfernen organischer Substanzen Anwendung finden.The invention is in connection with the removal photoresist materials have been described, however, it can generally remove organic Use substances.

Claims (11)

1. Verfahren zum vollständigen Entfernen einer strahlungs­ empfindlichen Schicht von einem Schichtträger, bei dem die Schicht mit einem oxidierenden Gas bei höherer Temperatur behandelt wird, dadurch gekennzeichnet, daß die Schicht bis zum vollständigen Entfernen auf über 300°C erwärmt wird und daß das Gas durch einen Spalt, der aus der Schichtoberfläche und einer Gegenplatte (20; 20′; 40; 60; 60′; 74; 84; 102) gebildet wird, strömt, der höchstens 2 mm breit ist.1. A method for completely removing a radiation-sensitive layer from a layer support, in which the layer is treated with an oxidizing gas at a higher temperature, characterized in that the layer is heated to over 300 ° C until complete removal and that the gas through a gap, which is formed from the layer surface and a counter plate ( 20; 20 ';40;60;60';74;84; 102 ), flows, which is at most 2 mm wide. 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Schicht unter UV-Bestrahlung behandelt wird und daß die Intensität der UV-Strahlung mindestens 800 mW/cm2 beträgt.2. The method according to claim 1, characterized in that the layer is treated under UV radiation and that the intensity of the UV radiation is at least 800 mW / cm 2 . 3. Vorrichtung zur Durchführung des Verfahrens nach An­ spruch 1, dadurch gekennzeichnet, daß der die strahlungs­ empfindliche Schicht tragende Schichtträger auf einem Halter (2; 2′; 56; 64; 64′) angebracht ist, daß in dem Halter (2; 2′; 56; 64; 64′) eine Heizvorrichtung angebracht ist und daß die Gegenplatte (20; 20′; 40; 74; 84; 102) in einem Abstand von höchstens 2 mm über der Oberfläche der strahlungsemp­ findlichen Schicht angebracht ist.3. A device for performing the method according to claim 1, characterized in that the layer support carrying the radiation-sensitive layer is attached to a holder ( 2; 2 ';56;64;64' ) that in the holder ( 2; 2nd ';56;64;64' ) a heater is attached and that the counter plate ( 20; 20 ';40;74;84; 102 ) is attached at a distance of at most 2 mm above the surface of the radiation-sensitive layer. 4. Vorrichtung nach Anspruch 3 zur Durchführung des Verfah­ rens nach Anspruch 2, dadurch gekennzeichnet, daß die Gegen­ platte (20; 20′; 40; 74; 84; 102) aus Quarz besteht und daß über der Gegenplatte (20; 20′; 40; 74; 84; 102) eine UV- Strahlungsquelle (30) angebracht ist, die eine Strahlungsin­ tensität von mindestens 800 mW/cm2 erzeugt.4. Apparatus according to claim 3 for performing the procedural method according to claim 2, characterized in that the counter plate ( 20; 20 ';40;74;84; 102 ) consists of quartz and that over the counter plate ( 20; 20';40;74;84; 102 ) a UV radiation source ( 30 ) is attached, which generates a radiation intensity of at least 800 mW / cm 2 . 5. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß in der Gegenplatte (20; 20′; 40; 74; 84; 102) we­ nigstens eine Öffnung zum Zuführen des oxidierenden Gases angebracht ist.5. Apparatus according to claim 3 or 4, characterized in that in the counter plate ( 20; 20 ';40;74;84; 102 ) we at least one opening for supplying the oxidizing gas is attached. 6. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß die Gegenplatte (74; 84) mit mehreren parallelen Zuführungsleitungen (80, 82; 90, 94, 98) für das oxidierende Gas versehen ist, die zu dem Spalt hin offen sind.6. The device according to claim 3 or 4, characterized in that the counter plate ( 74; 84 ) is provided with a plurality of parallel supply lines ( 80, 82; 90, 94, 98 ) for the oxidizing gas, which are open to the gap . 7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, daß die Gegenplatte (84) mit mehreren parallelen Absaugleitungen (92, 96, 100) versehen ist, die zu dem Spalt offen sind und abwechselnd mit den Zuführungsleitungen (90, 94, 98) ange­ ordnet sind.7. The device according to claim 6, characterized in that the counter plate ( 84 ) is provided with a plurality of parallel suction lines ( 92, 96, 100 ) which are open to the gap and alternately arranged with the supply lines ( 90, 94, 98 ) are. 8. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeich­ net, daß das oxidierende Gas dem Spalt zwischen der Schicht­ oberfläche und der Gegenplatte (60, 60′) vom Rand her zuge­ führt wird.8. Apparatus according to claim 3 or 4, characterized in that the oxidizing gas leads to the gap between the layer surface and the counter plate ( 60, 60 ' ) from the edge. 9. Vorrichtung nach einem der Ansprüche 3 bis 8, dadurch gekennzeichnet, daß der Spalt kleiner als 0,6 mm ist.9. Device according to one of claims 3 to 8, characterized characterized in that the gap is less than 0.6 mm. 10. Vorrichtung nach einem der Ansprüche 3 bis 5, dadurch gekennzeichnet, daß die Gegenplatte (102) derart ausgebildet ist, daß der Spalt zum Rand hin enger wird.10. Device according to one of claims 3 to 5, characterized in that the counter plate ( 102 ) is designed such that the gap narrows towards the edge. 11. Vorrichtung nach einem der Ansprüche 3 bis 10, dadurch gekennzeichnet, daß der Schichtträger auf einem zur Durch­ führung einer Drehbewegung antreibbaren Halter (56; 64; 64′) angebracht ist.11. The device according to any one of claims 3 to 10, characterized in that the layer carrier is mounted on a holder for driving through a rotary movement ( 56; 64; 64 ' ).
DE19863624384 1985-07-19 1986-07-18 Device for removing a photoresist layer from a substrate Granted DE3624384A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US75668885A 1985-07-19 1985-07-19

Publications (2)

Publication Number Publication Date
DE3624384A1 DE3624384A1 (en) 1987-01-29
DE3624384C2 true DE3624384C2 (en) 1988-11-10

Family

ID=25044626

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19863624384 Granted DE3624384A1 (en) 1985-07-19 1986-07-18 Device for removing a photoresist layer from a substrate

Country Status (2)

Country Link
JP (1) JPH0628254B2 (en)
DE (1) DE3624384A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2588508B2 (en) * 1986-05-23 1997-03-05 日立東京エレクトロニクス株式会社 Processing equipment
JPH06103661B2 (en) * 1986-12-25 1994-12-14 東京エレクトロン株式会社 Asssing device
JPS63310118A (en) * 1987-06-12 1988-12-19 Ube Ind Ltd Treatment by ozone reaction
JP2801003B2 (en) * 1987-06-26 1998-09-21 株式会社日立製作所 Organic matter removal equipment
JPH01175231A (en) * 1987-12-29 1989-07-11 Fujitsu Ltd Ashing
JP2574838B2 (en) * 1988-01-18 1997-01-22 株式会社日立製作所 Al sputter etching equipment
JPH0713215Y2 (en) * 1988-01-19 1995-03-29 日本電気株式会社 Semiconductor resist ashing equipment
JP2932275B2 (en) * 1988-09-05 1999-08-09 株式会社日立製作所 Organic matter removal equipment
JP2929196B2 (en) * 1988-09-13 1999-08-03 東京エレクトロン株式会社 Heating equipment
JPH088243B2 (en) * 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
DE4238586A1 (en) * 1992-11-16 1994-05-19 Inst Halbleiterphysik Gmbh Appts. for finely cleaning surfaces of semiconductor wafers - comprising lighting source arranged in vacuum chamber contg. substrate
GB2285141B (en) * 1993-12-23 1998-03-11 Motorola Ltd Method of removing photo resist
JP2764690B2 (en) * 1994-05-20 1998-06-11 東京エレクトロン株式会社 Ashing method and ashing device
JP5987815B2 (en) * 2013-12-06 2016-09-07 ウシオ電機株式会社 Ashing method and ashing apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664899A (en) * 1969-12-29 1972-05-23 Gen Electric Removal of organic polymeric films from a substrate
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0612766B2 (en) * 1983-03-04 1994-02-16 株式会社精密エンタプライズ Light irradiation device
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
JPH0691048B2 (en) * 1985-05-17 1994-11-14 日本真空技術株式会社 Substrate dry processing method and apparatus

Also Published As

Publication number Publication date
JPH0628254B2 (en) 1994-04-13
DE3624384A1 (en) 1987-01-29
JPS62290134A (en) 1987-12-17

Similar Documents

Publication Publication Date Title
DE3624384C2 (en)
EP0009558B1 (en) Method and device for modifying a surface by means of a plasma
DE2635066A1 (en) METHOD FOR REMOVING A PHOTORESIS LAYER FROM A SUBSTRATE SURFACE AND DEVICE FOR CARRYING OUT THE METHOD
DE1966237C3 (en) Method for increasing the gradient of electrically active impurity concentrations
DE2140092C3 (en) Process for the production of thin layers on substrates
DE3821093A1 (en) METHOD AND DEVICE FOR TREATING SURFACES
DE3539981C1 (en) Method and device for treating semiconductor materials
DE2601288A1 (en) GAS DEVICE, IN PARTICULAR FOR THE PRODUCTION OF SEMICONDUCTOR DEVICES
DE2534158A1 (en) SEMICONDUCTOR CONSTRUCTION AND METHOD FOR MANUFACTURING IT
DE1564963C3 (en) Method for manufacturing a stabilized semiconductor component
DE102005030338A1 (en) Thin film etching for liquid crystal display device comprises forming layer on substrate, aligning mask having pattern above layer, and removing portion of layer by irradiating substrate with femtosecond laser through mask
DE3919885A1 (en) METHOD AND SYSTEM FOR TREATING POLLUTANT-LIQUID
DE3416470A1 (en) METHOD AND DEVICE FOR THE PRODUCTION OF SEMICONDUCTORS IN THE DRY METHOD USING A PHOTOCHEMICAL REACTION
DE4217836C2 (en) Photoresist removal process
DE19534574C2 (en) Doping process for the production of homojunctions in semiconductor substrates
DE3330032A1 (en) TREATMENT METHOD FOR CARRYING OUT A PLASTIC FLOW OF A GLASS LAYER ON A SEMICONDUCTOR BOARD
DE1544275A1 (en) Manufacture of semiconductor devices
DE3925070A1 (en) METHOD FOR OBTAINING A CLEAN SILICON SURFACE
DE3604342A1 (en) METHOD FOR PRODUCING A PATTERN
DE3032608A1 (en) Semiconductor device prodn. by ion implantation and oxidn. - to give thick and thin oxide films simultaneously on defect and untreated zones
DE2052221C3 (en) Method for producing a silicon oxide layer on a silicon substrate and apparatus for carrying out this method
DE2708720A1 (en) METHOD AND DEVICE FOR CHEMICAL TREATMENT OF A WORKPIECE USING GLIME DISCHARGE
DE69535661T2 (en) A method for producing a film for a semiconductor device at a low temperature
DE4336512A1 (en) Process for control of reaction and an apparatus, carbon-black molecules and organometallic complexes being used in an excited state
EP0028786A1 (en) Ion implantations method

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee