DE102021100006A1 - SEMICONDUCTOR DEVICE AND METHOD - Google Patents

SEMICONDUCTOR DEVICE AND METHOD Download PDF

Info

Publication number
DE102021100006A1
DE102021100006A1 DE102021100006.8A DE102021100006A DE102021100006A1 DE 102021100006 A1 DE102021100006 A1 DE 102021100006A1 DE 102021100006 A DE102021100006 A DE 102021100006A DE 102021100006 A1 DE102021100006 A1 DE 102021100006A1
Authority
DE
Germany
Prior art keywords
conductive
dielectric layer
metallization
package
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021100006.8A
Other languages
German (de)
Inventor
Chia-Kuei Hsu
Ming-Chih Yew
Shu-Shen Yeh
Che-Chia Yang
Po-Yao Lin
Shin-puu Jeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/028,629 external-priority patent/US11264359B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100006A1 publication Critical patent/DE102021100006A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine Ausführungsform betrifft eine Package-Struktur mit einem ersten integrierten Schaltungs-Die und einer Umverteilungsstruktur, die an den ersten integrierten Schaltungs-Die gebondet ist, wobei die Umverteilungsstruktur Folgendes aufweist: eine erste Metallisierungsstruktur in einer ersten dielektrischen Schicht, wobei die erste Metallisierungsstruktur eine Mehrzahl von ersten leitfähigen Strukturelementen aufweist, wobei die ersten leitfähigen Strukturelemente jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, die über der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen in einer Draufsicht jeweils eine Kurvenform aufweisen; eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und der ersten Metallisierungsstruktur; und eine zweite Metallisierungsstruktur in der zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur eine Mehrzahl von zweiten leitfähigen Durchkontaktierungen in der zweiten dielektrischen Schicht aufweist, wobei die zweiten leitfähigen Durchkontaktierungen jeweils über einer jeweiligen ersten leitfähigen Leitung angeordnet sind und mit dieser elektrisch verbunden sind.One embodiment relates to a package structure with a first integrated circuit die and a redistribution structure that is bonded to the first integrated circuit die, the redistribution structure comprising: a first metallization structure in a first dielectric layer, the first metallization structure having a plurality of first conductive structure elements, wherein the first conductive structure elements each comprise a first conductive via and a first conductive line, which is arranged over the first dielectric layer and is electrically connected to the respective first conductive via, the first conductive lines in a plan view each have a curve shape; a second dielectric layer over the first dielectric layer and the first metallization structure; and a second metallization structure in the second dielectric layer, the second metallization structure having a plurality of second conductive vias in the second dielectric layer, the second conductive vias each being arranged over a respective first conductive line and being electrically connected to this.

Description

Prioritätsanspruch und QuerverweisPriority claim and cross-reference

Die vorliegende Anmeldung beansprucht die Priorität der am 27. April 2020 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/015 775 , die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.This application claims priority from U.S. provisional patent application filed on April 27, 2020 with file number 63/015 775 which is incorporated by reference into the present application.

Hintergrundbackground

Die Halbleiterindustrie hat auf Grund von ständigen Verbesserungen bei der Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) ein rasches Wachstum erfahren. Zum größten Teil ist diese Verbesserung der Integrationsdichte auf mehrmalige Verringerungen der kleinsten Strukturbreite zurückzuführen, wodurch mehr Komponenten auf einer gegebenen Fläche integriert werden können. Da die Forderung nach einer Verkleinerung von elektronischen Vorrichtungen stärker geworden ist, ist ein Bedarf an schnelleren und kreativeren Verkappungsverfahren für Halbleiterdies entstanden. Ein Beispiel für solche Verkappungssysteme ist die Package-on-Package-Technologie (PoP-Technologie). Bei einer PoP-Vorrichtung wird ein oberes Halbleiter-Package auf ein unteres Halbleiter-Package gestapelt, um einen hohen Integrationsgrad und eine hohe Komponentendichte zu erzielen. Die PoP-Technologie ermöglicht im Allgemeinen die Herstellung von Halbleitervorrichtungen mit verbesserten Funktionalitäten und kleinen Anschlussflächen auf einer gedruckten Leiterplatte (PCB).The semiconductor industry has experienced rapid growth due to constant improvements in the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density is due to repeated reductions in the smallest feature width, which means that more components can be integrated on a given area. As the demand for miniaturization of electronic devices has increased, a need for faster and more creative packaging methods for semiconductor devices has arisen. Package-on-package technology (PoP technology) is an example of such encapsulation systems. In a PoP device, an upper semiconductor package is stacked on a lower semiconductor package in order to achieve a high degree of integration and a high component density. PoP technology generally enables semiconductor devices with improved functionality and small pads to be fabricated on a printed circuit board (PCB).

FigurenlisteFigure list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • 1 zeigt eine Schnittansicht eines integrierten Schaltungs-Dies gemäß einigen Ausführungsformen.
  • Die 2 bis 7 und 10 bis 18 zeigen Schnittansichten von Zwischenstufen während eines Prozesses zur Herstellung einer Package-Komponente gemäß einigen Ausführungsformen.
  • 8 ist eine Draufsicht von leitfähigen Strukturelementen gemäß einigen Ausführungsformen.
  • Die 9A und 9B sind detaillierte Draufsichten der in 8 gezeigten leitfähigen Strukturelemente gemäß einigen Ausführungsformen.
  • 19 zeigt eine Schnittansicht der Herstellung und Implementierung von Vorrichtungsstapeln gemäß einigen Ausführungsformen.
Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various elements are not shown to scale. Rather, the dimensions of the various elements can be arbitrarily increased or decreased for the sake of clarity of explanation.
  • 1 FIG. 10 shows a cross-sectional view of an integrated circuit die in accordance with some embodiments.
  • the 2 until 7th and 10 until 18th Figure 10 shows cross-sectional views of intermediate stages during a process of manufacturing a package component in accordance with some embodiments.
  • 8th FIG. 3 is a top view of conductive features in accordance with some embodiments.
  • the 9A and 9B are detailed top views of the in 8th shown conductive structure elements according to some embodiments.
  • 19th Figure 12 shows a cross-sectional view of manufacturing and implementing device stacks in accordance with some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The description below provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below in order to simplify the present invention. These are of course only examples and are not intended to be limiting. For example, the manufacture of a first element over or on a second element in the description below may include embodiments in which the first and second elements are made in direct contact, and it can also include embodiments in which additional elements are placed between the first and the second element can be made so that the first and second elements are not in direct contact. In addition, in the present invention, reference numbers and / or letters may be repeated in the various examples. This repetition is for the sake of simplicity and clarity and does not per se prescribe a relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.In addition, spatially relative terms such as "below", "below", "lower" / "lower", "above", "upper" / "upper" and the like can be used for simplicity Description of the relationship of an element or structure to one or more other elements or structures shown in the figures. The spatially relative terms are intended to include other orientations of the device in use or in operation in addition to the orientation shown in the figures. The device can be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative descriptors used herein can also be interpreted accordingly.

Es werden Umverteilungsstrukturen mit Metallisierungsstrukturen sowie Verfahren zu deren Herstellung gemäß einigen Ausführungsformen bereitgestellt. Insbesondere weisen die Umverteilungsstrukturen Metallisierungsstrukturen mit Formen auf, die eine größere Flexibilität ermöglichen, damit die Metallisierungsstruktur einer Durchbiegung und anderen Verformungen standhalten kann, ohne zu zerbrechen. Zum Beispiel können die Metallisierungsstrukturen eine Kurvenform, eine C-Form oder eine U-Form in einer Draufsicht haben. Metallisierungsstrukturen in Umverteilungsstrukturen können sich auf Grund einer CTE-Diskrepanz (CTE: Wärmeausdehnungskoeffizient) zwischen Materialien in einem Halbleiter-Package durchbiegen oder verformen. Diese CTE-Diskrepanz kann dazu führen, dass die Metallisierungsstrukturen auf Grund der Durchbiegung und Verformung einer hohen mechanischen Spannung ausgesetzt werden. Die offenbarten Formen der Metallisierungsstrukturen mit der erhöhten Flexibilität erhöhen jedoch die Zuverlässigkeit der Umverteilungsstruktur. Diese flexibel geformten Metallisierungsstrukturen sind von konformen dielektrischen Schichten, wie etwa Polymerschichten, umschlossen. Die Kombination aus den flexibel geformten Metallisierungsstrukturen und den umschließenden konformen dielektrischen Schichten stellt einen Puffer zum Entspannen in der Umverteilungsstruktur und der Package-Struktur bereit.Redistribution structures with metallization structures and methods for their production according to some embodiments are provided. In particular, the redistribution structures have metallization structures with shapes that allow greater flexibility so that the metallization structure can withstand bending and other deformations without break. For example, the metallization structures may have a curve shape, a C shape, or a U shape in a plan view. Metallization structures in redistribution structures can bend or deform due to a CTE discrepancy (CTE: coefficient of thermal expansion) between materials in a semiconductor package. This CTE discrepancy can result in the metallization structures being exposed to high mechanical stress due to the deflection and deformation. However, the disclosed forms of the metallization structures with the increased flexibility increase the reliability of the redistribution structure. These flexibly shaped metallization structures are enclosed by conformal dielectric layers, such as for example polymer layers. The combination of the flexibly shaped metallization structures and the enclosing conformal dielectric layers provides a buffer for relaxation in the redistribution structure and the package structure.

1 zeigt eine Schnittansicht eines integrierten Schaltungs-Dies 50 gemäß einigen Ausführungsformen. Der integrierte Schaltungs-Die 50 wird bei der späteren Bearbeitung zu einem integrierten Schaltungs-Package verkappt. Der integrierte Schaltungs-Die 50 kann Folgendes sein: ein Logik-Die, z. B. ein CPU-Die (CPU: Hauptprozessor), ein GPU-Die (GPU: Grafikprozessor), ein SoC-Die (SoC: System-on-a-Chip), ein Anwendungsprozessor-Die (AP-Die), ein Microcontroller-Die; ein Speicher-Die, z. B. ein DRAM-Die (DRAM: dynamischer Direktzugriffsspeicher), ein SRAM-Die (SRAM: statischer Direktzugriffsspeicher), ein Power-Management-Die, z. B. ein integrierter Power-Management-Schaltkreis (PMIC), ein Hochfrequenz-Die (HF-Die), ein Sensor-Die; ein MEMS-Die (MEMS: mikroelektromechanisches System); ein Signalverarbeitungs-Die, z. B. ein DSP-Die (DSP: digitale Signalverarbeitung); ein Front-End-Die, z. B. ein analoger Front-End-Die (AFE-Die), oder dergleichen; oder eine Kombination davon. 1 Figure 12 shows a cross-sectional view of an integrated circuit die 50 according to some embodiments. The integrated circuit die 50 is capped to an integrated circuit package during later processing. The integrated circuit die 50 can be: a logic die, e.g. B. a CPU die (CPU: main processor), a GPU die (GPU: graphics processor), a SoC die (SoC: system-on-a-chip), an application processor die (AP die), a microcontroller -The; a memory die, e.g. B. a DRAM die (DRAM: dynamic random access memory), an SRAM die (SRAM: static random access memory), a power management die, e.g. B. an integrated power management circuit (PMIC), a radio frequency die (HF die), a sensor die; a MEMS die (MEMS: microelectromechanical system); a signal processing die, e.g. B. a DSP die (DSP: digital signal processing); a front-end die, e.g. B. an analog front-end die (AFE die), or the like; or a combination of these.

Der Integrierter-Schaltkreis-Die 50 kann in einem Wafer hergestellt werden, der unterschiedliche Vorrichtungsbereiche aufweisen kann, die in späteren Schritten vereinzelt werden, um eine Mehrzahl von integrierten Schaltungs-Dies herzustellen. Der integrierte Schaltungs-Die 50 kann mit geeigneten Herstellungsprozessen bearbeitet werden, um integrierte Schaltkreise herzustellen. Der integrierte Schaltungs-Die 50 weist zum Beispiel ein Halbleitersubstrat 52 auf, wie etwa dotiertes oder undotiertes Silizium oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats). Das Halbleitersubstrat 52 kann Folgendes aufweisen: andere Halbleitermaterialien, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Das Halbleitersubstrat 52 hat eine aktive Seite (z. B. die Seite, die in 1 nach oben zeigt), die gelegentlich als eine Vorderseite bezeichnet wird, und eine inaktive Seite (z. B. die Seite, die in 1 nach unten zeigt), die gelegentlich als eine Rückseite bezeichnet wird.The integrated circuit die 50 can be fabricated in a wafer that may have different device areas that are diced in later steps to fabricate a plurality of integrated circuit dies. The integrated circuit die 50 can be machined with suitable manufacturing processes to produce integrated circuits. The integrated circuit die 50 comprises, for example, a semiconductor substrate 52 such as doped or undoped silicon or an active layer of a semiconductor-on-insulator substrate (SOI substrate). The semiconductor substrate 52 may include: other semiconductor materials, such as germanium; a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and / or indium antimonide; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; or combinations thereof. Other substrates, such as multilayer or gradient substrates, can also be used. The semiconductor substrate 52 has an active page (e.g. the page that is in 1 facing up), sometimes referred to as a front side, and an inactive side (e.g., the side that appears in 1 facing down), sometimes referred to as a back side.

Auf der Vorderseite des Halbleitersubstrats 52 können Vorrichtungen 54 (die durch einen Transistor dargestellt sind) hergestellt werden. Die Vorrichtungen 54 können aktive Vorrichtungen (z. B. Transistoren, Dioden usw.), Kondensatoren, Widerstände oder dergleichen sein. Über der Vorderseite des Halbleitersubstrats 52 wird ein Zwischenschicht-Dielektrikum (ILD) 56 hergestellt. Das ILD 56 umschließt die Vorrichtungen 54 und kann diese bedecken. Das ILD 56 kann eine oder mehrere dielektrische Schichten aufweisen, die aus Materialien wie Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertem Silicatglas (USG) oder dergleichen hergestellt sind.On the front of the semiconductor substrate 52 can fixtures 54 (which are represented by a transistor). The devices 54 can be active devices (e.g., transistors, diodes, etc.), capacitors, resistors, or the like. Over the front of the semiconductor substrate 52 becomes an interlayer dielectric (ILD) 56 manufactured. The ILD 56 encloses the devices 54 and can cover them. The ILD 56 may include one or more dielectric layers made from materials such as phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), undoped silicate glass (USG), or the like.

Durch das ILD 56 erstrecken sich leitfähige Stifte 58, um die Vorrichtungen 54 physisch und elektrisch zu verbinden. Wenn die Vorrichtungen 54 zum Beispiel Transistoren sind, können die leitfähigen Stifte 58 Gates und Source-/Drainbereiche der Transistoren verbinden. Die leitfähigen Stifte 58 können aus Wolfram, Cobalt, Nickel, Kupfer, Silber, Gold, Aluminium oder dergleichen oder Kombinationen davon hergestellt werden. Über dem ILD 56 und den leitfähigen Stiften 58 ist eine Interconnect-Struktur 60 angeordnet. Die Interconnect-Struktur 60 verbindet die Vorrichtungen 54 miteinander zu einem integrierten Schaltkreis. Die Interconnect-Struktur 60 kann zum Beispiel von Metallisierungsstrukturen in dielektrischen Schichten auf dem ILD 56 gebildet werden. Die Metallisierungsstrukturen umfassen Metallleitungen und Durchkontaktierungen, die in einer oder mehreren dielektrischen Low-k-Schichten hergestellt sind. Die Metallisierungsstrukturen der Interconnect-Struktur 60 sind durch die leitfähigen Stifte 58 mit den Vorrichtungen 54 elektrisch verbunden.Through the ILD 56 extend conductive pins 58 to get the fixtures 54 physically and electrically connect. When the devices 54 for example transistors can be the conductive pins 58 Connect the gates and source / drain regions of the transistors. The conductive pins 58 can be made from tungsten, cobalt, nickel, copper, silver, gold, aluminum, or the like, or combinations thereof. Above the ILD 56 and the conductive pins 58 is an interconnect structure 60 arranged. The interconnect structure 60 connects the devices 54 together to form an integrated circuit. The interconnect structure 60 can, for example, of metallization structures in dielectric layers on the ILD 56 are formed. The metallization structures include metal lines and vias fabricated in one or more low-k dielectric layers. The metallization structures of the interconnect structure 60 are through the conductive pins 58 with the devices 54 electrically connected.

Der integrierte Schaltungs-Die 50 weist außerdem Pads 62, wie etwa Aluminiumpads, auf, mit denen Außenanschlüsse hergestellt werden. Die Pads 62 sind auf der aktiven Seite des integrierten Schaltungs-Dies 50 angeordnet, wie etwa in und/oder auf der Interconnect-Struktur 60. Eine oder mehrere Passivierungsschichten 64 sind auf dem integrierten Schaltungs-Die 50 angeordnet, wie etwa auf Teilen der Interconnect-Struktur 60 und den Pads 62. Durch die Passivierungsschichten 64 erstrecken sich Öffnungen bis zu den Pads 62. Die-Verbindungselemente 66, wie etwa leitfähige Säulen (die zum Beispiel aus einem Metall wie Kupfer hergestellt sind), erstrecken sich durch die Öffnungen in den Passivierungsschichten 64 und sind physisch und elektrisch mit jeweiligen der Pads 62 verbunden. Die Die-Verbindungselemente 66 können zum Beispiel durch Plattieren oder dergleichen hergestellt werden. Die Die-Verbindungselemente 66 verbinden die jeweiligen integrierten Schaltkreise des integrierten Schaltungs-Dies 50 elektrisch.The integrated circuit die 50 also has pads 62 such as aluminum pads that are used to make external connections. The pads 62 are on the active side of the integrated circuit die 50 arranged, such as in and / or on the interconnect structure 60 . One or more passivation layers 64 are on the integrated circuit die 50 arranged, such as on parts of the interconnect structure 60 and the pads 62 . Through the passivation layers 64 openings extend to the pads 62 . Die fasteners 66 , such as conductive pillars (those for example made of a metal such as copper) extend through the openings in the passivation layers 64 and are physically and electrically connected to respective ones of the pads 62 tied together. The die fasteners 66 can be made, for example, by plating or the like. The die fasteners 66 connect the respective integrated circuits of the integrated circuit die 50 electric.

Optional können Lotbereiche (z. B. Lotkugeln oder Lötkontakthügel) auf den Pads 62 angeordnet werden. Die Lotkugeln können zum Durchführen einer Chipsondenprüfung (CP-Prüfung) an dem integrierten Schaltungs-Die 50 verwendet werden. Die CP-Prüfung kann an dem integrierten Schaltungs-Die 50 durchgeführt werden, um zu ermitteln, ob der integrierte Schaltungs-Die 50 ein erwiesenermaßen guter Die (KGD) ist. Somit werden nur integrierte Schaltungs-Dies 50, die KGDs sind, weiterbearbeitet und verkappt, und Dies, die die CP-Prüfung nicht bestehen, werden nicht verkappt. Nach der CP-Prüfung können die Lotbereiche in späteren Bearbeitungsschritten entfernt werden.Optionally, solder areas (e.g. solder balls or solder bumps) can be placed on the pads 62 to be ordered. The solder balls can be used to perform chip probe (CP) testing on the integrated circuit die 50 be used. The CP exam can be taken at the integrated circuit die 50 be performed to determine if the integrated circuit die 50 is a proven good die (KGD). Thus, only integrated circuit dies 50 that are KGDs are processed further and masked, and those that fail the CP exam are not masked. After the CP check, the solder areas can be removed in later processing steps.

Auf der aktiven Seite des integrierten Schaltungs-Dies 50, wie etwa auf den Passivierungsschichten 64 und den Die-Verbindungselementen 66, kann eine dielektrische Schicht 68 hergestellt werden (oder auch nicht). Die dielektrische Schicht 68 verkapselt die Die-Verbindungselemente 66 seitlich und grenzt seitlich an den integrierten Schaltungs-Die 50 an. Zunächst kann die dielektrische Schicht 68 die Die-Verbindungselemente 66 verdecken, sodass sich die oberste Seite der dielektrischen Schicht 68 über obersten Seiten der Die-Verbindungselemente 66 befindet. Bei einigen Ausführungsformen, bei denen Lotbereiche auf den Die-Verbindungselementen 66 angeordnet sind, kann die dielektrische Schicht 68 auch die Lotbereiche verdecken. Alternativ können die Lotbereiche vor dem Herstellen der dielektrischen Schicht entfernt werden.On the active side of the integrated circuit die 50 such as on the passivation layers 64 and the die fasteners 66 , can be a dielectric layer 68 be produced (or not). The dielectric layer 68 encapsulates the die fasteners 66 laterally and laterally adjacent to the integrated circuit die 50 at. First of all, the dielectric layer 68 the die fasteners 66 cover up so that the top side of the dielectric layer is 68 over top sides of the die fasteners 66 is located. In some embodiments that have solder areas on the die connectors 66 are arranged, the dielectric layer 68 also cover the solder areas. Alternatively, the solder areas can be removed before the production of the dielectric layer.

Die dielektrische Schicht 68 kann ein Polymer, wie etwa Polybenzoxazol (PBO), ein Polyimid, Benzocyclobuten (BCB) oder dergleichen; ein Nitrid, wie etwa Siliziumnitrid oder dergleichen; ein Oxid, wie etwa Siliziumoxid, PSG, BSG, BPSG oder dergleichen; oder dergleichen oder eine Kombination davon sein. Die dielektrische Schicht 68 kann zum Beispiel durch Schleuderbeschichtung, Laminierung, chemische Aufdampfung (CVD) oder dergleichen hergestellt werden. Bei einigen Ausführungsformen werden die Die-Verbindungselemente 66 während der Herstellung des integrierten Schaltungs-Dies 50 durch die dielektrische Schicht 68 freigelegt. Bei einigen Ausführungsformen bleiben die Die-Verbindungselemente 66 verdeckt und werden während eines späteren Prozesses zum Verkappen des integrierten Schaltungs-Dies 50 freigelegt. Durch das Freilegen der Die-Verbindungselemente 66 können Lotbereiche entfernt werden, die auf den Die-Verbindungselementen 66 vorhanden sein können.The dielectric layer 68 can be a polymer such as polybenzoxazole (PBO), a polyimide, benzocyclobutene (BCB), or the like; a nitride such as silicon nitride or the like; an oxide such as silica, PSG, BSG, BPSG, or the like; or the like or a combination thereof. The dielectric layer 68 can be made, for example, by spin coating, lamination, chemical vapor deposition (CVD), or the like. In some embodiments, the die connectors 66 during the manufacture of the integrated circuit die 50 through the dielectric layer 68 exposed. In some embodiments, the die connectors remain 66 hidden and will be used during a later process of packaging the integrated circuit die 50 exposed. By exposing the die fasteners 66 Solder areas can be removed that are on the die fasteners 66 may be present.

Bei einigen Ausführungsformen ist der integrierte Schaltungs-Die 50 eine Stapelvorrichtung, die mehrere Halbleitersubstrate 52 aufweist. Der integrierte Schaltungs-Die 50 kann zum Beispiel eine Speichervorrichtung, wie etwa ein HMC-Modul (HMC: Hybridspeicherwürfel), ein HBM-Modul (HBM: Speicher mit hoher Bandbreite) oder dergleichen, sein, die mehrere Speicher-Dies aufweist. Bei diesen Ausführungsformen weist der integrierte Schaltungs-Die 50 mehrere Halbleitersubstrate 52 auf, die durch Substrat-Durchkontaktierungen (TSVs) miteinander verbunden sind. Die Halbleitersubstrate 52 können jeweils eine Interconnect-Struktur 60 aufweisen (oder auch nicht).In some embodiments, the integrated circuit die is 50 a stacking device that includes multiple semiconductor substrates 52 having. The integrated circuit die 50 For example, a memory device such as a hybrid memory cube (HMC) module, high bandwidth memory (HBM) module, or the like that has multiple memory dies. In these embodiments, the integrated circuit die 50 multiple semiconductor substrates 52 which are interconnected by substrate vias (TSVs). The semiconductor substrates 52 can each have an interconnect structure 60 have (or not).

Die 2 bis 18 zeigen Schnittansichten von Zwischenstufen während eines Prozesses zur Herstellung einer Package-Komponente 100 gemäß einigen Ausführungsformen. Gezeigt sind ein erster Package-Bereich 100A und ein zweiter Package-Bereich 100B, wobei ein oder mehrere der integrierten Schaltungs-Dies 50 in jedem der Package-Bereiche 100A und 100B zu einem integrierten Schaltungs-Package verkappt werden. Die Integrierten Schaltungs-Packages können auch als integrierte Fan-out-Packages (InFO-Packages) bezeichnet werden.the 2 until 18th Figure 11 shows sectional views of intermediate stages during a process for manufacturing a package component 100 according to some embodiments. A first package area is shown 100A and a second package area 100B , where one or more of the integrated circuit dies 50 in each of the package areas 100A and 100B be capped to form an integrated circuit package. The integrated circuit packages can also be referred to as integrated fan-out packages (InFO packages).

In 2 wird ein Trägersubstrat 102 bereitgestellt, und auf dem Trägersubstrat 102 wird eine Ablöseschicht 104 hergestellt. Das Trägersubstrat 102 kann ein Glas-Trägersubstrat, ein Keramik-Trägersubstrat oder dergleichen sein. Das Trägersubstrat 102 kann ein Wafer sein, sodass mehrere Packages gleichzeitig auf dem Trägersubstrat 102 hergestellt werden können.In 2 becomes a carrier substrate 102 provided, and on the carrier substrate 102 becomes a release layer 104 manufactured. The carrier substrate 102 can be a glass carrier substrate, a ceramic carrier substrate or the like. The carrier substrate 102 can be a wafer so that several packages are placed on the carrier substrate at the same time 102 can be produced.

Die Ablöseschicht 104 kann aus einem Material auf Polymerbasis hergestellt werden, das zusammen mit dem Trägersubstrat 102 von darüber befindlichen Strukturen, die in späteren Schritten hergestellt werden, entfernt werden kann. Bei einigen Ausführungsformen ist die Ablöseschicht 104 ein durch Wärme ablösbares Material auf Epoxidbasis, das beim Erwärmen sein Haftvermögen verliert, wie etwa ein LTHC-Ablösebelag (LTHC: Licht-Wärme-Umwandlung). Bei anderen Ausführungsformen kann die Ablöseschicht 104 ein Ultraviolett(UV)-Klebstoff sein, der sein Haftvermögen verliert, wenn er mit UV-Licht bestrahlt wird. Die Ablöseschicht 104 kann als eine Flüssigkeit verteilt werden und gehärtet werden, oder sie kann eine Laminatschicht, mit der das Trägersubstrat 102 beschichtet wird, oder dergleichen sein. Eine Oberseite der Ablöseschicht 104 kann egalisiert werden und kann ein hohes Maß an Planarität haben.The release layer 104 can be made from a polymer-based material that coexists with the support substrate 102 can be removed from overlying structures that will be fabricated in later steps. In some embodiments, the release liner is 104 a heat-releasable epoxy-based material that loses its adhesion when heated, such as an LTHC release liner (LTHC: light-to-heat conversion). In other embodiments, the release layer 104 an ultraviolet (UV) adhesive that loses its adhesion when exposed to UV light. The release layer 104 can be distributed as a liquid and cured, or it can be a laminate layer with which the carrier substrate 102 is coated, or the like. A top of the release liner 104 can be leveled and can have a high degree of planarity.

In den 3 bis 7 wird eine Umverteilungsstruktur 120 (siehe 7) über der Ablöseschicht 104 hergestellt. Die Umverteilungsstruktur 120 weist dielektrische Schichten 124, 128, 132, 136 und 140 und Metallisierungsstrukturen 126, 130, 134 und 138 auf. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Die Umverteilungsstruktur 120 ist als ein Beispiel mit vier Schichten von Metallisierungsstrukturen gezeigt. In der Umverteilungsstruktur 120 können mehr oder weniger dielektrische Schichten und Metallisierungsstrukturen hergestellt werden. Wenn weniger dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können nachstehend beschriebene Schritte und Prozesse weggelassen werden. Wenn mehr dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können nachstehend beschriebene Schritte und Prozesse wiederholt werden.In the 3 until 7th becomes a redistribution structure 120 (please refer 7th ) over the release layer 104 manufactured. The redistribution structure 120 has dielectric layers 124 , 128 , 132 , 136 and 140 and metallization structures 126 , 130 , 134 and 138 on. The metallization structures can also be referred to as redistribution layers or redistribution lines. The redistribution structure 120 is shown as an example with four layers of metallization structures. In the redistribution structure 120 more or less dielectric layers and metallization structures can be produced. If fewer dielectric layers and metallization structures are to be produced, the steps and processes described below can be omitted. If more dielectric layers and metallization structures are to be fabricated, the steps and processes described below can be repeated.

In 3 wird die dielektrische Schicht 124 auf der Ablöseschicht 104 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 124 aus einem lichtempfindlichen Material, wie etwa PBO, einem Polyimid, BCB oder dergleichen hergestellt, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Die dielektrische Schicht 124 kann durch Schleuderbeschichtung, CVD, Laminierung oder dergleichen oder eine Kombination davon hergestellt werden. Die dielektrische Schicht 124 wird dann strukturiert, um Öffnungen zu erzeugen, die Teile der Ablöseschicht 104 freilegen. Das Strukturieren kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten und Entwickeln der dielektrischen Schicht 124, wenn sie ein lichtempfindliches Material ist, oder durch Ätzen, zum Beispiel durch anisotropes Ätzen.In 3 becomes the dielectric layer 124 on the release liner 104 deposited. In some embodiments, the dielectric layer is 124 made of a photosensitive material such as PBO, a polyimide, BCB or the like, which can be patterned using a lithographic mask. The dielectric layer 124 can be made by spin coating, CVD, lamination, or the like, or a combination thereof. The dielectric layer 124 is then patterned to create openings which are portions of the release liner 104 uncover. The patterning can be carried out by a suitable method, such as by exposing and developing the dielectric layer 124 when it is a photosensitive material, or by etching such as anisotropic etching.

Dann wird die Metallisierungsstruktur 126 hergestellt. Die Metallisierungsstruktur 126 umfasst leitfähige Elemente, die sich entlang der Hauptfläche der dielektrischen Schicht 124 und durch die dielektrische Schicht 124 erstrecken. Zum Beispiel kann die Metallisierungsstruktur 126 dadurch hergestellt werden, dass eine Seedschicht über der dielektrischen Schicht 124 und in den Öffnungen hergestellt wird, die sich durch die dielektrische Schicht 124 erstrecken. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einigen Ausführungsformen umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch physikalische Aufdampfung (PVD) oder dergleichen hergestellt werden. Dann wird auf der Seedschicht ein Fotoresist hergestellt, das anschließend strukturiert wird. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 126. Durch das Strukturieren werden Öffnungen durch das Fotoresist erzeugt, um die Seedschicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seedschicht wird dann ein leitfähiges Material abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Die Kombination aus dem leitfähigen Material und den darunter befindlichen Teilen der Seedschicht bildet die Metallisierungsstruktur 126. Dann werden das Fotoresist und die Teile der Seedschicht entfernt, auf denen das leitfähige Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, zum Beispiel unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden freigelegte Teile der Seedschicht zum Beispiel mit einem geeigneten Ätzprozess, wie etwa durch Nass- oder Trockenätzung, entfernt.Then the metallization structure 126 manufactured. The metallization structure 126 includes conductive elements that extend along the major surface of the dielectric layer 124 and through the dielectric layer 124 extend. For example, the metallization structure 126 be made by having a seed layer over the dielectric layer 124 and is made in the openings extending through the dielectric layer 124 extend. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be produced, for example, by physical vapor deposition (PVD) or the like. A photoresist is then produced on the seed layer, which is then structured. The photoresist can be prepared by spin coating or the like and can be exposed for patterning. The structure of the photoresist corresponds to the metallization structure 126 . The patterning creates openings through the photoresist in order to expose the seed layer. A conductive material is then deposited in the openings of the photoresist and on the exposed parts of the seed layer. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and the parts of the seed layer underneath it forms the metallization structure 126 . Then the photoresist and the parts of the seed layer on which the conductive material has not been deposited are removed. The photoresist can be removed by a suitable stripping or stripping process, for example using an oxygen plasma or the like. After the photoresist has been removed, exposed parts of the seed layer are removed, for example using a suitable etching process, such as wet or dry etching.

In 4 wird die dielektrische Schicht 128 auf der Metallisierungsstruktur 126 und der dielektrischen Schicht 124 abgeschieden. Die dielektrische Schicht 128 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden.In 4th becomes the dielectric layer 128 on the metallization structure 126 and the dielectric layer 124 deposited. The dielectric layer 128 may be made in a similar manner and material as the dielectric layer 124 getting produced.

Dann wird die Metallisierungsstruktur 130 hergestellt. Die Metallisierungsstruktur 130 umfasst Leitungsteile auf und entlang der Hauptfläche der dielektrischen Schicht 128. Die Metallisierungsstruktur 130 umfasst weiterhin Durchkontaktierungsteile, die sich durch die dielektrische Schicht 128 erstrecken, um die Metallisierungsstruktur 126 physisch und elektrisch zu verbinden. Die Metallisierungsstruktur 130 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die Metallisierungsstruktur 126 hergestellt werden. Bei einigen Ausführungsformen hat die Metallisierungsstruktur 130 eine andere Größe als die Metallisierungsstruktur 126. Zum Beispiel können die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 130 breiter oder dicker als die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 126 sein. Außerdem kann die Metallisierungsstruktur 130 mit einem größeren Abstand als die Metallisierungsstruktur 126 hergestellt werden.Then the metallization structure 130 manufactured. The metallization structure 130 includes lead portions on and along the major surface of the dielectric layer 128 . The metallization structure 130 further includes via portions extending through the dielectric layer 128 extend to the metallization structure 126 physically and electrically connect. The metallization structure 130 can be made in a similar manner and material as the metallization structure 126 getting produced. In some embodiments, the metallization structure has 130 a different size than the metallization structure 126 . For example, the conductive lines and / or vias of the metallization structure 130 wider or thicker than the conductive lines and / or vias of the metallization structure 126 be. In addition, the metallization structure 130 with a greater distance than the metallization structure 126 getting produced.

In 5 wird die dielektrische Schicht 132 auf der Metallisierungsstruktur 130 und der dielektrischen Schicht 128 abgeschieden. Die dielektrische Schicht 132 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden.In 5 becomes the dielectric layer 132 on the metallization structure 130 and the dielectric layer 128 deposited. The dielectric layer 132 may be made in a similar manner and material as the dielectric layer 124 getting produced.

Dann wird die Metallisierungsstruktur 134 hergestellt. Die Metallisierungsstruktur 134 umfasst Teile auf und entlang der Hauptfläche der dielektrischen Schicht 132. Die Metallisierungsstruktur 134 weist außerdem Teile auf, die sich durch die dielektrische Schicht 132 erstrecken, um die Metallisierungsstruktur 130 physisch und elektrisch zu verbinden. Die Metallisierungsstruktur 134 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die Metallisierungsstruktur 126 hergestellt werden. Bei einigen Ausführungsformen hat die Metallisierungsstruktur 134 eine andere Größe als die Metallisierungsstrukturen 126 und 130. Zum Beispiel können die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 134 breiter oder dicker als die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstrukturen 126 und 130 sein. Außerdem kann die Metallisierungsstruktur 134 mit einem größeren Abstand als die Metallisierungsstruktur 130 hergestellt werden.Then the metallization structure 134 manufactured. The metallization structure 134 includes portions on and along the major surface of the dielectric layer 132 . The metallization structure 134 also has parts that extend through the dielectric layer 132 extend to the metallization structure 130 physically and electrically connect. The metallization structure 134 can be made in a similar manner and material as the metallization structure 126 getting produced. In some embodiments, the metallization structure has 134 a different size than the metallization structures 126 and 130 . For example, the conductive lines and / or vias of the metallization structure 134 wider or thicker than the conductive lines and / or vias of the metallization structures 126 and 130 be. In addition, the metallization structure 134 with a greater distance than the metallization structure 130 getting produced.

In 6 wird die dielektrische Schicht 136 auf der Metallisierungsstruktur 134 und der dielektrischen Schicht 132 abgeschieden. Die dielektrische Schicht 136 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden.In 6th becomes the dielectric layer 136 on the metallization structure 134 and the dielectric layer 132 deposited. The dielectric layer 136 may be made in a similar manner and material as the dielectric layer 124 getting produced.

Dann wird die Metallisierungsstruktur 138 hergestellt. Die Metallisierungsstruktur 138 umfasst Teile 138a (mit Teilen i38ai, 138a2 und 138a3, die später unter Bezugnahme auf die 9A und 9B erörtert werden) auf und entlang der Hauptfläche der dielektrischen Schicht 132. Die Metallisierungsstruktur 138 weist außerdem Teile 138b auf, die sich durch die dielektrische Schicht 136 erstrecken, um die Metallisierungsstruktur 134 physisch und elektrisch zu verbinden. Die Metallisierungsstruktur 138 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die Metallisierungsstruktur 126 hergestellt werden. Die Metallisierungsstruktur 138 ist die oberste Metallisierungsstruktur der Umverteilungsstruktur 120. Bei einigen Ausführungsformen hat die Metallisierungsstruktur 138 eine andere Form als die Metallisierungsstrukturen 126, 130 und 134. Zum Beispiel können die Teile 138a der Metallisierungsstruktur 138 eine Kurvenform, eine C-Form oder eine U-Form in einer Draufsicht haben, und sie können sich biegen und verformen, ohne zu zerbrechen (siehe 8, 9A und 9B), wie später dargelegt wird. Außerdem können die Metallisierungsstrukturen 134, 130 und 126 mit einem größeren Abstand als die Metallisierungsstruktur 138 hergestellt werden.Then the metallization structure 138 manufactured. The metallization structure 138 includes parts 138a (with parts i38ai, 138a2, and 138a3 discussed later with reference to the 9A and 9B on and along the major surface of the dielectric layer 132 . The metallization structure 138 also has parts 138b on that extends through the dielectric layer 136 extend to the metallization structure 134 physically and electrically connect. The metallization structure 138 can be made in a similar manner and material as the metallization structure 126 getting produced. The metallization structure 138 is the top metallization structure of the redistribution structure 120 . In some embodiments, the metallization structure has 138 a different shape than the metallization structures 126 , 130 and 134 . For example, the parts can 138a the metallization structure 138 have a curve shape, a C shape or a U shape in a plan view, and they can bend and deform without breaking (see 8th , 9A and 9B) as will be set out later. In addition, the metallization structures 134 , 130 and 126 with a greater distance than the metallization structure 138 getting produced.

In 7 wird die dielektrische Schicht 140 auf der Metallisierungsstruktur 138 und der dielektrischen Schicht 136 abgeschieden. Die dielektrische Schicht 140 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden. Die dielektrische Schicht 140 wird dann strukturiert, um Öffnungen zu erzeugen, die Teile der Metallisierungsstruktur 138 freilegen. Das Strukturieren kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten und Entwickeln der dielektrischen Schicht 140, wenn sie ein lichtempfindliches Material ist, oder durch Ätzen, zum Beispiel durch anisotropes Ätzen.In 7th becomes the dielectric layer 140 on the metallization structure 138 and the dielectric layer 136 deposited. The dielectric layer 140 may be made in a similar manner and material as the dielectric layer 124 getting produced. The dielectric layer 140 is then patterned to create openings which are parts of the metallization structure 138 uncover. The patterning can be carried out by a suitable method, such as by exposing and developing the dielectric layer 140 when it is a photosensitive material, or by etching such as anisotropic etching.

Die dielektrische Schicht 140 hat eine Dicke T1, und die leitfähigen Strukturelemente der Metallisierungsstruktur 138 haben eine Dicke T2. Bei einigen Ausführungsformen ist die Dicke T1 der dielektrischen Schicht 140 größer als die Dicke T2 der Metallisierungsstruktur 138. Bei einigen Ausführungsformen beträgt die Dicke T1 5 µm bis 20 µm. Bei einigen Ausführungsformen beträgt die Dicke T1 5 µm bis 8 µm. Bei einigen Ausführungsformen beträgt die Dicke T2 2 µm bis 15 µm. Bei einigen Ausführungsformen beträgt die Dicke T2 2 µm bis 5 µm.The dielectric layer 140 has a thickness T 1 , and the conductive structural elements of the metallization structure 138 have a thickness T2 . In some embodiments, the thickness is T 1 of the dielectric layer 140 greater than the thickness T 2 of the metallization structure 138 . In some embodiments, the thickness T 1 is 5 µm to 20 µm. In some embodiments, the thickness T 1 is 5 µm to 8 µm. In some embodiments, the thickness T 2 is 2 µm to 15 µm. In some embodiments, the thickness T 2 is 2 µm to 5 µm.

Bei einigen Ausführungsformen hat die Metallisierungsstruktur 138 eine andere Größe als die Metallisierungsstrukturen 126, 130 und 134. Zum Beispiel können bei einigen Ausführungsformen die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 138 breiter oder dicker als die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstrukturen 126, 130 und 134 sein. Bei einigen Ausführungsformen können die leitfähigen Leitungen und/oder Durchkontaktierungen die gleichen Breiten und/oder Dicken wie die der Metallisierungsstrukturen 126, 130 und 134 haben.In some embodiments, the metallization structure has 138 a different size than the metallization structures 126 , 130 and 134 . For example, in some embodiments, the conductive lines and / or vias of the metallization structure 138 wider or thicker than the conductive lines and / or vias of the metallization structures 126 , 130 and 134 be. In some embodiments, the conductive lines and / or vias may have the same widths and / or thicknesses as the metallization structures 126 , 130 and 134 to have.

Bei einigen Ausführungsformen hat die dielektrische Schicht 140 eine andere Dicke als die dielektrischen Schichten 124, 128, 132 und 136. Zum Beispiel kann bei einigen Ausführungsformen die dielektrische Schicht 140 dicker als die dielektrischen Schichten 124, 128, 132 und 136 sein. Bei einigen Ausführungsformen kann die dielektrische Schicht 140 die gleiche Dicke wie die dielektrischen Schichten 124, 128, 132 und 136 haben.In some embodiments, the dielectric layer has 140 a different thickness than the dielectric layers 124 , 128 , 132 and 136 . For example, in some embodiments, the dielectric layer 140 thicker than the dielectric layers 124 , 128 , 132 and 136 be. In some embodiments, the dielectric layer can 140 the same thickness as the dielectric layers 124 , 128 , 132 and 136 to have.

Dann werden leitfähige Durchkontaktierungen 142 in den Öffnungen in der dielektrischen Schicht 140 hergestellt, um die Metallisierungsstruktur 138 physisch und elektrisch zu verbinden. Als ein Beispiel zum Herstellen der Durchkontaktierungen 142 wird eine Seedschicht in den Öffnungen hergestellt, die sich durch die dielektrische Schicht 140 erstrecken. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einigen Ausführungsformen umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird ein leitfähiges Material auf der Seedschicht in den Öffnungen abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Die Kombination aus dem leitfähigen Material und den darunter befindlichen Teilen der Seedschicht bildet die leitfähigen Durchkontaktierungen 142. Ein Planarisierungsprozess kann durchgeführt werden, um eine im Wesentlichen planare Oberseite der dielektrischen Schicht 140 und der leitfähigen Durchkontaktierungen 142 zu erzeugen. Der Planarisierungsprozess kann zum Beispiel ein CMP-Prozess (CMP: chemischmechanische Polierung) sein.Then conductive vias are made 142 in the openings in the dielectric layer 140 made to the metallization structure 138 physically and electrically connect. As an example of making the vias 142 a seed layer is made in the openings that extend through the dielectric layer 140 extend. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can for example by PVD or the like can be produced. A conductive material is then deposited on the seed layer in the openings. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and the underlying parts of the seed layer forms the conductive vias 142 . A planarization process can be performed around a substantially planar top surface of the dielectric layer 140 and the conductive vias 142 to create. The planarization process can be, for example, a CMP process (CMP: chemical mechanical polishing).

8 ist eine Draufsicht der leitfähigen Strukturelemente der Umverteilungsstruktur 120, die die Metallisierungsstruktur 138 (d. h., die Teile 138a und 138b) und die leitfähigen Durchkontaktierungen 142 aufweist. Wie in 8 gezeigt ist, haben die Teile 138a eine Kurvenform, eine C-Form oder eine U-Form in der Draufsicht, in der die Teile 138b an einem ersten Ende der Kurvenform angeordnet sind und die leitfähigen Durchkontaktierungen 142 an einem zweiten Ende der Kurvenform angeordnet sind. Die Kurvenform, die C-Form oder die U-Form kann wie eine Windung einer Feder wirken und kann sich biegen und verformen, ohne zu zerbrechen. Metallisierungsstrukturen in Umverteilungsstrukturen können sich auf Grund einer CTE-Diskrepanz (CTE: Wärmeausdehnungskoeffizient) zwischen Materialien in einem Halbleiter-Package durchbiegen oder verformen. Diese CTE-Diskrepanz kann dazu führen, dass die Metallisierungsstrukturen auf Grund der Durchbiegung und Verformung einer hohen mechanischen Spannung ausgesetzt sind. Die offenbarten Formen der Metallisierungsstrukturen mit der erhöhten Flexibilität erhöhen jedoch die Zuverlässigkeit der Umverteilungsstruktur. Die flexibel geformten Metallisierungsstrukturen 138 und die flexible dielektrische Schicht 140 können als Spannungspufferschichten bezeichnet werden, da sie einen Puffer zum sicheren Entspannen in der Umverteilungsstruktur und der Package-Struktur bereitstellen. 8th Figure 13 is a top plan view of the conductive features of the redistribution structure 120 who have favourited the metallization structure 138 (ie, the parts 138a and 138b) and the conductive vias 142 having. As in 8th shown have the parts 138a a curve shape, a C shape or a U shape in plan view, in which the parts 138b are arranged at a first end of the curve shape and the conductive vias 142 are arranged at a second end of the curve shape. The curve shape, the C shape or the U shape can act like a coil of a spring and can bend and deform without breaking. Metallization structures in redistribution structures can bend or deform due to a CTE discrepancy (CTE: coefficient of thermal expansion) between materials in a semiconductor package. This CTE discrepancy can lead to the metallization structures being exposed to high mechanical stress due to the deflection and deformation. However, the disclosed forms of the metallization structures with the increased flexibility increase the reliability of the redistribution structure. The flexibly shaped metallization structures 138 and the flexible dielectric layer 140 can be referred to as stress buffer layers as they provide a buffer for safe relaxation in the redistribution structure and the package structure.

9A zeigt eine detaillierte Darstellung eines C-förmigen leitfähigen Strukturelements der Metallisierungsstruktur 138 von 8. Der Teil 138a umfasst einen ersten Teil i38ai direkt über einem Durchkontaktierungsteil 138b; einen zweiten Teil 138a2, der sich von dem ersten Teil i38ai erstreckt; und einen dritten Teil 138a3, der sich direkt unter der leitfähigen Durchkontaktierung 142 befindet. Der erste und der dritte Teil 138a1 und 138a3 sind Padteile, die mit den darüber und darunter befindlichen Durchkontaktierungen 138 und 142 verbunden sind, und der zweite Teil 138a2 hat eine Kurven- oder Schleifenstruktur und verbindet den ersten und den dritten Teil 138a1 und 138a3. Durch die Schleifenstruktur des zweiten Teils 138a2 können die leitfähigen Strukturelemente der Metallisierungsstruktur 138 die Umverteilungsstruktur und/oder die Package-Struktur sicher entspannen. 9A shows a detailed illustration of a C-shaped conductive structure element of the metallization structure 138 from 8th . The part 138a includes a first portion i38ai directly over a via portion 138b ; a second part 138a2 extending from the first part i38ai; and a third portion 138a3 that is directly below the conductive via 142 is located. The first and third parts 138a1 and 138a3 are pad parts with the vias above and below 138 and 142 are connected, and the second part 138a2 has a curve or loop structure and connects the first and third parts 138a1 and 138a3. Due to the loop structure of the second part 138a2, the conductive structure elements of the metallization structure 138 Reliably relax the redistribution structure and / or the package structure.

Bei einigen Ausführungsformen sind die Padteile i38ai und 138a3 in der Draufsicht breiter als der Kurventeil 138a2. Dadurch können die Padteile 138a1 und 1438a3 bessere Verbindungen mit den darüber und darunter befindlichen Durchkontaktierungen herstellen und die Zuverlässigkeit der Umverteilungsstruktur verbessern.In some embodiments, the pad parts i38ai and 138a3 are wider than the curve part 138a2 in plan view. As a result, the pad parts 138a1 and 1438a3 can produce better connections with the vias located above and below and improve the reliability of the redistribution structure.

Wie in 9A gezeigt ist, verläuft eine Linie A durch den Mittelpunkt der leitfähigen Durchkontaktierung 142 und den Mittelpunkt des Teils 138b des einzigen leitfähigen Strukturelements der Metallisierungsstruktur 138, das durch den Teil 138a dieses leitfähigen Strukturelements der Metallisierungsstruktur 138 mit der leitfähigen Durchkontaktierung 142 elektrisch verbunden ist. Eine Linie B verläuft von dem Mittelpunkt derselben Durchkontaktierung 142 entlang einer Mittellinie eines ersten Leitungssegments des Teils 138a2 desselben leitfähigen Strukturelements der Metallisierungsstruktur, das sich von der leitfähigen Durchkontaktierung 142 erstreckt. Eine Linie C verläuft von dem Mittelpunkt desselben Teils 138a desselben leitfähigen Strukturelements der Metallisierungsstruktur 138 entlang einer Mittellinie des ersten Leitungssegments des Teils 138a2 desselben leitfähigen Strukturelements der Metallisierungsstruktur 138, das sich von dem Durchkontaktierungsteil 138b erstreckt.As in 9A As shown, line A passes through the center of the conductive via 142 and the center of the part 138b of the only conductive structure element of the metallization structure 138 that by the part 138a this conductive structural element of the metallization structure 138 with the conductive through-hole 142 is electrically connected. A line B runs from the center of the same via 142 along a center line of a first line segment of the part 138a2 of the same conductive structure element of the metallization structure that extends from the conductive via 142 extends. A line C extends from the center of the same part 138a of the same conductive structure element of the metallization structure 138 along a center line of the first line segment of the part 138a2 of the same conductive structure element of the metallization structure 138 that extends from the via part 138b extends.

Bei einigen Ausführungsformen sind die Linien A, B und C parallel zu der Hauptfläche der dielektrischen Schicht 140. Die Linien A und B bilden einen Winkel θ1. Bei einigen Ausführungsformen beträgt der Winkel θ1 30° bis 150°. Bei einigen Ausführungsformen beträgt der Winkel θ1 30° bis 90°. Bei einigen Ausführungsformen beträgt der Winkel θ1 40° bis 50°. Die Linien A und C bilden einen Winkel θ2. Bei einigen Ausführungsformen beträgt der Winkel θ2 30° bis 150°. Bei einigen Ausführungsformen beträgt der Winkel θ2 30° bis 90°. Bei einigen Ausführungsformen beträgt der Winkel θ2 40° bis 50°. Bei einigen Ausführungsformen sind die Winkel θ1 und θ2 gleichgroß. Bei einigen anderen Ausführungsformen sind die Winkel θ1 und θ2 unterschiedlich. Bei einigen Ausführungsformen sind die leitfähigen Leitungsteile 138a2 der Metallisierungsstruktur 138 kurvenförmig, und sie haben keine scharfen Ecken oder plötzlichen Richtungsänderungen. Zum Beispiel ändern die leitfähigen Leitungsteile 138a2 in der Draufsicht allmählich ihre Richtungen durch Bogen, aber sie haben keine Ecken, wie etwa 90°-Ecken, mit abrupten Richtungsänderungen. Bei einigen Ausführungsformen können die offenbarte entspannende Metallisierungsstruktur 138 und die dielektrische Schicht 140 die auf die darunter befindlichen Metallisierungsstrukturen (z. B. die Metallisierungsstruktur 134) aufgebrachten Spannungen um 15 % bis 35 %, z. B. um 30 %, reduzieren.In some embodiments, lines A, B, and C are parallel to the major surface of the dielectric layer 140 . Lines A and B form an angle θ1. In some embodiments, the angle θ1 is 30 ° to 150 °. In some embodiments, the angle θ1 is 30 ° to 90 °. In some embodiments, the angle θ1 is 40 ° to 50 °. Lines A and C form an angle θ2. In some embodiments, the angle θ2 is 30 ° to 150 °. In some embodiments, the angle θ2 is 30 ° to 90 °. In some embodiments, the angle θ2 is 40 ° to 50 °. In some embodiments, the angles θ1 and θ2 are equal. In some other embodiments, angles θ1 and θ2 are different. In some embodiments, the conductive line portions 138a2 are the metallization structure 138 curved, and they have no sharp corners or sudden changes in direction. For example, the conductive line parts 138a2 gradually change their directions by arcs in plan view, but they do not have corners such as 90 ° corners with abrupt changes in direction. In some embodiments, the relaxing metallization structure disclosed may be used 138 and the dielectric layer 140 the metallization structures underneath (e.g. the metallization structure 134 ) applied tensions by 15% to 35%, e.g. B. by 30%.

9B zeigt eine detaillierte Darstellung eines U-förmigen leitfähigen Strukturelements der Metallisierungsstruktur 138 von 8. Die Hauptkomponenten des U-förmigen leitfähigen Strukturelements (z. B. die Teile 138a1, 138a2, 138a3 und die Winkel θ1 und 82) sind vorstehend unter Bezugnahme auf 9A beschrieben worden, und die Beschreibung wird hier nicht wiederholt. 9B shows a detailed illustration of a U-shaped conductive structure element of the metallization structure 138 from 8th . The major components of the U-shaped conductive structure member (e.g., parts 138a1, 138a2, 138a3 and angles θ1 and 82) are above with reference to FIG 9A and the description is not repeated here.

Bei einigen Ausführungsformen haben die leitfähigen Strukturelemente der Metallisierungsstruktur 138 in der ersten Package-Komponente 100 jeweils die gleiche Form, und sie sind jeweils in der gleichen Richtung orientiert, sodass ihre Linien A jeweils parallel sind, ihre Linien B jeweils parallel sind und ihre Linien C jeweils parallel sind (siehe z. B. die Metallisierungsstrukturen von 8). Bei einigen Ausführungsformen haben die leitfähigen Strukturelemente der Metallisierungsstruktur 138 unterschiedliche Formen und sind unterschiedlich orientiert, sodass ihre Linien A nicht parallel sind, ihre Linien B nicht parallel sind und/oder ihre Linien C nicht parallel sind. Bei einigen Ausführungsformen sind die leitfähigen Strukturelemente der Metallisierungsstruktur 138 alle C-förmig, alle U-förmig oder ein Gemisch aus C- und U-förmig.In some embodiments, the conductive structure elements have the metallization structure 138 in the first package component 100 each have the same shape, and they are each oriented in the same direction such that their lines A are each parallel, their lines B are each parallel, and their lines C are each parallel (see e.g. the metallization structures of FIG 8th ). In some embodiments, the conductive structure elements have the metallization structure 138 different shapes and are oriented differently such that their lines A are not parallel, their lines B are not parallel, and / or their lines C are not parallel. In some embodiments, the conductive features are the metallization structure 138 all C-shaped, all U-shaped or a mixture of C- and U-shaped.

Die Winkel θ1 und θ2 sind zwar unter Verwendung der Linien A, B und C beschrieben worden, aber die Linien A, B und C können auch durch Ebenen A, B und C ersetzt werden, wobei die Ebenen A, B und C senkrecht zu der Hauptfläche der dielektrischen Schicht 140 sind.While angles θ1 and θ2 have been described using lines A, B and C, lines A, B and C can also be replaced by planes A, B and C, with planes A, B and C perpendicular to the Main surface of the dielectric layer 140 are.

In 10 werden Metallisierungen unter dem Kontakthügel (UBMs) 144 für Außenanschlüsse an die leitfähigen Durchkontaktierungen 142 hergestellt. Die UBMs 144 können als Pads 144 bezeichnet werden. Die UBMs 144 haben Kontakthügelteile auf und entlang der Hauptfläche der dielektrischen Schicht 140 und verbinden die leitfähigen Durchkontaktierungen 142 physisch und elektrisch. Die UBMs 144 können aus dem gleichen Material wie die leitfähigen Durchkontaktierungen 142 hergestellt werden. Bei einigen Ausführungsformen haben die UBMs 144 eine andere Größe als die Metallisierungsstrukturen 126, 130, 134 und 138.In 10 are metallizations under the contact bump (UBMs) 144 for external connections to the conductive vias 142 manufactured. The UBMs 144 can be used as pads 144 are designated. The UBMs 144 have bump portions on and along the major surface of the dielectric layer 140 and connect the conductive vias 142 physically and electrically. The UBMs 144 can be made of the same material as the conductive vias 142 getting produced. In some embodiments, the UBMs have 144 a different size than the metallization structures 126 , 130 , 134 and 138 .

Als ein Beispiel können die UBMs 144 dadurch hergestellt werden, dass zunächst eine Seedschicht über der dielektrischen Schicht 140 und den leitfähigen Durchkontaktierungen 142 hergestellt wird. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einigen Ausführungsformen umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird auf der Seedschicht ein Fotoresist hergestellt, das anschließend strukturiert wird. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht den UBMs 144. Durch das Strukturieren werden Öffnungen durch das Fotoresist erzeugt, um die Seedschicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seedschicht wird dann ein leitfähiges Material abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Bei einigen Ausführungsformen können die UBMs 144 Legierungen aufweisen, wie etwa stromlos abgeschiedenes Nickel, stromlos abgeschiedenes Palladium, mit dem ENEPIG-Verfahren (ENEPIG: Electroless Nickel Electroless Palladium Immersion Gold) abgeschiedenes Gold, mit dem ENIG-Verfahren (ENIG: Electroless Nickel Immersion Gold) abgeschiedenes Gold oder dergleichen. Die Kombination aus dem leitfähigen Material und den darunter befindlichen Teilen der Seedschicht bildet die UBMs 144. Dann werden das Fotoresist und die Teile der Seedschicht entfernt, auf denen das leitfähige Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, zum Beispiel unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden freigelegte Teile der Seedschicht zum Beispiel mit einem geeigneten Ätzprozess, wie etwa durch Nass- oder Trockenätzung, entfernt.As an example, the UBMs 144 be made by first placing a seed layer over the dielectric layer 140 and the conductive vias 142 will be produced. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. For example, the seed layer can be made by PVD or the like. A photoresist is then produced on the seed layer, which is then structured. The photoresist can be prepared by spin coating or the like and can be exposed for patterning. The structure of the photoresist corresponds to the UBMs 144 . The patterning creates openings through the photoresist in order to expose the seed layer. A conductive material is then deposited in the openings of the photoresist and on the exposed parts of the seed layer. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. In some embodiments, the UBMs 144 Alloys have such as electroless nickel, electroless palladium, gold deposited with the ENEPIG process (ENEPIG: Electroless Nickel Electroless Palladium Immersion Gold), gold deposited with the ENIG (ENIG: Electroless Nickel Immersion Gold) process, or the like. The combination of the conductive material and the underlying parts of the seed layer form the UBMs 144 . Then the photoresist and the parts of the seed layer on which the conductive material has not been deposited are removed. The photoresist can be removed by a suitable stripping or stripping process, for example using an oxygen plasma or the like. After the photoresist has been removed, exposed parts of the seed layer are removed, for example using a suitable etching process, such as wet or dry etching.

In 11 werden leitfähige Verbindungselemente 146 auf den UBMs 144 hergestellt. Die leitfähigen Verbindungselemente 146 können BGA-Verbindungselemente (BGA: Ball Grid Array), Lotkugeln, Metallsäulen, C4-Kontakthügel (C4: Chipverbindung mit kontrolliertem Kollaps), Mikrobumps, mit dem ENEPIG-Verfahren hergestellte Kontakthügel oder dergleichen sein. Die leitfähigen Verbindungselemente 146 können ein leitfähiges Material, wie etwa Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn oder dergleichen, oder eine Kombination davon aufweisen. Bei einigen Ausführungsformen werden die leitfähigen Verbindungselemente 146 dadurch hergestellt, dass zunächst eine Schicht aus Lot durch Aufdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen hergestellt wird. Nachdem die Schicht aus Lot auf der Struktur hergestellt worden ist, kann ein Aufschmelzprozess durchgeführt werden, um das Material in die gewünschten Kontakthügelformen zu bringen. Bei einer anderen Ausführungsform sind die leitfähigen Verbindungselemente 146 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattierung, stromlose Plattierung, CVD oder dergleichen hergestellt werden. Die Metallsäulen können lotfrei sein und im Wesentlichen vertikale Seitenwände haben. Bei einigen Ausführungsformen wird eine metallische Verkappungsschicht auf den Metallsäulen hergestellt. Die metallische Verkappungsschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold oder dergleichen oder eine Kombination davon aufweisen und kann mit einem Plattierungsprozess hergestellt werden.In 11 become conductive connecting elements 146 on the UBMs 144 manufactured. The conductive connecting elements 146 BGA connection elements (BGA: Ball Grid Array), solder balls, metal pillars, C4 contact bumps (C4: chip connection with controlled collapse), microbumps, contact bumps produced with the ENEPIG process or the like. The conductive connecting elements 146 may comprise a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connectors 146 made by first making a layer of solder by vapor deposition, electroplating, printing, solder transfer, ball placement, or the like. After the layer of solder has been made on the structure, a Melting process can be carried out in order to bring the material into the desired contact bump shapes. In another embodiment, the conductive connectors are 146 Metal pillars (such as copper pillars) made by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars can be free of perpendiculars and have substantially vertical side walls. In some embodiments, a metallic capping layer is formed on the metal pillars. The metallic capping layer can comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold or the like or a combination thereof and can be produced with a plating process.

In 12 werden integrierte Schaltungs-Dies 50 (z. B. erste integrierte Schaltungs-Dies 50A und zweite integrierte Schaltungs-Dies 50B) an der Struktur von 11 befestigt. Die integrierten Schaltungs-Dies 50 werden in einer gewünschten Art und Menge in jedem der Package-Bereiche 100A und 100B befestigt. Die integrierten Schaltungs-Dies 50 können auch als Package-Module 50 bezeichnet werden. Bei der dargestellten Ausführungsform werden mehrere integrierte Schaltungs-Dies 50, die den ersten integrierten Schaltungs-Die 50A und den zweiten integrierten Schaltungs-Die 50B umfassen, jeweils in dem ersten Package-Bereich 100A und dem zweiten Package-Bereich 100B zueinander benachbart angeklebt. Der erste integrierte Schaltungs-Die 50A kann eine Logikvorrichtung sein, wie etwa ein CPU, ein GPU, ein SoC, ein Microcontroller oder dergleichen. Der zweite integrierte Schaltungs-Die 50B kann eine Speichervorrichtung sein, wie etwa ein DRAM, ein SRAM, ein HMC-Modul (HMC: Hybridspeicherwürfel), ein HBM-Modul (HBM: Speicher mit hoher Bandbreite) oder dergleichen. Bei einigen Ausführungsformen können die integrierten Schaltungs-Dies 50A und 50B die gleiche Art von Dies, wie etwa SoC-Dies, sein. Der erste integrierte Schaltungs-Die 50A und der zweite integrierte Schaltungs-Die 50B können in Prozessen des gleichen Technologieknotens oder in Prozessen von unterschiedlichen Technologieknoten hergestellt werden. Zum Beispiel kann der erste integrierte Schaltungs-Die 50A ein Die eines moderneren Prozessknotens als der zweite integrierte Schaltungs-Die 50B sein. Die integrierten Schaltungs-Dies 50A und 50B können unterschiedliche Größen (z. B. unterschiedliche Höhen und/oder Flächeninhalte) haben, oder sie können die gleiche Größe (z. B. gleiche Höhen und/oder gleiche Flächeninhalte) haben.In 12th become integrated circuit dies 50 (e.g. first integrated circuit dies 50A and second integrated circuit dies 50B) on the structure of 11 attached. The integrated circuit dies 50 are in a desired type and quantity in each of the package areas 100A and 100B attached. The integrated circuit dies 50 can also be used as package modules 50 are designated. In the illustrated embodiment, multiple integrated circuit dies 50 who have favourited the First Integrated Circuit Die 50A and the second integrated circuit die 50B include, each in the first package area 100A and the second package area 100B glued adjacent to each other. The first integrated circuit die 50A can be a logic device such as a CPU, GPU, SoC, microcontroller, or the like. The second integrated circuit die 50B may be a memory device such as a DRAM, an SRAM, an HMC module (HMC: hybrid memory cube), an HBM module (HBM: high bandwidth memory), or the like. In some embodiments, the integrated circuit dies 50A and 50B the same kind of dies as SoC dies. The first integrated circuit die 50A and the second integrated circuit die 50B can be produced in processes of the same technology node or in processes of different technology nodes. For example, the first integrated circuit die 50A a die of a more modern process node than the second integrated circuit die 50B be. The integrated circuit dies 50A and 50B can have different sizes (e.g. different heights and / or areas), or they can be the same size (e.g. same heights and / or same areas).

Die integrierten Schaltungs-Dies 50 werden an den leitfähigen Verbindungselementen 146 befestigt. Das heißt, die Die-Verbindungselemente 66 der integrierten Schaltungs-Dies 50A und 50B werden mit den leitfähigen Verbindungselementen 146 gegenüber den UBMs 144 verbunden.The integrated circuit dies 50 are attached to the conductive connecting elements 146 attached. That is, the die fasteners 66 the integrated circuit dies 50A and 50B are with the conductive connecting elements 146 towards the UBMs 144 tied together.

Bei einigen Ausführungsformen werden die leitfähigen Verbindungselemente 146 aufgeschmolzen, um die integrierten Schaltungs-Dies 50 an den UBMs 144 zu befestigen. Die leitfähigen Verbindungselemente 146 verbinden die Umverteilungsstruktur 120, wie etwa die Metallisierungsstrukturen in der Umverteilungsstruktur 120, physisch und/oder elektrisch mit den integrierten Schaltungs-Dies 50. Bei einigen Ausführungsformen wird ein Lotresist (nicht dargestellt) auf der Umverteilungsstruktur 120 hergestellt. Die leitfähigen Verbindungselemente 146 können in Öffnungen in dem Lotresist angeordnet werden, um elektrisch und mechanisch mit den UBMs 144 verbunden zu werden. Das Lotresist kann zum Schützen von Bereichen der Umverteilungsstruktur 120 gegen äußere Beschädigung verwendet werden.In some embodiments, the conductive connectors 146 melted to the integrated circuit dies 50 at the UBMs 144 to fix. The conductive connecting elements 146 connect the redistribution structure 120 such as the metallization structures in the redistribution structure 120 , physically and / or electrically to the integrated circuit dies 50 . In some embodiments, a solder resist (not shown) is placed on the redistribution structure 120 manufactured. The conductive connecting elements 146 can be placed in openings in the solder resist to connect electrically and mechanically to the UBMs 144 to be connected. The solder resist can be used to protect areas of the redistribution structure 120 can be used against external damage.

Auf die leitfähigen Verbindungselemente 146 kann ein Epoxid-Flussmittel (nicht dargestellt) aufgebracht werden, bevor sie aufgeschmolzen werden, wobei zumindest ein Teil des Epoxid-Anteils des Epoxid-Flussmittels bestehen bleibt, nachdem die integrierten Schaltungs-Dies 50 an der Umverteilungsstruktur 120 befestigt worden sind. Dieser verbliebene Epoxid-Anteil kann als eine Unterfüllung zum Reduzieren von mechanischen Spannungen fungieren und kann die Verbindungsstellen schützen, die durch das Aufschmelzen der leitfähigen Verbindungselemente 146 entstehen.On the conductive connecting elements 146 For example, an epoxy flux (not shown) may be applied before they are melted, with at least a portion of the epoxy portion of the epoxy flux remaining after the integrated circuit dies 50 on the redistribution structure 120 have been attached. This remaining epoxy component can act as an underfill to reduce mechanical stresses and can protect the connection points caused by the melting of the conductive connection elements 146 develop.

In 13 wird eine Unterfüllung 150 zwischen den integrierten Schaltungs-Dies 50A und 50B in jedem der Bereiche 100A und 100B und der dielektrischen Schicht 140 hergestellt, unter anderem zwischen den und um die UBMs 144, den/die leitfähigen Verbindungselemente(n) 146 und den/die Die-Verbindungselemente(n) 66. Die Unterfüllung 150 kann mit einem Kapillarfluss-Verfahren hergestellt werden, nachdem die integrierten Schaltungs-Dies 50 befestigt worden sind, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor die integrierten Schaltungs-Dies 50 befestigt werden. Obwohl es in 13 und in späteren Figuren nicht dargestellt ist, wird bei einigen Ausführungsformen die Unterfüllung 150 auch zwischen den integrierten Schaltungs-Dies 50 in benachbarten Bereichen 100A und 100B hergestellt.In 13th becomes an underfill 150 between the integrated circuit dies 50A and 50B in each of the areas 100A and 100B and the dielectric layer 140 established, among other things, between and around the UBMs 144 , conductive connector (s) 146 and die connector (s) 66. The underfill 150 can be made with a capillary flow process after the integrated circuit dies 50 attached, or it can be fabricated by a suitable deposition process prior to the integrated circuit dies 50 be attached. Although it is in 13th and not shown in later figures, in some embodiments the underfill 150 also between the integrated circuit dies 50 in neighboring areas 100A and 100B manufactured.

In 14 wird ein Verkapselungsmaterial 152 um die integrierten Schaltungs-Dies 50, die leitfähigen Verbindungselemente 146 und die Unterfüllung 150 hergestellt. Nach seiner Herstellung verkapselt das Verkapselungsmaterial 152 die leitfähigen Verbindungselemente 146 und die integrierten Schaltungs-Dies 50. Das Verkapselungsmaterial 152 kann eine Formmasse, ein Epoxid oder dergleichen sein. Das Verkapselungsmaterial 152 kann durch Formpressen, Pressspritzen oder dergleichen aufgebracht werden. Das Verkapselungsmaterial 152 kann in einer flüssigen oder halbflüssigen Form aufgebracht werden und anschließend gehärtet werden. Bei einigen Ausführungsformen kann ein Planarisierungsschritt durchgeführt werden, um Material von einer Oberseite des Verkapselungsmaterials 152 zu entfernen und die Oberseite zu planarisieren. Bei einigen Ausführungsformen sind Oberflächen der Unterfüllung 150, des Verkapselungsmaterials 152 und der integrierten Schaltungs-Dies 50 (innerhalb von Prozessschwankungen) koplanar.In 14th becomes an encapsulation material 152 to the integrated circuit dies 50 who have favourited Conductive Fasteners 146 and the underfill 150 manufactured. After its manufacture, the encapsulation material encapsulates 152 the conductive connecting elements 146 and the integrated circuit dies 50 . The encapsulation material 152 can be a molding compound, an epoxy or the like. The encapsulation material 152 can through Compression molding, compression molding or the like can be applied. The encapsulation material 152 can be applied in a liquid or semi-liquid form and then cured. In some embodiments, a planarization step may be performed to remove material from a top surface of the encapsulation material 152 remove and planarize the top. In some embodiments, surfaces are the underfill 150 , the encapsulation material 152 and the integrated circuit dies 50 (within process fluctuations) coplanar.

In 15 wird eine Trägersubstrat-Ablösung durchgeführt, um das Trägersubstrat 102 von der Umverteilungsstruktur 120, z. B. von der dielektrischen Schicht 124, abzulösen. Bei einigen Ausführungsformen umfasst das Ablösen ein Projizieren von Licht, wie etwa von Laserlicht oder UV-Licht, auf die Ablöseschicht 104, sodass diese sich durch die Wärme des Lichts zersetzt und das Trägersubstrat 102 entfernt werden kann. Dann wird die Struktur gewendet und auf einem Band (nicht dargestellt) platziert.In 15th a carrier substrate detachment is carried out to the carrier substrate 102 from the redistribution structure 120 , e.g. B. from the dielectric layer 124 to replace. In some embodiments, the stripping includes projecting light, such as laser light or UV light, onto the release liner 104 so that this decomposes due to the heat of the light and the carrier substrate 102 can be removed. Then the structure is turned over and placed on a belt (not shown).

In 16 werden UBMs 160 für Außenanschlüsse an die Umverteilungsstruktur 120, z. B. die Metallisierungsstruktur 126, hergestellt. Die UBMs 160 haben Kontakthügelteile auf und entlang der Hauptfläche der dielektrischen Schicht 124. Die UBMs 160 können aus dem gleichen Material wie die Metallisierungsstruktur 126 hergestellt werden.In 16 become UBMs 160 for external connections to the redistribution structure 120 , e.g. B. the metallization structure 126 , manufactured. The UBMs 160 have bump portions on and along the major surface of the dielectric layer 124 . The UBMs 160 can be made of the same material as the metallization structure 126 getting produced.

In 17 werden leitfähige Verbindungselemente 162 auf den UBMs 160 hergestellt. Die leitfähigen Verbindungselemente 162 können BGA-Verbindungselemente, Lotkugeln, Metallsäulen, C4-Kontakthügel, Mikrobumps, mit dem ENEPIG-Verfahren hergestellte Kontakthügel oder dergleichen sein. Die leitfähigen Verbindungselemente 162 können ein leitfähiges Material, wie etwa Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn oder dergleichen, oder eine Kombination davon aufweisen. Bei einigen Ausführungsformen werden die leitfähigen Verbindungselemente 162 dadurch hergestellt, dass zunächst eine Schicht aus Lot durch Aufdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen hergestellt wird. Nachdem die Schicht aus Lot auf der Struktur hergestellt worden ist, kann ein Aufschmelzprozess durchgeführt werden, um das Material in die gewünschten Kontakthügelformen zu bringen. Bei einer anderen Ausführungsform sind die leitfähigen Verbindungselemente 162 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattierung, stromlose Plattierung, CVD oder dergleichen hergestellt werden. Die Metallsäulen können lotfrei sein und im Wesentlichen vertikale Seitenwände haben. Bei einigen Ausführungsformen wird eine metallische Verkappungsschicht auf den Metallsäulen hergestellt. Die metallische Verkappungsschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold oder dergleichen oder eine Kombination davon aufweisen und kann mit einem Plattierungsprozess hergestellt werden.In 17th become conductive connecting elements 162 on the UBMs 160 manufactured. The conductive connecting elements 162 can be BGA connection elements, solder balls, metal columns, C4 contact bumps, microbumps, contact bumps produced with the ENEPIG process or the like. The conductive connecting elements 162 may comprise a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connectors 162 made by first making a layer of solder by vapor deposition, electroplating, printing, solder transfer, ball placement, or the like. After the layer of solder has been produced on the structure, a reflow process can be performed to bring the material into the desired bump shapes. In another embodiment, the conductive connectors are 162 Metal pillars (such as copper pillars) made by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars can be free of perpendiculars and have substantially vertical side walls. In some embodiments, a metallic capping layer is formed on the metal pillars. The metallic capping layer can comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold or the like or a combination thereof and can be produced with a plating process.

Wie in 18 gezeigt ist, wird ein Vereinzelungsprozess durch Zersägen entlang Ritzgrabenbereichen, z. B. zwischen dem ersten Package-Bereich 100A und dem zweiten Package-Bereich 100B, durchgeführt. Der erste Package-Bereich 100A wird durch das Zersägen von dem zweiten Package-Bereich 100B getrennt. Der resultierende vereinzelte Vorrichtungsstapel stammt aus dem ersten Package-Bereich 100A oder dem zweiten Package-Bereich 100B. Die vereinzelten Strukturen werden dann gewendet und an ein Package-Substrat 200 (siehe 19) montiert.As in 18th is shown, a dicing process is carried out by sawing along scribed trench areas, e.g. B. between the first package area 100A and the second package area 100B , carried out. The first package area 100A is made by sawing the second package area 100B separated. The resulting separated device stack comes from the first package area 100A or the second package area 100B . The individual structures are then turned over and attached to a package substrate 200 (please refer 19th ) assembled.

In 19 kann die erste Package-Komponente 100 unter Verwendung der leitfähigen Verbindungselemente 162 an das Package-Substrat 200 montiert werden. Das Package-Substrat 200 umfasst einen Substratkern 202 und Bondpads 204 über dem Substratkern 202. Der Substratkern 202 kann aus einem Halbleitermaterial, wie etwa Silizium, Germanium oder Diamant, oder dergleichen hergestellt werden. Alternativ können auch Verbundmaterialien, wie etwa Siliziumgermanium, Siliziumcarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid, Kombinationen davon und dergleichen verwendet werden. Außerdem kann der Substratkern 202 ein Siliziumauf-Isolator-Substrat (SOI-Substrat) sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, wie etwa epitaxialem Silizium, Germanium, Siliziumgermanium, SOI oder SGOI, oder einer Kombination davon. Bei einer alternativen Ausführungsform basiert der Substratkern 202 auf einem isolierenden Kern, wie etwa einem Kern aus glasfaserverstärktem Harz. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, wie etwa FR4. Alternativen für das Kernmaterial sind Bismaleimid-Triazin(BT)-Harz oder alternativ andere Leiterplatten(PCB)-Materialien oder -Schichten. Aufbauschichten, wie etwa eine Ajinomoto-Aufbauschicht (ABF), oder andere Schichtstoffe können ebenfalls für den Substratkern 202 verwendet werden.In 19th can be the first package component 100 using the conductive connectors 162 to the package substrate 200 to be assembled. The package substrate 200 comprises a substrate core 202 and bond pads 204 above the substrate core 202 . The substrate core 202 can be made of a semiconductor material such as silicon, germanium or diamond, or the like. Alternatively, composite materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide, combinations thereof, and the like can also be used. In addition, the substrate core 202 be a silicon on insulator (SOI) substrate. In general, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, or SGOI, or a combination thereof. In an alternative embodiment, the substrate core is based 202 on an insulating core such as a core made of glass fiber reinforced resin. An exemplary core material is fiberglass resin such as FR4. Alternatives for the core material are bismaleimide triazine (BT) resin or alternatively other printed circuit board (PCB) materials or layers. Build-up layers, such as an Ajinomoto build-up layer (ABF), or other laminates can also be used for the substrate core 202 be used.

Der Substratkern 202 kann aktive und passive Vorrichtungen (nicht dargestellt) aufweisen. Eine breite Palette von Vorrichtungen, wie etwa Transistoren, Kondensatoren oder Widerständen, Kombinationen davon und dergleichen kann zum Erfüllen der baulichen und Funktionsanforderungen an den Entwurf für den Vorrichtungsstapel verwendet werden. Die Vorrichtungen können mit allen geeigneten Verfahren hergestellt werden.The substrate core 202 may include active and passive devices (not shown). A wide variety of devices, such as transistors, capacitors or resistors, combinations thereof, and the like, can be used to meet the structural and functional design requirements for the device stack. The devices can be made by any suitable method.

Der Substratkern 202 kann außerdem Metallisierungsschichten und Durchkontaktierungen (nicht dargestellt) aufweisen, wobei die Bondpads 204 physisch und/oder elektrisch mit den Metallisierungsschichten und Durchkontaktierungen verbunden sind. Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen hergestellt werden, und sie sind so konfiguriert, dass sie die verschiedenen Vorrichtungen zu funktionellen Schaltungen verbinden. Die Metallisierungsschichten können aus wechselnden Schichten aus dielektrischen Materialien (z. B. einem dielektrischen Low-k-Material) und leitfähigen Materialien (z. B. Kupfer) mit Durchkontaktierungen hergestellt werden, die die Schichten aus leitfähigen Materialien miteinander verbinden, und sie können mit jedem geeigneten Verfahren (wie etwa Abscheidung, Single-Damascene-Prozess, Dual-Damascene-Prozess oder dergleichen) hergestellt werden. Bei einigen Ausführungsformen weist der Substratkern 202 im Wesentlichen keine aktiven und passiven Vorrichtungen auf.The substrate core 202 may also have metallization layers and vias (not shown), wherein the bond pads 204 physically and / or electrically connected to the metallization layers and vias. The metallization layers can be fabricated over the active and passive devices, and they are configured to interconnect the various devices into functional circuits. The metallization layers can be made from alternating layers of dielectric materials (e.g., a low-k dielectric material) and conductive materials (e.g., copper) with vias connecting the layers of conductive materials together, and they can with any suitable method (such as deposition, single damascene process, dual damascene process, or the like). In some embodiments, the substrate core has 202 essentially no active and passive devices.

Bei einigen Ausführungsformen werden die leitfähigen Verbindungselemente 162 aufgeschmolzen, um die erste Package-Komponente 100 an den Bondpads 204 zu befestigen. Die leitfähigen Verbindungselemente 162 verbinden das Package-Substrat 200, wie etwa die Metallisierungsschichten in dem Substratkern 202, elektrisch und/oder physisch mit der ersten Package-Komponente 100. Bei einigen Ausführungsformen wird ein Lotresist 206 auf dem Substratkern 202 hergestellt. Die leitfähigen Verbindungselemente 162 können in Öffnungen in dem Lotresist 206 angeordnet werden, um elektrisch und mechanisch mit den Bondpads 204 verbunden zu werden. Das Lotresist 206 kann zum Schützen von Bereichen des Substratkerns 202 gegen äußere Beschädigung verwendet werden.In some embodiments, the conductive connectors 162 melted to the first package component 100 on the bond pads 204 to fix. The conductive connecting elements 162 connect the package substrate 200 such as the metallization layers in the substrate core 202 , electrically and / or physically with the first package component 100 . In some embodiments, a solder resist is used 206 on the substrate core 202 manufactured. The conductive connecting elements 162 can in openings in the solder resist 206 be arranged to be electrically and mechanically connected to the bond pads 204 to be connected. The solder resist 206 can be used to protect areas of the substrate core 202 can be used against external damage.

Auf die leitfähigen Verbindungselemente 162 kann ein Epoxid-Flussmittel (nicht dargestellt) aufgebracht werden, bevor sie aufgeschmolzen werden, wobei zumindest ein Teil des Epoxid-Anteils des Epoxid-Flussmittels bestehen bleibt, nachdem die erste Package-Komponente 100 an dem Package-Substrat 200 befestigt worden sind. Dieser verbliebene Epoxid-Anteil kann als eine Unterfüllung zum Reduzieren von mechanischen Spannungen fungieren und kann die Verbindungsstellen schützen, die durch das Aufschmelzen der leitfähigen Verbindungselemente 162 entstehen. Bei einigen Ausführungsformen kann eine Unterfüllung 208 zwischen der ersten Package-Komponente 100 und dem Package-Substrat 200 und um die leitfähigen Verbindungselemente 162 hergestellt werden. Die Unterfüllung 208 kann mit einem Kapillarfluss-Verfahren hergestellt werden, nachdem die zweite Package-Komponente 200 befestigt worden ist, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor die zweite Package-Komponente 200 befestigt wird.On the conductive connecting elements 162 For example, an epoxy flux (not shown) can be applied before they are melted, with at least a portion of the epoxy portion of the epoxy flux remaining after the first package component 100 on the package substrate 200 have been attached. This remaining epoxy component can act as an underfill to reduce mechanical stresses and can protect the connection points caused by the melting of the conductive connection elements 162 develop. In some embodiments, an underfill 208 between the first package component 100 and the package substrate 200 and around the conductive connectors 162 getting produced. The underfill 208 can be made with a capillary flow process after the second package component 200 has been attached, or it can be fabricated with a suitable deposition process prior to the second package component 200 is attached.

Es können noch weitere Strukturelemente und Prozesse verwendet werden. Zum Beispiel können Prüfstrukturen zur Unterstützung bei der Verifikationsprüfung einer 3D-Verkappung oder von 3DIC-Vorrichtungen verwendet werden. Die Prüfstrukturen können zum Beispiel Prüfpads, die in einer Umverteilungsschicht oder auf einem Substrat hergestellt sind und die Prüfung der 3D-Verkappung oder 3DIC-Vorrichtungen ermöglichen, die Verwendung von Sonden und/oder Sondenkarten und dergleichen umfassen. Die Verifikationsprüfung kann an Zwischenstrukturen sowie an Endstrukturen durchgeführt werden. Außerdem können die hier beschriebenen Strukturen und Verfahren in Verbindung mit Prüfmethodologien verwendet werden, die eine Zwischenverifikation von erwiesenermaßen guten Dies umfassen, um die Ausbeute zu steigern und die Kosten zu senken.Other structural elements and processes can also be used. For example, test structures can be used to aid in verification testing of a 3D package or 3DIC devices. The test structures can include, for example, test pads fabricated in a redistribution layer or on a substrate that enable testing of 3D packaging or 3DIC devices, the use of probes and / or probe cards, and the like. The verification test can be carried out on intermediate structures as well as on final structures. In addition, the structures and methods described herein can be used in conjunction with testing methodologies that include interim verification of proven good dies to increase yield and reduce costs.

Ausführungsformen können Vorzüge erzielen. Gemäß einigen Ausführungsformen werden Umverteilungsstrukturen mit Metallisierungsstrukturen sowie Verfahren zu deren Herstellung bereitgestellt. Insbesondere weisen die Umverteilungsstrukturen Metallisierungsstrukturen mit Formen auf, die eine größere Flexibilität ermöglichen, damit die Metallisierungsstruktur einer Durchbiegung und anderen Verformungen standhalten kann, ohne zu zerbrechen. Zum Beispiel können die Metallisierungsstrukturen eine Kurvenform, eine C-Form oder eine U-Form haben. Metallisierungsstrukturen in Umverteilungsstrukturen können sich auf Grund einer CTE-Diskrepanz zwischen Materialien in einem Halbleiter-Package durchbiegen oder verformen. Diese CTE-Diskrepanz kann dazu führen, dass die Metallisierungsstrukturen auf Grund der Durchbiegung und Verformung einer hohen mechanischen Spannung ausgesetzt werden. Die offenbarten Formen der Metallisierungsstrukturen mit der erhöhten Flexibilität erhöhen jedoch die Zuverlässigkeit der Umverteilungsstruktur. Diese flexibel geformten Metallisierungsstrukturen sind von konformen dielektrischen Schichten, wie etwa Polymerschichten, umschlossen. Die Kombination aus den flexibel geformten Metallisierungsstrukturen und den umschließenden konformen dielektrischen Schichten stellt einen Puffer zum Entspannen in der Umverteilungsstruktur und der Package-Struktur bereit.Embodiments can achieve benefits. According to some embodiments, redistribution structures with metallization structures and methods for their production are provided. In particular, the redistribution structures have metallization structures with shapes that allow greater flexibility so that the metallization structure can withstand bending and other deformations without breaking. For example, the metallization structures can have a curve shape, a C shape or a U shape. Metallization structures in redistribution structures can bend or deform due to a CTE discrepancy between materials in a semiconductor package. This CTE discrepancy can result in the metallization structures being exposed to high mechanical stress due to the deflection and deformation. However, the disclosed forms of the metallization structures with the increased flexibility increase the reliability of the redistribution structure. These flexibly shaped metallization structures are enclosed by conformal dielectric layers, such as for example polymer layers. The combination of the flexibly shaped metallization structures and the enclosing conformal dielectric layers provides a buffer for relaxation in the redistribution structure and the package structure.

Bei einer Ausführungsform weist eine Package-Struktur einen ersten integrierten Schaltungs-Die auf. Die Package-Struktur weist außerdem eine Umverteilungsstruktur auf, die an den ersten integrierten Schaltungs-Die gebondet ist, wobei die Umverteilungsstruktur eine erste dielektrische Schicht aufweist. Die Umverteilungsstruktur weist außerdem eine erste Metallisierungsstruktur in der ersten dielektrischen Schicht auf, wobei die erste Metallisierungsstruktur eine Mehrzahl von ersten leitfähigen Strukturelementen aufweist, wobei die ersten leitfähigen Strukturelemente jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und die erste leitfähige Leitung über der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen jeweils eine Kurvenform in einer Draufsicht aufweisen. Die Umverteilungsstruktur weist weiterhin eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und der ersten Metallisierungsstruktur auf. Die Umverteilungsstruktur weist weiterhin eine zweite Metallisierungsstruktur in der zweiten dielektrischen Schicht auf, wobei die zweite Metallisierungsstruktur eine Mehrzahl von zweiten leitfähigen Strukturelementen aufweist, wobei die zweiten leitfähigen Strukturelemente jeweils eine zweite leitfähige Durchkontaktierung in der zweiten dielektrischen Schicht umfassen, wobei die zweiten leitfähigen Durchkontaktierungen jeweils über einer jeweiligen ersten leitfähigen Leitung angeordnet sind und mit dieser elektrisch verbunden sind.In one embodiment, a package structure includes a first integrated circuit die. The package structure also has a redistribution structure bonded to the first integrated circuit die, the redistribution structure having a first dielectric layer. The redistribution structure also has a first metallization structure in the first dielectric layer, the first Metallization structure has a plurality of first conductive structure elements, wherein the first conductive structure elements each comprise a first conductive via and a first conductive line, wherein the first conductive via is located in the first dielectric layer and the first conductive line is arranged above the first dielectric layer and is electrically connected to the respective first conductive via, wherein the first conductive lines each have a curve shape in a plan view. The redistribution structure furthermore has a second dielectric layer over the first dielectric layer and the first metallization structure. The redistribution structure furthermore has a second metallization structure in the second dielectric layer, the second metallization structure having a plurality of second conductive structure elements, the second conductive structure elements each comprising a second conductive via in the second dielectric layer, the second conductive via in each case over a respective first conductive line are arranged and are electrically connected to this.

Ausführungsformen können ein oder mehrere der folgenden Merkmale haben. In der Package-Struktur ist die zweite Metallisierungsstruktur näher an dem ersten integrierten Schaltungs-Die als die erste Metallisierungsstruktur angeordnet. Die Package-Struktur kann außerdem ein Package-Substrat aufweisen, das an eine erste Seite der Umverteilungsstruktur gebondet ist, wobei der erste integrierte Schaltungs-Die an eine zweite Seite der Umverteilungsstruktur gebondet ist, wobei die erste Metallisierungsstruktur näher an der ersten Seite der Umverteilungsstruktur als die zweite Metallisierungsstruktur angeordnet ist. Das Package-Substrat ist mit einer ersten Gruppe von leitfähigen Verbindungselementen an die erste Seite der Umverteilungsstruktur gebondet, und der erste integrierte Schaltungs-Die ist mit einer zweiten Gruppe von leitfähigen Verbindungselementen an die zweite Seite der Umverteilungsstruktur gebondet. Die Package-Struktur weist weiterhin Folgendes auf: eine Unterfüllung zwischen dem ersten integrierten Schaltungs-Die und der zweiten Seite der Umverteilungsstruktur, wobei die Unterfüllung die zweite Gruppe von leitfähigen Verbindungselementen umschließt; und ein Verkapselungsmaterial auf der zweiten Seite der Umverteilungsstruktur und auf Seitenwänden des ersten integrierten Schaltungs-Dies und der Unterfüllung. Ein erster Winkel wird von einer ersten Ebene und einer zweiten Ebene gebildet, wobei die erste und die zweite Ebene ein erstes der Mehrzahl von ersten leitfähigen Strukturelementen schneiden und die erste und die zweite Ebene senkrecht zu einer Hauptfläche der zweiten dielektrischen Schicht sind, wobei sich die erste Ebene von einer Mittellinie einer ersten leitfähigen Durchkontaktierung des ersten der Mehrzahl von ersten leitfähigen Strukturelementen bis zu einer Mittellinie der zweiten leitfähigen Durchkontaktierung erstreckt, die über dem ersten der Mehrzahl von ersten leitfähigen Strukturelementen angeordnet ist und mit diesem verbunden ist, und sich die zweite Ebene von der Mittellinie der zweiten leitfähigen Durchkontaktierung, die über dem ersten der Mehrzahl von ersten leitfähigen Strukturelementen angeordnet ist und mit diesem verbunden ist, entlang einem ersten Teil der ersten leitfähigen Leitung des ersten der Mehrzahl von ersten leitfähigen Strukturelementen erstreckt, wobei der erste Winkel 30° bis 150° beträgt. Die ersten leitfähigen Leitungen der Mehrzahl von ersten leitfähigen Strukturelementen weisen jeweils keine Ecken in der Draufsicht auf. Die ersten leitfähigen Leitungen weisen jeweils Kupfer auf, und die zweite dielektrische Schicht weist ein Polymer auf. Die zweite dielektrische Schicht weist Polybenzoxazol (PBO), ein Polyimid oder Benzocyclobuten (BCB) auf.Embodiments may have one or more of the following features. In the package structure, the second metallization structure is arranged closer to the first integrated circuit die than the first metallization structure. The package structure may also include a package substrate bonded to a first side of the redistribution structure, the first integrated circuit die bonded to a second side of the redistribution structure, the first metallization structure being closer to the first side of the redistribution structure than the second metallization structure is arranged. The package substrate is bonded to the first side of the redistribution structure with a first group of conductive interconnect elements, and the first integrated circuit die is bonded to the second side of the redistribution structure with a second group of conductive interconnect elements. The package structure further comprises: an underfill between the first integrated circuit die and the second side of the redistribution structure, the underfill enclosing the second group of conductive connection elements; and an encapsulation material on the second side of the redistribution structure and on sidewalls of the first integrated circuit die and the underfill. A first angle is formed by a first plane and a second plane, the first and the second plane intersecting a first of the plurality of first conductive structure elements and the first and the second plane being perpendicular to a main surface of the second dielectric layer, the first level extends from a center line of a first conductive via of the first of the plurality of first conductive structure elements to a center line of the second conductive via which is arranged over and connected to the first of the plurality of first conductive structure elements, and the second level extends extends from the centerline of the second conductive via disposed over and connected to the first of the plurality of first conductive features along a first portion of the first conductive line of the first of the plurality of first conductive features, wherein ei the first angle is 30 ° to 150 °. The first conductive lines of the plurality of first conductive structure elements each have no corners in the plan view. The first conductive lines each comprise copper and the second dielectric layer comprises a polymer. The second dielectric layer comprises polybenzoxazole (PBO), a polyimide or benzocyclobutene (BCB).

Bei einer Ausführungsform weist eine Package-Struktur eine erste Package-Komponente mit einem ersten Modul und einem zweiten Modul auf, wobei das erste Modul einen Logikchip aufweist und das zweite Modul einen Speicherchip aufweist. Die erste Package-Komponente weist außerdem eine erste Umverteilungsstruktur mit Metallisierungsstrukturen in dielektrischen Schichten auf, wobei eine erste Seite der ersten Umverteilungsstruktur physisch und elektrisch mit dem ersten und dem zweiten Modul verbunden ist und eine erste Metallisierungsstruktur der Metallisierungsstrukturen in einer ersten dielektrischen Schicht der dielektrischen Schichten angeordnet ist, wobei die erste Metallisierungsstruktur erste leitfähige Strukturelemente aufweist, die jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und die erste leitfähige Leitung über der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen in einer Draufsicht jeweils eine Kurvenform haben und keine Ecken aufweisen. Die Package-Struktur weist weiterhin eine zweite Package-Komponente mit einem Package-Substrat auf, das an eine zweite Seite der ersten Umverteilungsstruktur gebondet ist, wobei die zweite Seite der ersten Seite gegenüberliegt.In one embodiment, a package structure has a first package component with a first module and a second module, the first module having a logic chip and the second module having a memory chip. The first package component also has a first redistribution structure with metallization structures in dielectric layers, wherein a first side of the first redistribution structure is physically and electrically connected to the first and the second module and a first metallization structure of the metallization structures in a first dielectric layer of the dielectric layers is arranged, the first metallization structure first having conductive structure elements each comprising a first conductive via and a first conductive line, wherein the first conductive via is located in the first dielectric layer and the first conductive line is arranged over the first dielectric layer and electrically connected to the respective first conductive via wherein the first conductive lines each have a curve shape and have no corners in a plan view. The package structure furthermore has a second package component with a package substrate which is bonded to a second side of the first redistribution structure, wherein the second side is opposite the first side.

Ausführungsformen können ein oder mehrere der folgenden Merkmale haben. In der Package-Struktur weist die erste Umverteilungsstruktur weiterhin Folgendes auf: eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und der ersten Metallisierungsstruktur; und eine zweite Metallisierungsstruktur in der zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur zweite leitfähige Strukturelemente aufweist, die jeweils eine zweite leitfähige Durchkontaktierung in der zweiten dielektrischen Schicht umfassen, wobei die zweiten leitfähigen Durchkontaktierungen jeweils über einer jeweiligen ersten leitfähigen Leitung angeordnet sind und mit dieser elektrisch verbunden sind. Die erste leitfähige Leitung verbindet die erste leitfähige Durchkontaktierung direkt mit der zweiten leitfähigen Durchkontaktierung. Die zweite Metallisierungsstruktur ist näher an dem ersten und dem zweiten Modul als die erste Metallisierungsstruktur angeordnet. Die ersten leitfähigen Leitungen weisen jeweils Kupfer auf, und die zweite dielektrische Schicht weist ein Polymer auf. Die erste Package-Komponente weist weiterhin Folgendes auf: eine Unterfüllung zwischen dem ersten Modul, dem zweiten Modul und der ersten Seite der ersten Umverteilungsstruktur, wobei sich die Unterfüllung entlang ersten Seitenwänden des ersten und des zweiten Moduls erstreckt, wobei die ersten Seitenwände des ersten und des zweiten Moduls zueinander zeigen; und ein Verkapselungsmaterial auf der ersten Seite der ersten Umverteilungsstruktur und auf zweiten Seitenwänden des ersten und des zweiten Moduls, wobei die zweiten Seitenwände des ersten und des zweiten Moduls voneinander weg zeigen. Oberseiten des ersten Moduls, des zweiten Moduls, der Unterfüllung und des Verkapselungsmaterials sind koplanar.Embodiments may have one or more of the following features. In the package structure, the first redistribution structure further comprises: a second dielectric layer over the first dielectric layer and the first metallization structure; and a second metallization structure in the second dielectric layer, the second metallization structure having second conductive structure elements each comprising a second conductive via in the second dielectric layer, the second conductive via being each arranged over a respective first conductive line and electrically with it are connected. The first conductive line connects the first conductive via directly to the second conductive via. The second metallization structure is arranged closer to the first and the second module than the first metallization structure. The first conductive lines each comprise copper and the second dielectric layer comprises a polymer. The first package component further includes: an underfill between the first module, the second module, and the first side of the first redistribution structure, the underfill extending along first sidewalls of the first and second modules, the first sidewalls of the first and second modules of the second module facing each other; and an encapsulation material on the first side of the first redistribution structure and on second sidewalls of the first and second modules, the second sidewalls of the first and second modules facing away from each other. Top surfaces of the first module, the second module, the underfill and the encapsulation material are coplanar.

Bei einer Ausführungsform umfasst ein Verfahren ein Herstellen einer ersten dielektrischen Schicht über einem Substrat. Das Verfahren umfasst weiterhin ein Strukturieren der ersten dielektrischen Schicht. Das Verfahren umfasst weiterhin ein Herstellen einer ersten Metallisierungsstruktur in und entlang einer Oberseite der strukturierten ersten dielektrischen Schicht, wobei die erste Metallisierungsstruktur erste leitfähige Strukturelemente aufweist, die jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und sich die erste leitfähige Leitung entlang der Oberseite der ersten dielektrischen Schicht erstreckt und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen in einer Draufsicht jeweils eine Kurvenform haben und keine Ecken aufweisen. Das Verfahren umfasst weiterhin ein Herstellen einer zweiten dielektrischen Schicht über der strukturierten ersten dielektrischen Schicht und der ersten Metallisierungsstruktur. Das Verfahren umfasst weiterhin ein Strukturieren der zweiten dielektrischen Schicht. Das Verfahren umfasst weiterhin ein Herstellen einer zweiten Metallisierungsstruktur in der strukturierten zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur zweite leitfähige Durchkontaktierungen in der zweiten dielektrischen Schicht aufweist, wobei die zweiten leitfähigen Durchkontaktierungen jeweils mit einer jeweiligen ersten leitfähigen Leitung der ersten leitfähigen Strukturelemente elektrisch verbunden sind.In one embodiment, a method includes forming a first dielectric layer over a substrate. The method further comprises structuring the first dielectric layer. The method further comprises producing a first metallization structure in and along a top side of the structured first dielectric layer, wherein the first metallization structure has first conductive structure elements, each of which comprises a first conductive via and a first conductive line, the first conductive via being in the first dielectric layer is located and the first conductive line extends along the top of the first dielectric layer and is electrically connected to the respective first conductive via, wherein the first conductive lines in a plan view each have a curve shape and have no corners. The method further comprises producing a second dielectric layer over the structured first dielectric layer and the first metallization structure. The method further comprises structuring the second dielectric layer. The method further comprises producing a second metallization structure in the structured second dielectric layer, the second metallization structure having second conductive vias in the second dielectric layer, the second conductive vias each being electrically connected to a respective first conductive line of the first conductive structure elements.

Ausführungsformen können ein oder mehrere der folgenden Merkmale haben. Das Verfahren umfasst weiterhin Folgendes: Herstellen von Bondpads über der zweiten dielektrischen Schicht und der zweiten Metallisierungsstruktur, wobei die Bondpads mit den zweiten leitfähigen Durchkontaktierungen verbunden werden; Bonden eines ersten Moduls und eines zweiten Moduls an die Bondpads, wobei das erste Modul einen Logikchip aufweist und das zweite Modul einen Speicherchip aufweist; Verkapseln des ersten und des zweiten Moduls in einem Verkapselungsmaterial; Entfernen des Substrats; und Vereinzeln des Verkapselungsmaterials, der ersten und der zweiten Metallisierungsstruktur sowie der ersten und der zweiten dielektrischen Schicht. Das Verfahren umfasst nach dem Vereinzeln weiterhin ein Bonden der vereinzelten Struktur an ein Package-Substrat, wobei das Package-Substrat auf einer dem ersten und dem zweiten Modul gegenüberliegenden Seite der ersten und der zweiten Metallisierungsstruktur und der ersten und der zweiten dielektrischen Schicht angeordnet ist. Die ersten leitfähigen Leitungen weisen jeweils Kupfer auf, und die zweite dielektrische Schicht weist ein Polymer auf.Embodiments may have one or more of the following features. The method further comprises: producing bond pads over the second dielectric layer and the second metallization structure, wherein the bond pads are connected to the second conductive vias; Bonding a first module and a second module to the bond pads, the first module having a logic chip and the second module having a memory chip; Encapsulating the first and second modules in an encapsulation material; Removing the substrate; and separating the encapsulation material, the first and the second metallization structure and the first and the second dielectric layer. After the singulation, the method further comprises bonding the singulated structure to a package substrate, the package substrate being arranged on a side of the first and second metallization structure and the first and second dielectric layer opposite the first and second module. The first conductive lines each comprise copper and the second dielectric layer comprises a polymer.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art may better understand aspects of the present invention. It should be understood by those skilled in the art that they can readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same goals and / or achieve the same advantages as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent configurations do not depart from the spirit and scope of the present invention, and that they can make various changes, substitutions, and modifications therein without departing from the spirit and scope of the present invention.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 63/015775 [0001]US 63/015775 [0001]

Claims (20)

Package-Struktur mit: einem ersten integrierten Schaltungs-Die; und einer Umverteilungsstruktur, die an den ersten integrierten Schaltungs-Die gebondet ist, wobei die Umverteilungsstruktur Folgendes aufweist: eine erste dielektrische Schicht, eine erste Metallisierungsstruktur in der ersten dielektrischen Schicht, wobei die erste Metallisierungsstruktur eine Mehrzahl von ersten leitfähigen Strukturelementen aufweist, wobei die ersten leitfähigen Strukturelemente jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und die erste leitfähige Leitung über der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen jeweils eine Kurvenform in einer Draufsicht aufweisen, eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und der ersten Metallisierungsstruktur, und eine zweite Metallisierungsstruktur in der zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur eine Mehrzahl von zweiten leitfähigen Strukturelementen aufweist, wobei die zweiten leitfähigen Strukturelemente jeweils eine zweite leitfähige Durchkontaktierung in der zweiten dielektrischen Schicht umfassen, wobei die zweiten leitfähigen Durchkontaktierungen jeweils über einer jeweiligen ersten leitfähigen Leitung angeordnet sind und mit dieser elektrisch verbunden sind.Package structure with: a first integrated circuit die; and a redistribution structure bonded to the first integrated circuit die, the redistribution structure comprising: a first dielectric layer, a first metallization structure in the first dielectric layer, the first metallization structure having a plurality of first conductive structure elements, the first conductive structure elements each comprising a first conductive via and a first conductive line, the first conductive via is located in the first dielectric layer and the first conductive line is arranged over the first dielectric layer and is electrically connected to the respective first conductive via, the first conductive lines each having a curve shape in a plan view, a second dielectric layer over the first dielectric layer and the first metallization structure, and a second metallization structure in the second dielectric layer, the second metallization structure having a plurality of second conductive structure elements, the second conductive structure elements each comprising a second conductive via in the second dielectric layer, the second conductive via each over a respective first conductive line are arranged and are electrically connected to this. Package-Struktur nach Anspruch 1, wobei die zweite Metallisierungsstruktur näher an dem ersten integrierten Schaltungs-Die als die erste Metallisierungsstruktur angeordnet ist.Package structure according to Claim 1 , wherein the second metallization structure is arranged closer to the first integrated circuit die than the first metallization structure. Package-Struktur nach Anspruch 1 oder 2, die weiterhin ein Package-Substrat aufweist, das an eine erste Seite der Umverteilungsstruktur gebondet ist, wobei der erste integrierte Schaltungs-Die an eine zweite Seite der Umverteilungsstruktur gebondet ist und die erste Metallisierungsstruktur näher an der ersten Seite der Umverteilungsstruktur als die zweite Metallisierungsstruktur angeordnet ist.Package structure according to Claim 1 or 2 further comprising a package substrate bonded to a first side of the redistribution structure, wherein the first integrated circuit die is bonded to a second side of the redistribution structure and the first metallization structure is arranged closer to the first side of the redistribution structure than the second metallization structure is. Package-Struktur nach Anspruch 3, wobei das Package-Substrat mit einer ersten Gruppe von leitfähigen Verbindungselementen an die erste Seite der Umverteilungsstruktur gebondet ist und der erste integrierte Schaltungs-Die mit einer zweiten Gruppe von leitfähigen Verbindungselementen an die zweite Seite der Umverteilungsstruktur gebondet ist.Package structure according to Claim 3 wherein the package substrate is bonded to the first side of the redistribution structure with a first group of conductive interconnection elements and the first integrated circuit die is bonded to the second side of the redistribution structure with a second group of conductive interconnection elements. Package-Struktur nach Anspruch 4, die weiterhin Folgendes aufweist: eine Unterfüllung zwischen dem ersten integrierten Schaltungs-Die und der zweiten Seite der Umverteilungsstruktur, wobei die Unterfüllung die zweite Gruppe von leitfähigen Verbindungselementen umschließt; und ein Verkapselungsmaterial auf der zweiten Seite der Umverteilungsstruktur und auf Seitenwänden des ersten integrierten Schaltungs-Dies und der Unterfüllung.Package structure according to Claim 4 further comprising: an underfill between the first integrated circuit die and the second side of the redistribution structure, the underfill enclosing the second group of conductive interconnect elements; and an encapsulation material on the second side of the redistribution structure and on sidewalls of the first integrated circuit die and the underfill. Package-Struktur nach einem der vorhergehenden Ansprüche, wobei ein erster Winkel von einer ersten Ebene und einer zweiten Ebene gebildet wird, wobei die erste Ebene und die zweite Ebene ein erstes der Mehrzahl von ersten leitfähigen Strukturelementen schneiden und senkrecht zu einer Hauptfläche der zweiten dielektrischen Schicht sind, wobei sich die erste Ebene von einer Mittellinie einer ersten leitfähigen Durchkontaktierung des ersten der Mehrzahl von ersten leitfähigen Strukturelementen bis zu einer Mittellinie der zweiten leitfähigen Durchkontaktierung erstreckt, die über dem ersten der Mehrzahl von ersten leitfähigen Strukturelementen angeordnet ist und mit diesem verbunden ist, und sich die zweite Ebene von der Mittellinie der zweiten leitfähigen Durchkontaktierung, die über dem ersten der Mehrzahl von ersten leitfähigen Strukturelementen angeordnet ist und mit diesem verbunden ist, entlang einem ersten Teil der ersten leitfähigen Leitung des ersten der Mehrzahl von ersten leitfähigen Strukturelementen erstreckt, wobei der erste Winkel 30° bis 150° beträgt.The package structure of claim 1, wherein a first angle is formed by a first plane and a second plane, the first plane and the second plane intersecting a first of the plurality of first conductive structure elements and perpendicular to a main surface of the second dielectric layer wherein the first plane extends from a center line of a first conductive via of the first of the plurality of first conductive structure elements to a center line of the second conductive via which is arranged over and connected to the first of the plurality of first conductive structure elements, and the second plane from the centerline of the second conductive via disposed over and connected to the first of the plurality of first conductive features along a first portion of the first conductive line of the first of the plurality of first conductors Like structural elements extends, wherein the first angle is 30 ° to 150 °. Package-Struktur nach einem der vorhergehenden Ansprüche, wobei die ersten leitfähigen Leitungen der Mehrzahl von ersten leitfähigen Strukturelementen jeweils keine Ecken in der Draufsicht aufweisen.Package structure according to one of the preceding claims, wherein the first conductive lines of the plurality of first conductive structure elements each have no corners in the plan view. Package-Struktur nach einem der vorhergehenden Ansprüche, wobei die ersten leitfähigen Leitungen jeweils Kupfer aufweisen und die zweite dielektrische Schicht ein Polymer aufweist.Package structure according to one of the preceding claims, wherein the first conductive lines each comprise copper and the second dielectric layer comprises a polymer. Package-Struktur nach Anspruch 8, wobei die zweite dielektrische Schicht Polybenzoxazol (PBO), ein Polyimid oder Benzocyclobuten (BCB) aufweist.Package structure according to Claim 8 wherein the second dielectric layer comprises polybenzoxazole (PBO), a polyimide or benzocyclobutene (BCB). Package-Struktur mit: einer ersten Package-Komponente, die Folgendes aufweist: ein erstes Modul und ein zweites Modul, wobei das erste Modul einen Logikchip aufweist und das zweite Modul einen Speicherchip aufweist, und eine erste Umverteilungsstruktur mit Metallisierungsstrukturen in dielektrischen Schichten, wobei eine erste Seite der ersten Umverteilungsstruktur physisch und elektrisch mit dem ersten und dem zweiten Modul verbunden ist und eine erste Metallisierungsstruktur der Metallisierungsstrukturen in einer ersten dielektrischen Schicht der dielektrischen Schichten angeordnet ist, wobei die erste Metallisierungsstruktur erste leitfähige Strukturelemente aufweist, die jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und die erste leitfähige Leitung über der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen in einer Draufsicht jeweils eine Kurvenform haben und keine Ecken aufweisen; und einer zweiten Package-Komponente mit einem Package-Substrat, das an eine zweite Seite der ersten Umverteilungsstruktur gebondet ist, wobei die zweite Seite der ersten Seite entgegengesetzt ist.A package structure comprising: a first package component comprising: a first module and a second module, wherein the first module comprises a logic chip and the second module comprises a memory chip, and a first redistribution structure with metallization structures in dielectric layers, wherein a first side of the first redistribution structure is physically and electrically connected to the first and the second module and a first metallization structure of the metallization structures in a first dielectric layer of the dielectric layers, the first metallization structure having first conductive structure elements each comprising a first conductive via and a first conductive line, the first conductive via is in the first dielectric layer and the first conductive line above the first dielectric layer is arranged and is electrically connected to the respective first conductive via, wherein the first conductive lines in a plan view each have a curve shape and have no corners; and a second package component having a package substrate bonded to a second side of the first redistribution structure, the second side opposing the first side. Package-Struktur nach Anspruch 10, wobei die erste Umverteilungsstruktur weiterhin Folgendes aufweist: eine zweite dielektrische Schicht über der ersten dielektrischen Schicht und der ersten Metallisierungsstruktur; und eine zweite Metallisierungsstruktur in der zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur zweite leitfähige Strukturelemente aufweist, die jeweils eine zweite leitfähige Durchkontaktierung in der zweiten dielektrischen Schicht umfassen, wobei die zweiten leitfähigen Durchkontaktierungen jeweils über einer jeweiligen ersten leitfähigen Leitung angeordnet sind und mit dieser elektrisch verbunden sind.Package structure according to Claim 10 wherein the first redistribution structure further comprises: a second dielectric layer over the first dielectric layer and the first metallization structure; and a second metallization structure in the second dielectric layer, the second metallization structure having second conductive structure elements each comprising a second conductive via in the second dielectric layer, the second conductive via being each arranged over a respective first conductive line and electrically with this are connected. Package-Struktur nach Anspruch 11, wobei die erste leitfähige Leitung die erste leitfähige Durchkontaktierung direkt mit der zweiten leitfähigen Durchkontaktierung verbindet.Package structure according to Claim 11 wherein the first conductive line connects the first conductive via directly to the second conductive via. Package-Struktur nach Anspruch 11 oder 12, wobei die zweite Metallisierungsstruktur näher an dem ersten und dem zweiten Modul als die erste Metallisierungsstruktur angeordnet ist.Package structure according to Claim 11 or 12th wherein the second metallization structure is arranged closer to the first and the second module than the first metallization structure. Package-Struktur nach einem der Ansprüche 11 bis 13, wobei die ersten leitfähigen Leitungen jeweils Kupfer aufweisen und die zweite dielektrische Schicht ein Polymer aufweist.Package structure according to one of the Claims 11 until 13th wherein the first conductive lines each comprise copper and the second dielectric layer comprises a polymer. Package-Struktur nach einem der Ansprüche 11 bis 14, wobei die erste Package-Komponente weiterhin Folgendes aufweist: eine Unterfüllung zwischen dem ersten Modul, dem zweiten Modul und der ersten Seite der ersten Umverteilungsstruktur, wobei sich die Unterfüllung entlang ersten Seitenwänden des ersten und des zweiten Moduls erstreckt, wobei die ersten Seitenwände des ersten und des zweiten Moduls zueinander zeigen; und ein Verkapselungsmaterial auf der ersten Seite der ersten Umverteilungsstruktur und auf zweiten Seitenwänden des ersten und des zweiten Moduls, wobei die zweiten Seitenwände des ersten und des zweiten Moduls voneinander weg zeigen.Package structure according to one of the Claims 11 until 14th wherein the first package component further comprises: an underfill between the first module, the second module, and the first side of the first redistribution structure, the underfill extending along first sidewalls of the first and second modules, the first sidewalls of the first and of the second module are facing each other; and an encapsulation material on the first side of the first redistribution structure and on second side walls of the first and second modules, the second side walls of the first and second modules facing away from each other. Package-Struktur nach Anspruch 15, wobei Oberseiten des ersten Moduls, des zweiten Moduls, der Unterfüllung und des Verkapselungsmaterials koplanar sind.Package structure according to Claim 15 wherein tops of the first module, the second module, the underfill, and the encapsulation material are coplanar. Verfahren mit den folgenden Schritten: Herstellen einer ersten dielektrischen Schicht über einem Substrat; Strukturieren der ersten dielektrischen Schicht; Herstellen einer ersten Metallisierungsstruktur in und entlang einer Oberseite der strukturierten ersten dielektrischen Schicht, wobei die erste Metallisierungsstruktur erste leitfähige Strukturelemente aufweist, die jeweils eine erste leitfähige Durchkontaktierung und eine erste leitfähige Leitung umfassen, wobei sich die erste leitfähige Durchkontaktierung in der ersten dielektrischen Schicht befindet und die erste leitfähige Leitung entlang der Oberseite der ersten dielektrischen Schicht angeordnet ist und mit der jeweiligen ersten leitfähigen Durchkontaktierung elektrisch verbunden ist, wobei die ersten leitfähigen Leitungen in einer Draufsicht jeweils eine Kurvenform haben und keine Ecken aufweisen; Herstellen einer zweiten dielektrischen Schicht über der strukturierten ersten dielektrischen Schicht und der ersten Metallisierungsstruktur; Strukturieren der zweiten dielektrischen Schicht; und Herstellen einer zweiten Metallisierungsstruktur in der strukturierten zweiten dielektrischen Schicht, wobei die zweite Metallisierungsstruktur zweite leitfähige Durchkontaktierungen in der zweiten dielektrischen Schicht aufweist, wobei die zweiten leitfähigen Durchkontaktierungen jeweils mit einer jeweiligen ersten leitfähigen Leitung der ersten leitfähigen Strukturelemente elektrisch verbunden sind.Procedure with the following steps: Forming a first dielectric layer over a substrate; Patterning the first dielectric layer; Producing a first metallization structure in and along a top side of the structured first dielectric layer, the first metallization structure having first conductive structure elements each comprising a first conductive via and a first conductive line, the first conductive via being located in the first dielectric layer and the first conductive line is arranged along the upper side of the first dielectric layer and is electrically connected to the respective first conductive via, the first conductive lines each having a curve shape in a plan view and having no corners; Producing a second dielectric layer over the structured first dielectric layer and the first metallization structure; Patterning the second dielectric layer; and Producing a second metallization structure in the structured second dielectric layer, the second metallization structure having second conductive vias in the second dielectric layer, the second conductive vias each being electrically connected to a respective first conductive line of the first conductive structure elements. Verfahren nach Anspruch 17, das weiterhin Folgendes umfasst: Herstellen von Bondpads über der zweiten dielektrischen Schicht und der zweiten Metallisierungsstruktur, wobei die Bondpads mit den zweiten leitfähigen Durchkontaktierungen verbunden werden; Bonden eines ersten Moduls und eines zweiten Moduls an die Bondpads, wobei das erste Modul einen Logikchip aufweist und das zweite Modul einen Speicherchip aufweist; Verkapseln des ersten und des zweiten Moduls in einem Verkapselungsmaterial; Entfernen des Substrats; und Vereinzeln des Verkapselungsmaterials, der ersten und der zweiten Metallisierungsstruktur sowie der ersten und der zweiten dielektrischen Schicht.Procedure according to Claim 17 10, further comprising: forming bond pads over the second dielectric layer and the second metallization structure, the bond pads being connected to the second conductive vias; Bonding a first module and a second module to the bond pads, the first module having a logic chip and the second module having a memory chip; Encapsulating the first and second modules in an encapsulation material; Removing the substrate; and separating the encapsulation material, the first and the second metallization structure and the first and the second dielectric layer. Verfahren nach Anspruch 18, das weiterhin Folgendes umfasst: nach dem Vereinzeln Bonden der vereinzelten Struktur an ein Package-Substrat, wobei das Package-Substrat auf einer dem ersten Modul und dem zweiten Modul entgegengesetzten Seite der ersten und der zweiten Metallisierungsstruktur und der ersten und der zweiten dielektrischen Schicht angeordnet ist.Procedure according to Claim 18 which further comprises: after the dicing, bonding the diced structure to a package substrate, wherein the package substrate is arranged on a side of the first and second metallization structure and the first and second dielectric layer opposite the first module and the second module is. Verfahren nach einem der Ansprüche 17 bis 19, wobei die ersten leitfähigen Leitungen jeweils Kupfer aufweisen und die zweite dielektrische Schicht ein Polymer aufweist.Method according to one of the Claims 17 until 19th wherein the first conductive lines each comprise copper and the second dielectric layer comprises a polymer.
DE102021100006.8A 2020-04-27 2021-01-04 SEMICONDUCTOR DEVICE AND METHOD Pending DE102021100006A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063015775P 2020-04-27 2020-04-27
US63/015,775 2020-04-27
US17/028,629 US11264359B2 (en) 2020-04-27 2020-09-22 Chip bonded to a redistribution structure with curved conductive lines
US17/028,629 2020-09-22

Publications (1)

Publication Number Publication Date
DE102021100006A1 true DE102021100006A1 (en) 2021-10-28

Family

ID=78222750

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100006.8A Pending DE102021100006A1 (en) 2020-04-27 2021-01-04 SEMICONDUCTOR DEVICE AND METHOD

Country Status (2)

Country Link
KR (1) KR102473590B1 (en)
DE (1) DE102021100006A1 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10062648B2 (en) 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US9741690B1 (en) * 2016-09-09 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US9773753B1 (en) * 2016-11-18 2017-09-26 Advanced Semiconductor Engineering, Inc. Semiconductor devices and methods of manufacturing the same
US10181449B1 (en) 2017-09-28 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure

Also Published As

Publication number Publication date
KR20210133125A (en) 2021-11-05
KR102473590B1 (en) 2022-12-01

Similar Documents

Publication Publication Date Title
DE102017117815B4 (en) Structure of a semiconductor package and manufacturing method
DE102017117810B4 (en) SEMICONDUCTOR PACKAGE, AND DEVICE WITH REDISTRIBUTION LAYERS AND METHOD OF PRODUCTION THEREOF
DE102019109690B4 (en) Semiconductor structures and methods for their manufacture
DE102020101431B4 (en) Semiconductor device and manufacturing process
DE102019103729B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102020120137B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102020104147B4 (en) SEMICONDUCTOR COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102020100002B4 (en) FAN-OUT PACKAGES AND METHOD FOR THE PRODUCTION THEREOF
DE102021102836A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020130996A1 (en) SEMICONDUCTOR PACKAGE AND METHOD FOR MANUFACTURING IT
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019114074A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102021119243A1 (en) SHAPED THIS INTO SEMICONDUCTOR PACKAGES AND THEIR MANUFACTURING PROCESSES
DE102017118183B4 (en) Semiconductor packages with dummy connectors and methods of making them
DE102020108481B4 (en) Semiconductor die package and manufacturing process
DE102021102227A1 (en) Heat dissipation in semiconductor packages and method of forming the same
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102020130962A1 (en) SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
DE102018127314B4 (en) Integrated circuit package and method
DE102023100773A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102023100013A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017102534B4 (en) Redistribution layers in semiconductor packages and methods for their manufacture
DE102021108156A1 (en) SEMICONDUCTOR PACKAGE AND METHOD OF FORMING SAME
DE102018105052B4 (en) Semiconductor Package and Method

Legal Events

Date Code Title Description
R012 Request for examination validly filed