DE102016217656A1 - Integrated voltage regulator with built-in process, temperature and aging compensation - Google Patents

Integrated voltage regulator with built-in process, temperature and aging compensation Download PDF

Info

Publication number
DE102016217656A1
DE102016217656A1 DE102016217656.0A DE102016217656A DE102016217656A1 DE 102016217656 A1 DE102016217656 A1 DE 102016217656A1 DE 102016217656 A DE102016217656 A DE 102016217656A DE 102016217656 A1 DE102016217656 A1 DE 102016217656A1
Authority
DE
Germany
Prior art keywords
voltage
frequency
processor
generate
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016217656.0A
Other languages
German (de)
Inventor
Sanjay Pant
Tezaswi Raja
Andy CHARNAS
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nvidia Corp
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/856,191 external-priority patent/US10103719B2/en
Application filed by Nvidia Corp filed Critical Nvidia Corp
Publication of DE102016217656A1 publication Critical patent/DE102016217656A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Dc-Dc Converters (AREA)

Abstract

Ein Verfahren zum Regulieren einer Spannung für einen Prozessor wird offenbart. Das Verfahren umfasst ein Anfordern eines Zielfrequenzwerts, wobei der Zielfrequenzwert eine Zieltaktfrequenz zum Takten des Prozessors bestimmt. Das Verfahren umfasst auch ein Vergleichen der Zieltaktfrequenz mit einem ersten Signal, um ein Fehlersignal zu generieren. Weiter umfasst das Verfahren ein Verwenden des Fehlersignals, um ein Tastverhältnissteuersignal zu generieren, wobei das Tastverhältnissteuersignal dazu dient, eine periodische Wellenform zu generieren. Schließlich umfasst das Verfahren ein Generieren einer Ausgangsreglerspannung unter Verwenden der periodischen Wellenform, wobei die Ausgangsspannung dazu dient, dem Prozessor eine Leistung zuzuführen.A method for regulating a voltage for a processor is disclosed. The method includes requesting a target frequency value, wherein the target frequency value determines a target clock frequency for clocking the processor. The method also includes comparing the target clock frequency with a first signal to generate an error signal. Further, the method includes using the error signal to generate a duty cycle control signal, the duty cycle control signal serving to generate a periodic waveform. Finally, the method includes generating an output regulator voltage using the periodic waveform, wherein the output voltage is for providing power to the processor.

Description

Querverweis zu verwandten AnmeldungenCross reference to related applications

Verwandte AnmeldungenRelated applications

Die vorliegende Anmeldung ist verwandt mit der ebenfalls anhängigen Vereinigte Staaten Patentanmeldung mit der Nummer 13/947999, eingereicht am 22. Juni 2013, mit dem Titel ”CLOSED LOOP DYNAMIC VOLTAGE AND FREQUENCY SCALING”, welche Stephen Felix, Jeffery Bond, Tezaswi Raja, Kalyana Bollapalli und Vikram Mehta als Erfinder benennt, und welche das Anwaltsaktenzeichen NVID P-IC-12-0514-US1 hat. Diese Anmeldung wird hiermit in Form eines Verweises in ihrer Gesamtheit und für alle Zwecke aufgenommen. Die vorliegende Anmeldung ist auch verwandt mit der folgenden ebenfalls anhängigen U. S. Patentanmeldung: United States Patent Anmeldenummer 14/323787, eingereicht am 3. Juli 2014, mit dem Titel ”CLOCK GENERATION CIR-CUIT THAI TRACKS CRITICAL PATH ACROSS PROCESS, VOLTAGE AND TEMPERATURE VARIATION”, welche Kalyana Bollapalli und Tezaswi Raja als Erfinder benennt, und welche das Anwaltsaktenzeichen NVID-PSC-13-0308-US1 hat, welche hiermit in Form eines Verweises in ihrer Gesamtheit und für alle Zwecke aufgenommen wird.The present application is related to co-pending United States Patent Application No. 13 / 947,999, filed June 22, 2013, entitled "CLOSED LOOP DYNAMIC VOLTAGE AND FREQUENCY SCALING", which is directed to Stephen Felix, Jeffery Bond, Tezaswi Raja, Kalyana Bollapalli and Vikram Mehta as the inventor, and who has the attorney docket NVID P-IC-12-0514-US1. This application is hereby incorporated by reference in its entirety and for all purposes. The present application is also related to the following co-pending US patent application: United States Patent Application No. 14/323787, filed on Jul. 3, 2014, entitled "CLOCK GENERATION CIR-CUIT THAI TRACKS CRITICAL PATH ACROSS PROCESS, VOLTAGE AND TEMPERATURE VARIATION" which names Kalyana Bollapalli and Tezaswi Raja as the inventor, and which has the attorney docket NVID-PSC-13-0308-US1, which is hereby incorporated by reference in its entirety and for all purposes.

Gebiet der ErfindungField of the invention

Ausführungsbeispiele gemäß der vorliegenden Erfindung betreffen im Allgemeinen eine Stromversorgung und insbesondere Spannungsregler zum Zuführen von Leistung an Mikroprozessoren.Embodiments according to the present invention generally relate to a power supply, and more particularly, to voltage regulators for supplying power to microprocessors.

Hintergrundbackground

Die Stromversorgung eines Mikroprozessors besteht typischerweise aus einem chipexternen Spannungsregler (VRM), um den chipinternen Geräten Leistung zuzuführen. Normalerweise ist die Versorgungsspannung für die chipinternen Geräte nicht ideal und kann aufgrund plötzlicher Änderungen einer Schaltkreisaktivität innerhalb des Mikroprozessors, wie in den 1A und 1B gezeigt, zeitliche Variationen mit variierendem Frequenzgehalt (~1 KHz bis > 1 GHz) aufweisen. 1A veranschaulicht eine Frequenzantwort eines typischen Mikroprozessorstromversorgungs-Netzwerks, wohingegen 1B eine transiente Antwort eines typischen Mikroprozessorstromversorgungs-Netzwerks veranschaulicht. Das typische chipexterne Spannungsreglermodul weist typischerweise selbst bei der Anwesenheit von Entkopplungskondensatoren an verschiedenen Stellen in dem Stromversorgungsnetzwerk (PDN) keine genügend hohe Bandbreite auf, um Spannungsvariationen mit einem Frequenzgehalt oberhalb von ~1 MHz zu unterdrücken.Powering a microprocessor typically consists of an off-chip voltage regulator (VRM) to power the on-chip devices. Typically, the supply voltage to the on-chip devices is not ideal and may be due to sudden changes in circuit activity within the microprocessor, such as in the microprocessor 1A and 1B shown, have temporal variations with varying frequency content (~ 1 KHz to> 1 GHz). 1A illustrates a frequency response of a typical microprocessor power supply network, whereas 1B illustrates a transient response of a typical microprocessor power supply network. The typical off-chip voltage regulator module typically does not have a sufficiently high bandwidth even in the presence of decoupling capacitors at various locations in the power supply network (PDN) to suppress voltage variations with a frequency content above -1 MHz.

Ein typisches Mikroprozessorsystem besteht aus vielen verschiedenen Frequenzdomänen, welche im Allgemeinen viele verschiedene VRMs (oder VRMs mit Mehrfachausgängen) und eine große Anzahl von chipexternen Komponenten erfordern, welche wertvolle Platinenfläche verbrauchen. Dementsprechend ist es ineffizient, mehrere verschiedene Spannungsregulatormodule auf dedizierten Chips zu haben. Des weiteren erfordert ein effizientes dynamisches Spannungs- und Frequenzskalieren (DVFS) eine schnelle Spannungübergangszeit, welche auf ungefähr 10 mV/ms von externen VRMs begrenzt ist.A typical microprocessor system consists of many different frequency domains, which generally require many different VRMs (or VRMs with multiple outputs) and a large number of off-chip components that consume valuable board area. Accordingly, it is inefficient to have multiple different voltage regulator modules on dedicated chips. Furthermore, efficient dynamic voltage and frequency scaling (DVFS) requires a fast voltage transition time, which is limited to about 10 mV / ms from external VRMs.

Ein weiterer Schwachpunkt von konventionellen Spannungsregulatoren ist, dass die regulierte Spannung unabhängig von den Umweltvariationen sein kann, welche bei den chipinternen Geräten im Verlauf des Betriebs auftreten können. Zum Beispiel können Temperaturvariationen und Alterungseffekte die Geschwindigkeit von chipinternen Geräten während der Laufzeit signifikant beeinflussen und können Funktionsausfälle verursachen. Herkömmliche Regulatoren sind nicht derart entworfen, dass sie Variationen von Aktivität, Temperatur und Alterung berücksichtigen und sind deswegen ungeeignet für moderne Hochleistungs-Mikroprozessorsysteme.Another weakness of conventional voltage regulators is that the regulated voltage can be independent of the environmental variations that can occur with the on-chip devices during operation. For example, temperature variations and aging effects can significantly affect the speed of on-chip devices during run-time and can cause outages. Conventional regulators are not designed to account for variations in activity, temperature and aging, and are therefore unsuitable for modern high performance microprocessor systems.

Kurze ZusammenfassungShort Summary

Dementsprechend besteht Bedarf nach einem integrierten Spannungsregelungssystem, welches digital gesteuert ist und Spannungsfluktuation, Prozessvariation, Temperaturvariation und Alterung kompensieren kann. In einem Ausführungsbeispiel der vorliegenden Erfindung ist das ganze oder ein Teil des Spannungsregulierungsmoduls auf dem gleichen Chip wie der Prozessor (oder die Last) integriert und wird unter Verwenden einer gewünschten Betriebsfrequenz als Eingabeparameter digital gesteuert. Weiter generiert in einem Ausführungsbeispiel der vorliegenden Erfindung der digital gesteuerte Spannungsregulator der vorliegenden Erfindung vorteilhaft die minimale Versorgungsspannung, welche für den gegenwärtigen Betrieb bei der Zielfrequenz erforderlich ist. Dies resultiert im Allgemeinen in einer höheren Energieeffizienz und Batterielebensdauer.Accordingly, there is a need for an integrated voltage regulation system that is digitally controlled and can compensate for voltage fluctuation, process variation, temperature variation, and aging. In one embodiment of the present invention, all or part of the voltage regulation module is integrated on the same die as the processor (or load) and is digitally controlled using a desired operating frequency as an input parameter. Further, in one embodiment of the present invention, the digitally controlled voltage regulator of the present invention advantageously generates the minimum supply voltage required for current operation at the target frequency. This generally results in higher energy efficiency and battery life.

Zusätzlich gleicht der Spannungsregulator der vorliegenden Erfindung in einem Ausführungsbeispiel Verzögerungsvariationen eines kritischen Pfades aufgrund von Änderungen der Temperatur während der Laufzeit und Zunahmen eines kritischen Pfades aufgrund einer Transistorgeschwindigkeitsabnahme infolge von Alterung aus. Dies resultiert auch in einer höheren Energieeffizienz und verbesserter Batterielebensdauer für mobile Applikationen.In addition, in one embodiment, the voltage regulator of the present invention compensates for delay variations in a critical path due to changes in temperature during runtime and increases in a critical path due to transistor speed decrease due to aging. This also results in higher energy efficiency and improved battery life for mobile applications.

Es ist anzumerken, dass, während einige Systeme des Standes der Technik Temperatur- und Alterungskompensationsschaltkreise in Serie mit dem Spannungsregulierungsmodul einsetzen, Ausführungsbeispiele der vorliegenden Erfindung flächeneffizienter sind, da sie diese Kompensationsschaltkreise in die Feedbackschleife in dem Spannungsregulierungsmodul (VRM) integrieren, wodurch der Bedarf nach einem flächenintensiven A/D-Konverter entfällt. Den Kondensator in der Feedbackschleife des Regulators zu haben resultiert in einer niedrigeren Latenz der Kompensation. It should be noted that while some prior art systems use temperature and aging compensation circuitry in series with the voltage regulation module, embodiments of the present invention are more space efficient because they integrate these compensation circuitry into the feedback loop in the voltage regulation module (VRM), thereby reducing the need for A space-intensive A / D converter is eliminated. Having the capacitor in the feedback loop of the regulator results in a lower latency of the compensation.

In einem Ausführungsbeispiel wird ein Verfahren zum Regulieren einer Spannung für einen Prozessor offenbart. Das Verfahren umfasst ein Anfordern eines Zielfrequenzwerts, wobei der Zielfrequenzwert eine Zieltaktfrequenz zum Takten eines Prozessors bestimmt. Das Verfahren umfasst auch ein Vergleichen der Zieltaktfrequenz mit einem ersten Signal, um ein Fehlersignal zu generieren. Weiter umfasst das Verfahren ein Verwenden des Fehlersignals, um ein Tastverhältnissteuersignal zu generieren, wobei das Tastverhältnissteuersignal dazu dient, eine periodische Wellenform zu generieren. Das Verfahren umfasst auch ein Generieren einer Ausgangsreglerspannung unter Verwenden der periodischen Wellenform, wobei die Ausgangsspannung dazu dient, dem Prozessor Leistung zuzuführen.In one embodiment, a method for regulating a voltage for a processor is disclosed. The method includes requesting a target frequency value, wherein the target frequency value determines a target clock frequency for clocking a processor. The method also includes comparing the target clock frequency with a first signal to generate an error signal. Further, the method includes using the error signal to generate a duty cycle control signal, the duty cycle control signal serving to generate a periodic waveform. The method also includes generating an output regulator voltage using the periodic waveform, wherein the output voltage serves to supply power to the processor.

In einem Ausführungsbeispiel ist eine Vorrichtung zum Regulieren einer Prozessorspannung offenbart. Die Vorrichtung umfasst einen Komparator welcher eine erste Eingabe aufweist, welche dazu dient, bei einem Zielfrequenzwert festgelegt zu werden, und wobei der Zielfrequenzwert eine Zieltaktfrequenz zum Takten eines Prozessors bestimmt. Die Vorrichtung umfasst auch einen dynamischen spannungsgesteuerten Oszillator (DVCO), welcher dazu dient ein Taktsignal mit einer ersten Frequenz zu generieren, wobei die erste Frequenz eine Betriebsfrequenz des Prozessors ist und eine zweite Eingabe in den Komparator ist, wobei der DVCO durch die Ausgangsreglerspannung betrieben wird, und wobei die erste Frequenz unter Verwenden des Komparators mit der Zieltaktfrequenz verglichen wird, um ein Fehlersignal zu generieren. Weiter umfasst die Vorrichtung einen Schaltkreis, welcher dazu dient, unter Verwenden des Fehlersignals eine Ausgangsreglerspannung zu generieren, wobei die Ausgangsreglerspannung dazu dient, den Prozessor mit Leistung zu versorgen.In one embodiment, an apparatus for regulating a processor voltage is disclosed. The apparatus includes a comparator having a first input which is to be set at a target frequency value, and wherein the target frequency value determines a target clock frequency for clocking a processor. The apparatus also includes a dynamic voltage controlled oscillator (DVCO) which serves to generate a clock signal having a first frequency, the first frequency being an operating frequency of the processor and a second input to the comparator, the DVCO being driven by the output regulator voltage and wherein the first frequency is compared to the target clock frequency using the comparator to generate an error signal. Further, the apparatus includes a circuit which serves to generate an output regulator voltage using the error signal, the output regulator voltage serving to power the processor.

In einem anderen Ausführungsbeispiel ist eine Vorrichtung zum Regulieren einer Prozessorspannung offenbart, wobei die Vorrichtung eine Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden aufweist, welche bei einer Ausgangsreglerspannung operieren. Die Vorrichtung umfasst auch eine Vielzahl von Phasendetektoren, welche dazu dienen eine Vielzahl von Verzögerungswerten, welche der Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden entsprechen, mit einer Zieltaktfrequenz zu vergleichen, um ein Fehlersignal zu generieren, wobei jeder der Vielzahl von Verzögerungswerten eine Verzögerung eines kritischen Pfades für einen jeweiligen kritischen Pfad eines Prozessors repräsentiert. Schließlich umfasst die Vorrichtung einen Schaltkreis, welcher dazu dient, unter Verwenden des Fehlersignals die Ausgangsreglerspannung zu generieren, wobei die Ausgangsreglerspannung dazu dient, dem Prozessor Leistung zuzuführen.In another embodiment, an apparatus for regulating a processor voltage is disclosed, the apparatus having a plurality of circuits for monitoring critical paths operating at an output regulator voltage. The apparatus also includes a plurality of phase detectors which serve to compare a plurality of delay values corresponding to the plurality of critical path monitoring circuits with a target clock frequency to generate an error signal, wherein each of the plurality of delay values is a delay of one critical path for each critical path of a processor. Finally, the device comprises a circuit which serves to generate the output regulator voltage using the error signal, the output regulator voltage serving to supply power to the processor.

Die folgende detaillierte Beschreibung wird zusammen mit den beigefügten Zeichnungen ein besseres Verständnis der Natur und der Vorteile der vorliegenden Erfindung bereitstellen.The following detailed description, together with the accompanying drawings, will provide a better understanding of the nature and advantages of the present invention.

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Ausführungsbeispiele der vorliegenden Erfindung werden in den Figuren der beigefügten Zeichnungen beispielhaft und nicht beschränkend veranschaulicht, und in diesen beziehen sich gleiche Bezugszeichen auf gleiche Elemente.Embodiments of the present invention are illustrated by way of example and not limitation in the figures of the accompanying drawings, in which like reference numerals refer to like elements.

1A veranschaulicht eine Frequenzantwort eines typischen Mikroprozessorstromversorgungs-Netzwerks. 1A illustrates a frequency response of a typical microprocessor power supply network.

1B veranschaulicht eine transiente Antwort eines typischen Mikroprozessorstromversorgungs-Netzwerks. 1B illustrates a transient response of a typical microprocessor power supply network.

2 ist ein Blockdiagramm eines Beispiels eines Computersystems, welches dazu geeignet ist Ausführungsbeispiele der vorliegenden Erfindung zu implementieren. 2 Figure 10 is a block diagram of an example of a computer system suitable for implementing embodiments of the present invention.

3 ist ein herkömmlicher Spannungsregulator mit digitaler Steuerung. 3 is a conventional voltage regulator with digital control.

4 veranschaulicht ein Spannungsschutzband für Temperatur und Alterung in einem System mit herkömmlichen integrierten Spannungsregulatoren. 4 illustrates a voltage protection band for temperature and aging in a system with conventional integrated voltage regulators.

5 veranschaulicht eine prozess-, temperatur-, spannungs-, spannungsrausch-, und alterungstolerante Feedbacksteuerung für Spannungsregler unter Verwenden eines dynamischen spannungsgeregelten Oszillators gemäß einem Ausführungsbeispiel der vorliegenden Erfindung. 5 FIG. 10 illustrates process, temperature, voltage, voltage noise, and aging tolerant feedback control for voltage regulators using a dynamic voltage controlled oscillator according to one embodiment of the present invention.

6 veranschaulicht eine prozess-, temperatur-, spannungs-, und alterungstolerante Feedbacksteuerung für Spannungsregler gemäß einem Ausführungsbeispiel der vorliegenden Erfindung. 6 illustrates a process, temperature, voltage, and aging tolerant feedback controller for voltage regulators according to an embodiment of the present invention.

7 veranschaulicht die Weise, in welcher die Verzögerungen der Kritischer-Pfad-Überwachungseinrichtungen (CPMs), welche in 6 veranschaulicht sind, mit dem Referenz-PLL-Takt verglichen werden können, um ein Fehlersignal zu generieren. 7 illustrates the manner in which the delays of the Critical Path Monitors (CPMs) used in 6 can be compared with the reference PLL clock to generate an error signal.

8 zeigt ein Flussdiagramm eines beispielhaften Prozesses zum Regulieren einer Spannung gemäß einem Ausführungsbeispiel der vorliegenden Erfindung, welcher Prozessvariationen, Spannungsvariationen, Temperaturvariationen und Alterung kompensiert. 8th FIG. 12 shows a flowchart of an exemplary process for regulating a voltage according to an embodiment of the present invention that compensates for process variations, voltage variations, temperature variations, and aging.

Detaillierte Beschreibung der ErfindungDetailed description of the invention

Es wird jetzt im Detail Bezug genommen auf die verschiedenen Ausführungsbeispiele der vorliegenden Erfindung, Beispiele, welche in den beigefügten Zeichnungen veranschaulicht werden. Während sie in Verbindung mit diesen Ausführungsbeispielen beschrieben werden, wird verständlich, dass sie die Offenbarung nicht auf diese Beispiele limitieren sollen. Im Gegenteil soll die Offenbarung Alternativen, Modifikationen und Äquivalente abdecken, welche innerhalb der Idee und des Umfangs der Offenbarung, wie sie in den beigefügten Ansprüchen definiert werden, enthalten sind. Weiterhin sind in der folgenden detaillierten Beschreibung der vorliegenden Erfindung eine Vielzahl von spezifischen Details dargelegt, um ein umfassendes Verständnis der vorliegenden Offenbarung zu ermöglichen. Jedoch wird verständlich, dass die vorliegende Offenbarung ohne diese spezifischen Details ausgeführt werden kann. In anderen Fällen wurden wohlbekannte Verfahren, Prozeduren, Komponenten, und Schaltkreise nicht im Detail beschrieben, um Aspekte der vorliegenden Offenbarung nicht unnötig undeutlich zu machen.Reference will now be made in detail to the various embodiments of the present invention, examples which are illustrated in the accompanying drawings. While described in connection with these embodiments, it will be understood that they are not intended to limit the disclosure to these examples. On the contrary, the disclosure is intended to cover alternatives, modifications, and equivalents, which are to be included within the spirit and scope of the disclosure as defined in the appended claims. Furthermore, in the following detailed description of the present invention, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. However, it will be understood that the present disclosure may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail so as not to unnecessarily obscure aspects of the present disclosure.

Einige Abschnitte der detaillierten Beschreibungen, welche folgen, werden in Hinsicht auf Prozeduren, Logikblöcke, Verarbeiten, und andere symbolische Repräsentationen von Operationen mit Datenbits innerhalb eines Computerspeichers präsentiert. Diese Beschreibungen und Repräsentationen sind Mittel, welche von Fachleuten in der Datenverarbeitung benutzt werden, um höchst effektiv den Gegenstand ihrer Arbeit anderen Fachleuten zu vermitteln. In der vorliegenden Anmeldung wird eine Prozedur, ein Logikblock, ein Prozess, oder Ähnliches derart vermittelt, dass er bzw. sie eine selbst-konsistente Sequenz von Schritten oder Instruktionen, welche zu einem gewünschten Ergebnis führen, ist. Die Schritte sind solche, welche physische Manipulationen von physischen Größen benutzen. Normalerweise, auch wenn nicht notwendigerweise, nehmen diese Größen die Form von elektrischen oder magnetischen Signalen an, welche gespeichert, transferiert, kombiniert, verglichen, und auf andere Weise in einem Computersystem manipuliert werden können. Es hat sich bisweilen als sinnvoll herausgestellt, vor allem aus Gründen des allgemeinen Gebrauchs, diese Signale als Transaktionen, Bits, Werte, Elemente, Symbole, Zeichen, Beispiele, Pixel, oder Ähnliches zu bezeichnen.Some portions of the detailed descriptions that follow are presented in terms of procedures, logic blocks, processing, and other symbolic representations of operations with data bits within a computer memory. These descriptions and representations are means used by data processing professionals to most effectively convey the subject matter of their work to other professionals. In the present application, a procedure, logic block, process, or the like is conveyed such that it is a self-consistent sequence of steps or instructions leading to a desired result. The steps are those that use physical manipulations of physical quantities. Usually, though not necessarily, these quantities take the form of electrical or magnetic signals which can be stored, transferred, combined, compared, and otherwise manipulated in a computer system. It has sometimes been found useful, principally for reasons of common usage, to refer to these signals as transactions, bits, values, elements, symbols, characters, examples, pixels, or the like.

Man sollte sich jedoch vergegenwärtigen, dass alle diese oder ähnliche Ausdrücke mit der passenden physikalischen Größe assoziiert werden sollen, und lediglich passende Label sind, welche mit diesen Größen verwendet werden. Wenn nicht anders ausdrücklich und offensichtlich aus den folgenden Diskussionen darauf hingewiesen wird, wird gewürdigt, dass sich in der ganzen vorliegenden Offenbarung Diskussionen, welche Ausdrücke wie ”anfordern,” ”vergleichen,” ”generieren,” oder Ähnliches verwenden, auf Aktionen und Prozesse (z. B. Flussdiagramm 800 der 8) eines Computersystems oder ähnlichen elektronischen Rechengerät oder Prozessor (z. B., System 110 der 2) beziehen. Das Computersystem oder ein ähnliches elektronisches Rechengerät manipuliert und transformiert Daten, welche als physikalische (elektronische) Größen innerhalb des Computersystemspeichers, Registern oder anderen derartigen Informationsspeichern, einer Übertragung oder Displaygeräten repräsentiert werden.It should be understood, however, that all of these or similar terms are to be associated with the appropriate physical size, and are merely appropriate labels used with those quantities. Unless expressly and implicitly suggested in the following discussions, it is appreciated that throughout the present disclosure, discussions that use terms such as "request,""compare,""generate," or the like, refer to actions and processes ( eg flow chart 800 of the 8th ) of a computer system or similar electronic computing device or processor (eg, System 110 of the 2 ) Respectively. The computer system or similar electronic computing device manipulates and transforms data represented as physical (electronic) quantities within the computer system memory, registers or other such information storage, transmission, or display devices.

Ausführungsbeispiele, welche hierin beschrieben werden, können in dem allgemeinen Kontext von computerausführbaren Instruktionen diskutiert werden, welche sich auf einer Form von computerlesbarem Speichermedium, wie etwa Programmmodulen, welche durch einen oder mehrere Computer oder andere Geräte ausgeführt werden, befinden. Als Beispiel, und nicht als Limitation, können computerlesbare Speichermedien nicht-flüchtige computerlesbare Speichermedien und Kommunikationsmedien umfassen; nicht-flüchtige computerlesbare Medien umfassen alle computerlesbaren Medien, außer ein transitorisches, propagierendes Signal. Im Allgemeinen umfassen Programmmodule Routinen, Programme, Objekte, Komponenten, Datenstrukturen, etc., welche bestimmte Aufgaben durchführen oder bestimmte abstrakte Datentypen implementieren. Die Funktionalität der Programmmodule kann kombiniert oder verteilt werden, wie in verschiedenen Ausführungsbeispielen gewünscht.Embodiments described herein may be discussed in the general context of computer-executable instructions residing on a form of computer-readable storage medium, such as program modules, being executed by one or more computers or other devices. By way of example, and not limitation, computer readable storage media may include non-transitory computer readable storage media and communication media; Non-transitory computer readable media includes all computer readable media except a transitory propagating signal. In general, program modules include routines, programs, objects, components, data structures, etc. that perform certain tasks or implement particular abstract data types. The functionality of the program modules may be combined or distributed as desired in various embodiments.

Computerspeichermedien umfassen flüchtige und nicht-flüchtige, entfernbare und nicht-entfernbare Medien, welche in einem beliebigen Verfahren oder einer beliebigen Technologie zum Speichern von Informationen, wie etwa computer-lesbare Instruktionen, Datenstrukturen, Programmmodule oder andere Daten, implementiert sind. Computerspeichermedien umfassen, sind jedoch nicht limitiert auf, Arbeitsspeicher (RAM), Festwertspeicher (ROM), elektrisch löschbar programmierbaren Festwertspeicher (EEPROM), Flash-Speicher oder eine andere Speichertechnologie, ein Compact-Disk Festwertspeicher (CD-ROM), eine Digital Versatile Disk (DVD) oder anderen optischen Speicher, Magnetkassetten, ein Magnetband, Magnetplattenspeicher oder andere magnetische Speichergeräte, oder ein beliebiges anderes Medium, welches dazu benutzt werden kann, die gewünschten Informationen zu speichern, und auf welches zugegriffen werden kann, um die Informationen abzurufen.Computer storage media includes volatile and nonvolatile, removable and non-removable media implemented in any method or technology for storing information such as computer readable instructions, data structures, program modules or other data. Computer storage media include, but are not limited to, random access memory (RAM), read only memory (ROM), electrically erasable programmable read only memory (EEPROM), flash memory, or a other storage technology, a compact disk read only memory (CD-ROM), a digital versatile disk (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to store desired information and which can be accessed to retrieve the information.

Kommunikationsmedien können Computer ausführbare Instruktionen, Datenstrukturen, und Programmmodule enthalten, und beinhaltet ein beliebiges Informationsbereitstellungsmedium. Um ein Beispiel, und keine Limitation, zu nennen, enthalten Kommunikationsmedien drahtgebundene Medien, wie etwa ein drahtgebundenes Netzwerk oder eine direkt-verdrahtete Verbindung, und kabellose Medien, wie etwa Funk (RF), Infrarot, und andere kabellose Medien. Kombinationen von beliebigen der oben genannten können auch innerhalb des Umfangs von computer-lesbaren Medien enthalten sein.Communication media may include computer-executable instructions, data structures, and program modules, and includes any information-providing medium. By way of example, and not limitation, communication media includes wired media, such as a wired network or a direct-wired connection, and wireless media, such as radio (RF), infrared, and other wireless media. Combinations of any of the above may also be included within the scope of computer-readable media.

2 ist ein Blockdiagramm eines Beispiels eines Rechensystems 110, welches Ausführungsbeispiele der vorliegenden Erfindung implementieren kann. Das Rechensystem 110 repräsentiert im Wesentlichen ein Einprozessor- oder Mehrfachprozessor-Rechengerät oder Rechensystem, welches computerlesbare Instruktionen ausführen kann. Beispiele des Rechensystems 110 umfassen, ohne Limitation, Workstations, Laptops, clientseitige Terminals, Server, verteilte Rechensysteme, tragbare Geräte oder ein beliebiges anderes Computersystem oder Gerät. In seiner einfachsten Ausführung kann das Rechensystem 110 zumindest einen Prozessor 114 und einen Systemspeicher 116 umfassen. 2 Fig. 10 is a block diagram of an example of a computing system 110 which can implement embodiments of the present invention. The computing system 110 essentially represents a single-processor or multiple-processor computing device or computing system that can execute computer-readable instructions. Examples of the computing system 110 include, without limitation, workstations, laptops, client-side terminals, servers, distributed computing systems, portable devices, or any other computer system or device. In its simplest form, the computing system 110 at least one processor 114 and a system memory 116 include.

Der Prozessor 114 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form einer Verarbeitungseinheit, welche Daten verarbeiten oder interpretieren kann und Instruktionen ausführen kann. In bestimmten Ausführungsformen kann der Prozessor 114 Instruktionen von einer Softwareapplikation oder einem -modul empfangen. Diese Instruktionen können den Prozessor 114 dazu veranlassen, die Funktionen eines oder mehrerer exemplarischer Ausführungsbeispiele, welche hierin beschrieben und/oder dargestellt sind, auszuführen. In einem Ausführungsbeispiel benutzt das Stromversorgungsnetzwerk des Rechensystems 110 den Spannungsreglermechanismus der vorliegenden Erfindung, um den Prozessor 114 und chipinternen Geräten, welche darin enthalten sind, Leistung zuzuführen.The processor 114 generally represents any type or form of processing unit that can process or interpret data and execute instructions. In certain embodiments, the processor 114 Receive instructions from a software application or module. These instructions can be the processor 114 to perform the functions of one or more exemplary embodiments described and / or illustrated herein. In one embodiment, the power supply network of the computing system uses 110 the voltage regulator mechanism of the present invention to the processor 114 and on-chip devices contained therein to provide power.

Der Systemspeicher 116 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von flüchtigem oder nicht-flüchtigem Speichergerät oder Medium, welche Daten und/oder andere computerlesbare Instruktionen speichern können. Beispiele des Systemspeichers 116 umfassen, ohne Limitation, RAM, ROM, Flash-Speicher, oder ein beliebiges anderes geeignetes Speichergerät. Obwohl es nicht vorausgesetzt wird, kann in bestimmten Ausführungsbeispielen das Computersystem 110 sowohl eine flüchtige Speichereinheit (wie etwa, zum Beispiel, den Systemspeicher 116) und ein nicht-flüchtiges Speichergerät (wie etwa, zum Beispiel, ein primäres Speichergerät 132) umfassen.The system memory 116 generally represents any type or form of volatile or nonvolatile storage device or medium that can store data and / or other computer readable instructions. Examples of system memory 116 include, without limitation, RAM, ROM, flash memory, or any other suitable storage device. Although not anticipated, in certain embodiments, the computer system may 110 both a volatile memory unit (such as, for example, system memory 116 ) and a non-volatile storage device (such as, for example, a primary storage device 132 ).

Das Rechensystem 110 kann auch zusätzlich zu dem Prozessor 114 und dem Systemspeicher 116 eine oder mehrere Komponenten oder Elemente umfassen. Zum Beispiel umfasst das Rechensystem 110 in dem Ausführungsbeispiel der 2 einen Speichercontroller 118, einen Eingabe/Ausgabe(E/A)-Controller 120, und eine Kommunikationsschnittstelle 122, welche jeweils über eine Kommunikationsinfrastruktur 112 miteinander verbunden sein können. Die Kommunikationsinfrastruktur 112 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Infrastruktur, welche eine Kommunikation zwischen einer oder mehreren Komponenten eines Rechengerätes ermöglichen kann. Beispiele einer Kommunikationsinfrastruktur 112 umfassen, ohne Limitation, einen Kommunikationsbus (wie etwa Industry Standard Architecture (ISA), Peripheral Component Interconnect (PCI) PCI Express (PCIe), oder einen ähnlichen Bus) und ein Netzwerk.The computing system 110 can also be in addition to the processor 114 and the system memory 116 comprise one or more components or elements. For example, the computing system includes 110 in the embodiment of 2 a memory controller 118 , an input / output (I / O) controller 120 , and a communication interface 122 , each with a communication infrastructure 112 can be connected to each other. The communication infrastructure 112 generally represents any type or form of infrastructure that may facilitate communication between one or more components of a computing device. Examples of a communication infrastructure 112 include, without limitation, a communication bus (such as Industry Standard Architecture (ISA), Peripheral Component Interconnect (PCI) PCI Express (PCIe), or a similar bus) and a network.

Der Speichercontroller 118 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Gerät, welches einen Speicher oder Daten handhaben kann oder eine Kommunikation zwischen einer oder mehreren Komponenten des Rechensystems 110 steuern kann. Zum Beispiel kann der Speichercontroller 118 eine Kommunikation zwischen dem Prozessor 114, dem Systemspeicher 116, und dem E/A-Controller 120 über die Kommunikationsinfrastruktur 112 steuern.The memory controller 118 generally represents any type or form of device that can handle memory or data or communication between one or more components of the computing system 110 can control. For example, the memory controller 118 a communication between the processor 114 , the system memory 116 , and the I / O controller 120 via the communication infrastructure 112 Taxes.

Der E/A-Controller 120 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Modul, welches die Eingabe- und Ausgabefunktionen eines Computergeräts koordinieren und/oder steuern kann. Zum Beispiel kann der E/A-Controller 120 den Transfer von Daten zwischen einem oder mehreren Elementen des Rechensystems 110, wie etwa den Prozessor 114, dem Systemspeicher 116, der Kommunikationsschnittstelle 122, dem Displayadapter 126, der Eingabeschnittstelle 130, und der Speicherschnittstelle 134 steuern oder ermöglichen.The I / O controller 120 generally represents any type or form of module that can coordinate and / or control the input and output functions of a computing device. For example, the I / O controller 120 the transfer of data between one or more elements of the computing system 110 such as the processor 114 , the system memory 116 , the communication interface 122 , the display adapter 126 , the input interface 130 , and the memory interface 134 control or enable.

Die Kommunikationsschnittstelle 122 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Kommunikationsgerät oder Adapter, welche eine Kommunikation zwischen zum Beispiel dem Rechensystem 110 und einem oder mehreren zusätzlichen Geräten ermöglichen. Zum Beispiel kann die Kommunikationsschnittstelle 122 eine Kommunikation zwischen einem Rechensystem 110 und einen privaten oder öffentlichen Netzwerk, einschließlich zusätzlicher Rechensysteme, ermöglichen. Beispiele einer Kommunikationsschnittstelle 122 umfassen, ohne Limitation, eine kabelgebundene Netzwerkschnittstelle (wie etwa eine Netzwerkschnittstellenkarte), eine kabellose Netzwerkschnittstelle (wie etwa eine kabellose Netzwerkschnittstellenkarte), ein Modem, und eine beliebige andere geeignete Schnittstelle. In einem Ausführungsbeispiel stellt die Kommunikationsschnittstelle 122 eine direkte Verbindung zu einem entfernten Server über eine direkte Verbindung zu einem Netzwerk, wie etwa dem Internet, her. Die Kommunikationsschnittstelle 122 kann eine solche Verbindung auch indirekt durch eine beliebige andere geeignete Verbindung bereitstellen.The communication interface 122 generally represents any type or form of communication device or adapter that facilitates communication between, for example, the computing system 110 and one or more additional devices. To the Example is the communication interface 122 a communication between a computing system 110 and enable a private or public network, including additional computing systems. Examples of a communication interface 122 include, without limitation, a wired network interface (such as a network interface card), a wireless network interface (such as a wireless network interface card), a modem, and any other suitable interface. In one embodiment, the communication interface 122 a direct connection to a remote server via a direct connection to a network, such as the Internet. The communication interface 122 may also provide such a compound indirectly through any other suitable compound.

Die Kommunikationsschnittstelle 122 kann auch einen Hostadapter repräsentieren, welcher derart konfiguriert ist, dass er eine Kommunikation zwischen dem Rechensystem 110 und einem oder mehreren zusätzlichen Netzwerk- oder Speichergeräten über einen externen Bus oder Kommunikationskanal ermöglicht. Beispiele von Hostadaptern umfassen, ohne Limitation, Small Computer System Interface (SCSI) Hostadapter, Universal Serial Bus (USB) Hostadapter, IEEE (Institute of Electrical and Electronics Engineers) 1394 Hostadapter, Serial Advanced Technology Attachment (SATA) and External SATA (eSATA) Hostadapter, Advanced Technology Attachment (ATA) und Parallel ATA (PATA) Hostadapter, Fibre-Channel Schnittstellenadapter, Ethernet Adapter, oder Ähnliches. Die Kommunikationsschnittstelle 122 kann dem Rechensystem 110 auch ermöglichen, an verteilter oder entfernter Verarbeitung teilzunehmen. Zum Beispiel kann die Kommunikationsschnittstelle 122 Instruktionen von einem entfernten Gerät empfangen oder Instruktionen zu einem entfernten Gerät zum Ausführen senden.The communication interface 122 may also represent a host adapter configured to communicate between the computing system 110 and one or more additional network or storage devices via an external bus or communication channel. Examples of host adapters include, without limitation, small computer system interface (SCSI) host adapters, universal serial bus (USB) host adapters, IEEE (Institute of Electrical and Electronics Engineers) 1394 host adapters, Serial Advanced Technology Attachment (SATA) and External SATA (eSATA) Host adapters, Advanced Technology Attachment (ATA) and Parallel ATA (PATA) host adapters, Fiber Channel interface adapters, Ethernet adapters, or similar. The communication interface 122 can the computing system 110 also allow to participate in distributed or remote processing. For example, the communication interface 122 Receive instructions from a remote device or send instructions to a remote device for execution.

Wie in 2 abgebildet, kann das Rechensystem 110 auch mindestens ein Displaygerät 124, welches über einen Displayadapter 126 an die Kommunikationsinfrastruktur 112 gekoppelt ist, umfassen. Das Display gerät 124 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form eines Geräts, welches Informationen, welche durch den Displayadapter 126 weitergeleitet wurden, visuell darstellen kann. In ähnlicher Weise repräsentiert der Displayadapter 126 im Allgemeinen einen beliebigen Typ oder eine beliebige Form eines Geräts, welches derart konfiguriert ist, dass es Grafiken, Text, und andere Daten zum Anzeigen auf dem Displaygerät 124 weiterleiten kann.As in 2 pictured, the computing system can 110 also at least one display device 124 , which has a display adapter 126 to the communication infrastructure 112 coupled. The display comes on 124 generally represents any type or form of device that provides information through the display adapter 126 can be forwarded visually. Similarly, the display adapter represents 126 generally any type or form of device configured to contain graphics, text, and other data for display on the display device 124 can forward.

Wie in 2 dargestellt, kann das Rechensystem 110 auch mindestens ein Eingabegerät 128, welches über eine Eingabeschnittstelle 130 mit der Kommunikationsinfrastruktur 112 gekoppelt ist, umfassen. Das Eingabegerät 128 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form eines Eingabegerätes, welches eine Eingabe, welche entweder computergeneriert oder durch einen Menschen generiert wurde dem Rechensystem 110 bereitstellen kann. Beispiele eines Eingabegerätes 128 umfassen, ohne Limitation, eine Tastatur, ein Zeigegerät, ein Spracherkennungsgerät, oder ein beliebiges anderes Eingabegerät.As in 2 represented, the computing system 110 also at least one input device 128 , which via an input interface 130 with the communication infrastructure 112 coupled. The input device 128 generally represents any type or form of input device that has an input that is either computer generated or generated by a human being to the computing system 110 can provide. Examples of an input device 128 include, without limitation, a keyboard, a pointing device, a speech recognition device, or any other input device.

Wie in 2 dargestellt, kann das Rechensystem 110 auch ein primäres Speichergerät 132 und ein Backup-Speichergerät 133, welche über eine Speicherschnittstelle 134 mit der Kommunikationsinfrastruktur 112 gekoppelt sind, umfassen. Die Speichergeräte 132 und 133 repräsentieren im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Speichergeräten oder Medien, welche Daten und/oder andere computerlesbare Instruktionen speichern können. Zum Beispiel können die Speichergeräte 132 und 133 ein Magnetplattenlaufwerk (z. B. eine sogenannte Festplatte), ein Diskettenlaufwerk, ein Magnetbandlaufwerk, ein optisches Laufwerk, ein Flash-Laufwerk, oder Ähnliches sein. Die Speicherschnittstelle 134 repräsentiert im Allgemeinen einen beliebigen Typ oder eine beliebige Form von Schnittstelle oder Gerät zum Transferieren von Daten zwischen den Speichergeräten 132 und 133 und anderen Komponenten des Rechensystems 110.As in 2 represented, the computing system 110 also a primary storage device 132 and a backup storage device 133 which has a memory interface 134 with the communication infrastructure 112 coupled include. The storage devices 132 and 133 generally represent any type or form of storage devices or media that can store data and / or other computer-readable instructions. For example, the storage devices 132 and 133 a magnetic disk drive (eg, a so-called hard disk), a floppy disk drive, a magnetic tape drive, an optical drive, a flash drive, or the like. The storage interface 134 generally represents any type or form of interface or device for transferring data between the storage devices 132 and 133 and other components of the computing system 110 ,

In einem Beispiel kann eine Datenbank 140 in dem primären Speichergerät 132 gespeichert sein. Datenbanken 140 können Teile einer einzigen Datenbank oder eines Rechengerätes sein oder es kann mehrfache Datenbanken oder Rechengeräte repräsentieren. Zum Beispiel können Datenbanken 140 einen Teil des Rechensystems 110 und/oder Teile einer Beispielnetzwerkarchitektur 200 in 2 (unten) repräsentieren (darauf gespeichert sein). Alternativ können Datenbanken 140 eines oder mehrere physikalisch getrennte Geräte repräsentieren (darauf gespeichert sein), auf welche durch ein Rechengerät, wie etwa das Rechensystem 110 und/oder Teile der Netzwerkarchitektur 200, zugegriffen werden kann.In one example, a database can be used 140 in the primary storage device 132 be saved. databases 140 may be part of a single database or computing device, or it may represent multiple databases or computing devices. For example, databases 140 a part of the computing system 110 and / or parts of a sample network architecture 200 in 2 (below) represent (to be stored on). Alternatively, databases can 140 represent (or be stored on) one or more physically separate devices that are accessed by a computing device, such as the computing system 110 and / or parts of the network architecture 200 , can be accessed.

Weiter mit Bezug zu 2 können die Speichergeräte 132 und 133 derart konfiguriert sein dass sie aus einer entfernbaren Speichereinheit, welche derart konfiguriert ist, dass sie Computersoftware, Daten, oder andere computerlesbare Informationen speichert, lesen oder in diese schreiben. Beispiele von geeigneten entfernbaren Speichereinheiten umfassen, ohne Limitation, eine Diskette, ein Magnetband, ein optischer Datenträger, ein Flash-Speichergerät, oder Ähnliches. Die Speichergeräte 132 und 133 können auch andere ähnliche Strukturen oder Geräte umfassen, welche Computersoftware, Daten, oder andere computerlesbare Instruktionen in das Rechensystem 110 laden können. Zum Beispiel können die Speichergeräte 132 und 133 derart konfiguriert sein, dass sie Software, Daten, oder andere computerlesbare Informationen lesen und schreiben. Die Speichergeräte 132 und 133 können auch ein Teil des Rechensystems 110 sein oder können getrennte Geräte sein, auf welche durch andere Schnittstellensysteme zugegriffen wird.Further with respect to 2 can the storage devices 132 and 133 be configured to read from or write to a removable storage device configured to store, store, or write computer software, data, or other computer-readable information. Examples of suitable removable storage devices include, without limitation, a floppy disk, a magnetic tape, an optical disk, a flash memory device, or the like. The storage devices 132 and 133 may also include other similar structures or devices that incorporate computer software, data, or other computer-readable instructions into the computing system 110 can load. For example, the storage devices 132 and 133 be configured to read and write software, data, or other computer-readable information. The storage devices 132 and 133 can also be part of the computing system 110 or may be separate devices that are accessed by other interface systems.

Viele andere Geräte oder Subsysteme können mit dem Rechensystem 110 verbunden sein. Andererseits müssen nicht alle Komponenten und Geräte, welche in 2 dargestellt sind, vorhanden sein, um die Ausführungsbeispiele, welche hierin beschrieben sind, auszuführen. Die Geräte und Subsysteme, auf welche Proben Bezug genommen wird, können auch in auf andere Arten miteinander verbunden sein, als die, welche in 2 gezeigt sind. Das Rechensystem 110 kann auch eine beliebige Anzahl von Software-, Firmware-, und/oder Hardware-Konfigurationen aufweisen. Zum Beispiel können die exemplarischen Ausführungsbeispiele, welche hierin offenbart werden, als Computerprogramm (auch als Computersoftware, Softwareapplikation, computerlesbare Instruktionen, oder Computersteuerlogik bezeichnet) auf einem computerlesbaren Medium codiert sein.Many other devices or subsystems can work with the computing system 110 be connected. On the other hand, not all components and devices that are in 2 may be present to carry out the embodiments described herein. The devices and subsystems to which samples are referred may also be interconnected in ways other than those described in U.S. Pat 2 are shown. The computing system 110 may also include any number of software, firmware, and / or hardware configurations. For example, the exemplary embodiments disclosed herein may be encoded as a computer program (also referred to as computer software, software application, computer readable instructions, or computer control logic) on a computer readable medium.

Das computerlesbare Medium, welches das Computerprogramm enthält, kann in das Rechensystem 110 geladen werden. Das Ganze oder ein Teil des Computerprogramms, welches auf dem computerlesbaren Medium gespeichert ist, kann dann in dem Systemspeicher 116 und/oder mehreren Teilen der Speichergeräte 133 und 133 gespeichert werden. Wenn es durch den Prozessor 114 ausgeführt wird, kann ein Computerprogramm, welches in das Rechensystem 110 geladen wurde, den Prozessor 114 veranlassen, die Funktionen der exemplarischen Ausführungsbeispiele, welche hierin beschrieben und/oder veranschaulicht sind, auszuführen oder ein Mittel zum Ausführen zu sein. Zusätzlich oder alternativ können die Ausführungsbeispiele, welche hierin beschrieben und/oder veranschaulicht sind, in Firmware und/oder Hardware implementiert sein.The computer-readable medium containing the computer program may enter the computing system 110 getting charged. All or part of the computer program stored on the computer-readable medium may then be stored in the system memory 116 and / or multiple parts of the storage devices 133 and 133 get saved. If it is through the processor 114 Running a computer program, which is in the computing system 110 was loaded, the processor 114 cause to perform the functions of the exemplary embodiments described and / or illustrated herein, or to be a means for execution. Additionally or alternatively, the embodiments described and / or illustrated herein may be implemented in firmware and / or hardware.

Zum Beispiel kann ein Computerprogramm, welches auf dem Prozessor 114 läuft, eine andere Frequenz, als die, auf welcher der Prozessor 114 gegenwärtig läuft, anfordern, in welchem Fall das Computerprogramm eine Frequenzanfrage aus dem Spannungsregulier-Ausführungsbeispiel der vorliegenden Erfindung machen würde, was im Gegenzug die Spannung, auf welcher der Prozessor 114 läuft, variieren wird, um die Anforderung einer höheren Frequenz zu erfüllen.For example, a computer program running on the processor 114 is running, a different frequency than the one on which the processor 114 in which case the computer program would make a frequency request from the voltage regulation embodiment of the present invention, in turn, the voltage at which the processor is running 114 running, will vary to meet the requirement of a higher frequency.

Integrierte Spannungsregler mit eingebauter Prozess-, Temperatur- und AlterungskompensationIntegrated voltage regulator with built-in process, temperature and aging compensation

Ausführungsbeispiele der vorliegenden Erfindung stellen ein integriertes Spannungsregelungssystem, welches digital gesteuert wird und Spannungsfluktuation, Prozessvariationen, Temperaturvariationen und Alterung kompensieren kann, bereit. In einem Ausführungsbeispiel der vorliegenden Erfindung ist das ganze oder ein Teil des Spannungsregulierungsmoduls auf dem gleichen Chip integriert, wie der Prozessor (oder die Last) und wird digital unter Verwenden einer gewünschten Betriebsfrequenz als Eingabeparameter gesteuert. In diesem Ausführungsbeispiel eliminiert der digital gesteuerte Spannungsregler der vorliegenden Erfindung ein Verwenden eines Spannungsidentifikationscodes als Eingabeparameter und benutzt stattdessen die gewünschte Betriebsfrequenz als Eingabeparameter an die Reglersteuerungslogik. Weiter generiert der digital gesteuerte Spannungsregler der vorliegenden Erfindung in einem Ausführungsbeispiel der vorliegenden Erfindung die minimale Versorgungsspannung, welche für den Betrieb mit der Zielfrequenz erforderlich ist, auf optimale Art. Das resultiert im Allgemeinen in einer höheren Energieeffizienz und Batterielebensdauer.Embodiments of the present invention provide an integrated voltage regulation system that is digitally controlled and that can compensate for voltage fluctuation, process variations, temperature variations, and aging. In one embodiment of the present invention, all or part of the voltage regulation module is integrated on the same chip as the processor (or load) and is digitally controlled using a desired operating frequency as the input parameter. In this embodiment, the digitally controlled voltage regulator of the present invention eliminates using a voltage identification code as an input parameter and instead uses the desired operating frequency as the input parameter to the regulator control logic. Further, in one embodiment of the present invention, the digitally controlled voltage regulator of the present invention optimally generates the minimum supply voltage required for operation at the target frequency. This generally results in higher energy efficiency and battery life.

Weiter kompensiert der Spannungsregler der vorliegenden Erfindung in einem Ausführungsbeispiel vorteilhaft Verzögerungsvariationen des kritischen Pfades aufgrund von Änderungen der Temperatur während der Laufzeit, und Zunahmen des kritischen Pfades aufgrund von Transistorgeschwindigkeitsdegradation infolge von Alterung aus. Das resultiert in einer höheren Energieeffizienz und verbesserter Batterielebensdauer.Further, in one embodiment, the voltage regulator of the present invention advantageously compensates for delay variations of the critical path due to changes in temperature during runtime, and critical path increases due to transistor speed degradation due to aging. This results in higher energy efficiency and improved battery life.

3 ist ein herkömmlicher Spannungsregler mit einer digitalen Steuerung. Integrierte Spannungsregler (IVRs) integrieren alle oder einen Teil der VRM Funktionalität auf dem gleichen Chip wie die Last. Ein typisches einphasiges IVR-System mit getrennten Induktor innerhalb des Packages und chipinterner Steuerungslogik ist in 4 gezeigt (die Erde 302 wurde zur Klarheit idealisiert). Mehrfache solche IVRs werden benutzt um mehrere Spannungsdomänen mit einer verbesserten transienten Antwortzeit, einer reduzierten Platinenfläche und reduzierter Platinenkomponentenkosten, und einem erhöhten effizienten dynamischen Spannungs- und Frequenzskalieren (DVFS) zu betreiben. Die Steuerlogik eines IVR kann mit analogen oder digitalen/halb-digitalen Schaltkreisen implementiert werden. 3 is a conventional voltage regulator with a digital controller. Integrated voltage regulators (IVRs) integrate all or part of the VRM functionality on the same chip as the load. A typical single-phase IVR system with separate inductor within the package and on-chip control logic is in 4 shown (the earth 302 was idealized for clarity). Multiple such IVRs are used to drive multiple voltage domains with improved transient response time, reduced board area, and reduced board component cost, and increased efficient dynamic voltage and frequency scaling (DVFS). The control logic of an IVR can be implemented with analog or digital / semi-digital circuits.

Eine Lösung gemäß der vorliegenden Erfindung, wie in 3 gezeigt, welche auf einer digitalen Steuerung basiert, umfasst einen Analog-Digital(A/D)-Wandler 303, welcher die ausgegebene geregelte Spannung abtastet und sie mit einer Referenzspannung vergleicht. Die Referenzspannung 304 wird von einem Spannungsidentifikationscode (VID) 305 abgeleitet und bestimmt die nominale Ausgangsspannung des VRM. Das Fehlersignal 306 von dem A/D-Konverter wird durch einen PID 307 Controller kompensiert, um ein Tastverhältnissteuersignal zu erzeugen, welches einem digitalen Pulsbreitenmodulationsblock (DPWM) 308 zugeführt wird, um eine periodische Wellenform (VPWM) 310 mit dem gewünschten Tastverhältnis unter Verwenden des Leistungs-FETs 380 zu generieren. VPWM wird verwendet, um den getrennten Induktor 311 periodisch entweder auf eine hohen Spannung (VDDH) oder Erde zu schalten, um die gewünschte Ausgangsspannung, VREG 315, zu generieren.A solution according to the present invention, as in 3 which is based on digital control, includes an analog-to-digital (A / D) converter 303 which samples the output regulated voltage and compares it with a reference voltage. The reference voltage 304 is generated by a voltage identification code (VID) 305 derived and determines the nominal output voltage of the VRM. The error signal 306 from the A / D converter is controlled by a PID 307 controller compensated to produce a duty cycle control signal corresponding to a digital pulse width modulation (DPWM) block. 308 is fed to a periodic waveform (VPWM) 310 with the desired duty cycle using the power FET 380 to generate. VPWM is used to separate the inductor 311 periodically switch either to a high voltage (VDDH) or ground to the desired output voltage, VREG 315 , to generate.

Die Herausforderung bei konventionellen chipexternen oder integrierten Spannungsregulierungsmodulen, wie zum Beispiel das, welches in 3 dargestellt ist, ist, dass sie darauf zielen, eine geregelte Spannung mit einer minimalen Abweichung von dem gewünschten VID-Code 305 zu generieren und das die generierte geregelte Spannung unabhängig von den Umweltvariationen ist, welche die chipinternen Geräte während des Verlaufs des Betriebs erfahren können. Temperaturvariationen und Alterungseffekte können jedoch die Geschwindigkeit der chipinternen Geräte bei Laufzeit signifikant beeinflussen und können Funktionsausfälle verursachen.The challenge with conventional off-chip or integrated voltage regulation modules, such as the one in 3 is that they aim to provide a regulated voltage with a minimum deviation from the desired VID code 305 and that the generated regulated voltage is independent of the environmental variations that the on-chip devices may experience during the course of the operation. Temperature variations and aging effects, however, can significantly affect the speed of on-chip devices during run-time and can cause malfunction.

4 veranschaulicht ein Spannungsschutzband für Temperatur und Alterung in einem System mit herkömmlichen integrierten Spannungsregulatoren. Ein Spannungsschutzband wird typischerweise für Temperatur-, Prozess- und Alterungsvariationen hinzugefügt, um einen korrektes Funktionieren der Logikschaltungen unter allen Bedingungen sicherzustellen. Eine Verzögerung des kritischen Pfades ist die minimale Taktperiode, welche benötigt wird, damit der Prozessor ohne Fehler funktioniert. Wie in der Figur gezeigt ist, ändert sich die Verzögerung des kritischen Pfades über die Zeit aufgrund von Änderungen der Spannung, der Temperatur und Alterung. Da die Verzögerung des kritischen Pfades mit der Spannung verbunden ist, ändert sich auch die Spannung, welche erforderlich ist, um bei einer vorgegebenen Verzögerung des kritischen Pfades zu funktionieren, mit der Zeit, wie gezeigt. Bei einem konventionellen Spannungsregler muss die Spannung derart eingestellt werden, dass die Spannung ausreichend ist, um der schlechtesten Verzögerung des kritischen Pfades zu entsprechen. Wenn die Verzögerung des kritischen Pfades nicht am schlechtesten wert ist, dann ist die Überspannung als Spannungsschutzband bekannt. Dieses hinzugefügte Schutzband (wie in 4 gezeigt) verursacht in traditionellen Stromsteuerungs- oder Spannungssteuerungs-Feedbackreglern einen Leistungsverlust, welcher die durchschnittliche Leistung beeinflusst und die Batterielebensdauer degradiert. Die vorgeschlagenen Ausführungsbeispiele der vorliegenden Erfindung werden die Spannungsänderung die Änderungen in der Verzögerung des kritischen Pfades verfolgen lassen, wie in 4 gezeigt. Dementsprechend stellen Ausführungsbeispiel der vorliegenden Erfindung ein Spannungsregulierungsmodul bereit, welches Prozessvariationen, Temperaturvariationen, Alterung und Spannungsrauschen kompensiert, wobei es die Energieeffizienz verbessert. 4 illustrates a voltage protection band for temperature and aging in a system with conventional integrated voltage regulators. A voltage protection band is typically added for temperature, process and aging variations to ensure proper operation of the logic circuits under all conditions. A critical path delay is the minimum clock period needed for the processor to operate without error. As shown in the figure, the critical path delay over time changes due to changes in voltage, temperature and aging. As the critical path delay is connected to the voltage, the voltage required to operate at a given critical path delay also changes over time as shown. In a conventional voltage regulator, the voltage must be adjusted so that the voltage is sufficient to meet the worst delay of the critical path. If the delay of the critical path is not the worst value, then the overvoltage is known as a voltage protection band. This added protective tape (as in 4 shown) causes power loss in traditional current control or voltage control feedback regulators which affects the average power and degrades battery life. The proposed embodiments of the present invention will track the voltage change, the changes in the critical path delay, as in FIG 4 shown. Accordingly, embodiments of the present invention provide a voltage regulation module that compensates for process variations, temperature variations, aging, and voltage noise, thereby improving energy efficiency.

5 veranschaulicht eine Prozess-, temperatur-, spannungs-, spannungsrausch-, und alterungstolerante Feedbacksteuerung für Spannungsregler unter Verwenden eines dynamischen spannungsgeregelten Oszillators gemäß einem Ausführungsbeispiel der vorliegenden Erfindung. Der Vorteil des Schaltkreises, welcher in 5 gezeigt ist, ist, dass er zusätzlich zu Prozess-, Temperatur- und Alterungsvariationen, z. B., Spannungsrauschen kompensiert, der Schaltkreis in 5 ist effizient beim Reagieren auf schnell veränderliches Rauschen, welches aufgrund einer Änderung eines Stroms, welcher von dem Regler gezogen wird, verursacht wird, zum Beispiel, wenn eine CPU oder GPU ihre Stromentnahme schnell ändert. 5 FIG. 12 illustrates a process, temperature, voltage, voltage noise, and aging tolerant feedback control for voltage regulators using a dynamic voltage controlled oscillator according to one embodiment of the present invention. The advantage of the circuit, which in 5 is shown to be in addition to process, temperature and aging variations, e.g. B., voltage noise compensated, the circuit in 5 is efficient in responding to rapidly varying noise caused due to a change in a current drawn by the regulator, for example, when a CPU or GPU rapidly changes its current drain.

Leistungsversorgungsrauschen war ein großes Problem für Chips, welche in den letzten Jahren entworfen wurden. Im schlechtesten Fall limitiert das Leistungsversorgungsrauschen die maximale Frequenz, welche der Chip erreichen kann, und das reduziert die effektive Leistung des Produkts, selbst wenn Ereignisse mit dem schlechtesten Fall des Rauschens sehr selten sind. Um dieses Problem zu lösen, benutzen Ausführungsbeispiele der vorliegenden Erfindung elastische Takttechniken, bei welchen der Taktgenerator ein dynamischer spannungsgeregelter Oszillator (DVCO) 514 ist (wie in der verwandten Vereinigte Staaten Patenanmeldungsnummer 14/323787, eingereicht am 3. Juli 2014, mit dem Titel ”CLOCK GENERATION CIRCUIT THAT TRACKS CRITICAL PATH ACROSS PROCESS, VOLTAGE AND TEMPERATURE VARIATION,”, welche Kalyana Bollapalli und Tezaswi Raja als Erfinder nennt, und welche das Anwaltsaktenzeichen NVID-PSC-13-0308-US1 aufweist, welche im folgenden als ”die DVCO Anmeldung” bezeichnet wird, welche hierin durch Verweis aufgenommen wird), welcher mit der gleichen Spannungsversorgung wie der Chip, welcher den Takt aufnimmt, verbunden ist. In diesem Schaltkreis reduziert der DVCO während eines Rauschereignisses, wenn die Spannung fällt, die Taktfrequenz auch um den Chip davon abzuhalten, zu versagen. Das passiert nur, wenn es ein Rauschereignis gibt. Den Rest der Zeit, wenn es kein Rauschereignis gibt, läuft der Chip bei einer höheren effektiven Frequenz und Leistung. Dementsprechend führt das Design, welches in 5 veranschaulicht ist, zu einer höheren Leistung und einer Leistungsverbesserung.Power supply noise has been a big problem for chips designed in recent years. In the worst case, the power supply noise limits the maximum frequency that the chip can achieve, and this reduces the effective performance of the product, even though events with the worst case of noise are very rare. To solve this problem, embodiments of the present invention use elastic clocking techniques in which the clock generator is a dynamic voltage controlled oscillator (DVCO). 514 is (as in Related United States Patent Application No. 14/323787, filed July 3, 2014, entitled "CLOCK GENERATION CIRCUIT THAT TRACKS CRITICAL PATH ACROSS PROCESS, VOLTAGE AND TEMPERATURE VARIATION," which Kalyana Bollapalli and Tezaswi Raja cite as inventors and which has attorney docket NVID-PSC-13-0308-US1, hereinafter referred to as "the DVCO application", which is incorporated herein by reference), which is supplied with the same voltage supply as the chip which receives the clock. connected is. In this circuit, during a noise event, when the voltage drops, the DVCO also reduces the clock frequency around the chip from failing. This only happens when there is a noise event. The rest of the time, when there is no noise event, the chip will run at a higher effective frequency and power. Accordingly, the design, which leads in 5 is illustrated, for a higher performance and a performance improvement.

Wie oben erklärt, ist ein Anwenden einer festen VID-bestimmten Ausgangsspannung energieineffizient aufgrund ihrer Unfähigkeit Spannungsfluktuationen zu verfolgen. Die Referenzspannung 304 für den Schaltkreis in 3 muss typischerweise höher eingestellt sein, um die Spannungsfluktuationen zu kompensieren und sicherzustellen, dass der Prozessor eine minimale Spannung empfängt, um die Frequenz, auf welcher er betrieben wird, zu unterstützen. Zum Beispiel, wenn der Prozessor mindestens 1 Volt benötigt, um bei 1 GHz zu laufen, und die Spannungswelligkeit 0,1 V ist, muss die Referenzspannung auf 1,1 V eingestellt werden, um sicherzustellen, dass dem Prozessor eine minimale Spannung von 1 V bereitgestellt wird, damit er bei 1 GHz läuft. Im Ergebnis müssen zusätzliche Leistungskosten gezahlt werden, um diese Spannungsfluktuation zu kompensieren.As explained above, applying a fixed VID-determined output voltage is energy inefficient due to its inability to track voltage fluctuations. The reference voltage 304 for the circuit in 3 typically has be set higher to compensate for the voltage fluctuations and to ensure that the processor receives a minimum voltage to support the frequency at which it is operated. For example, if the processor requires at least 1 volt to run at 1 GHz and the voltage ripple is 0.1V, the reference voltage must be set to 1.1V to ensure that the processor has a minimum voltage of 1V is provided to run at 1 GHz. As a result, additional service costs have to be paid to compensate for this voltage fluctuation.

Im Vergleich dazu vermeiden Ausführungsbeispiel der vorliegenden Erfindung die Leistungskosten durch Verwenden eines dynamischen spannungsgeregelten Oszillators (DVCO) und eines Komparators 515, um die Frequenz, mit welcher der Prozessor läuft, mit der Spannung zu verbinden. Ausführungsbeispiele der vorliegenden Erfindung eliminieren daher den VID-Code komplett und benutzen stattdessen die gewünschte Betriebsfrequenz als Eingabeparameter an die Reglersteuerungslogik. Die gewünschte Betriebsfrequenz wird unter Verwenden des Zielfrequenz 550 -Eingabeparameters eingegeben. PLL 574 wird verwendet, um unter Verwenden des Referenztakts 551 (welcher die Zeitdauer, über welche hinweg der Vergleich gemacht wird, angeben kann) den Zielfrequenzwert, welcher eingegeben wird, in einen Taktsignal, welches in das Komparator Modul 515 eingegeben wird, zu konvertieren. Die gewünschte Betriebsfrequenz wird durch die Softwareapplikationen, welche auf dem Prozessor laufen, bestimmt. Zum Beispiel wird, wenn eine prozessor-intensive Applikation auf dem Prozessor laufen gelassen wird, eine höhere Zielfrequenz 550 durch die Applikation angefordert werden.By comparison, embodiments of the present invention avoid the power cost by using a dynamic voltage controlled oscillator (DVCO) and a comparator 515 to connect the frequency at which the processor is running to the voltage. Embodiments of the present invention therefore completely eliminate the VID code and instead use the desired operating frequency as input to the controller control logic. The desired operating frequency will be using the target frequency 550 Input parameter entered. PLL 574 is used to using the reference clock 551 (which may indicate the period of time over which the comparison is made) the target frequency value which is input to a clock signal which is input to the comparator module 515 is entered, to convert. The desired operating frequency is determined by the software applications running on the processor. For example, if a processor-intensive application is run on the processor, a higher target frequency will be used 550 be requested by the application.

Um für einen vorgegebenen Leistungsbereich die beste Performance zu erreichen, muss der Chip mit der maximalen Frequenz, welche er bei einer vorgegebenen Spannung erreichen kann, laufen. In dem Schaltkreis, welcher in 5 veranschaulicht ist, muss die Softwareapplikation von dem Regler eine Spannung anfordern und basierend auf dieser Spannung die maximale sichere Frequenz bei dieser Spannung von dem DVCO anfordern. Jedoch könnte die Reglerspannung aufgrund von Reglertoleranzen eine Verschiebung enthalten und die Frequenz muss wegen dieser Verschiebung mit einer Sicherheit versehen werden. In dem Schema, welches in dem Ausführungsbeispiel der 5 vorgeschlagen wird, kann eine Frequenz statt eine Spannung von dem Regler anzufordern, von dem DVCO unter Verwenden des Zielfrequenzparameters 550 angefordert werden. Wenn der DVCO 514 die exakte Frequenz der Anforderung erreicht, dann ist die Schleife stabil. Wenn jedoch die DVCO Frequenz unterschiedlich angeforderten Frequenz ist, bestimmt der Komparator 515 den Frequenzfehler 509, welcher dem PID 507 als Feedback zugeführt wird.To achieve the best performance for a given power range, the chip must run at the maximum frequency that it can achieve at a given voltage. In the circuit, which in 5 is illustrated, the software application must request a voltage from the regulator and, based on this voltage, request the maximum safe frequency at that voltage from the DVCO. However, due to regulator tolerances, the regulator voltage may include a shift and the frequency must be guarded because of this shift. In the scheme, which in the embodiment of the 5 instead of requesting a voltage from the controller, may be provided by the DVCO using the target frequency parameter 550 be requested. If the DVCO 514 reaches the exact frequency of the request, then the loop is stable. However, if the DVCO frequency is different requested frequency, the comparator determines 515 the frequency error 509 which is the PID 507 is fed as feedback.

Der PID konvertiert den Frequenzfehler in eine Tastverhältnisanpassung, welche dann die Ausgangsspannung VREG 526 des integrierten Reglers direkt steuert. Die Änderung der Spannung verändert die DVCO Frequenz so lange bis sie die gleiche ist, wie die von der Softwareapplikation angeforderte Frequenz. Anders als bei der konventionellen Herangehensweise, welche in 3 veranschaulicht ist, hat das Ausführungsbeispiel der Erfindung, welche in 5 präsentiert ist, nicht ähnliche Probleme mit einer Quantisierung der Reglerspannungsanforderung und auch Reglertoleranzen. Weil Ausführungsbeispiele der vorliegenden Erfindung ein Tastverhältnis statt einer Spannung anfordern, sind sie nicht Gegenstand irgendwelcher Spannungsgranularitätsprobleme wie das Design des Standes der Technik. Daher eliminiert das Design der 5 jegliche potentielle Spannungsfehler aufgrund von Reglertoleranzen, Reglergranularität, etc.The PID converts the frequency error into a duty cycle adjustment, which then outputs the output voltage VREG 526 the integrated controller controls directly. Changing the voltage changes the DVCO frequency until it is the same as the frequency requested by the software application. Unlike the conventional approach, which in 3 is illustrated, the embodiment of the invention, which in 5 presented, not similar problems with a quantization of the regulator voltage requirement and also controller tolerances. Because embodiments of the present invention request a duty cycle rather than a voltage, they are not subject to any voltage granularity problems like the prior art design. Therefore, the design eliminates the 5 any potential voltage errors due to controller tolerances, regulator granularity, etc.

Wie in der DVCO Anmeldung erwähnt, verfolgt der darin offenbarte Taktgenerierungsschaltkreis einen kritischen Pfad eines integrierten Schaltkreises über Prozess-, Spannungs- und Temperaturvariationen. Solch ein Taktgenerierungsschaltkreis ermöglicht eine höhere Leistung, wenn die Bedingungen es erlauben, im Vergleich zu der konventionellen Technik. Zusätzlich produziert die Herangehensweise, welche darin offenbart ist, ein variables Taktsignal, zum Beispiel, einen Taktsignal, welches die Frequenz entsprechend Fertigungsvariationen und/oder Betriebsbedingungen ändert. Solch ein variables Taktsignal ist im Gegensatz zu der konventionellen Technik, welches nach einem sehr stabilen, z. B., quarzgesteuerten, Taktsignal, welches nicht mit Fertigungsvariationen und/oder Betriebsbedingungen variiert, gestrebt hat. Dementsprechend kann das Spannungsregulierungssystem, welches in 5 gezeigt ist, durch ein Verwenden des DVCO, welcher in der vorher genannten DVCO Anmeldung beschrieben ist, Prozess-, Temperatur- und auch Alterungsvariationen kompensieren.As mentioned in the DVCO application, the clock generation circuit disclosed therein tracks a critical integrated circuit path over process, voltage, and temperature variations. Such a timing generation circuit allows higher power when conditions permit, compared to the conventional technique. Additionally, the approach disclosed therein produces a variable clock signal, for example, a clock signal that changes frequency according to manufacturing variations and / or operating conditions. Such a variable clock signal is in contrast to the conventional technique, which after a very stable, for. B., quartz-controlled, clock signal, which does not vary with manufacturing variations and / or operating conditions has sought. Accordingly, the voltage regulation system incorporated in 5 is shown by using the DVCO described in the aforementioned DVCO application to compensate for process, temperature and aging variations.

Weiter, wie in 5 gezeigt, nimmt das DVCO Modul 514 einen Spannungswert, VREG 526 (welche die Spannung ist, bei welcher der Prozessor betrieben wird), als Eingabe und gibt eine Frequenz, welche mit der Eingabespannung verbunden ist, aus. Die von dem DVCO Modul 514 ausgegebene Frequenz 527 wird mit der Frequenz, welche durch das PLL Modul 574 ausgegeben wird, unter Verwenden eines Komparatormoduls 515 verglichen. Es ist anzumerken, dass Taktteiler verwendet werden können, um die Frequenz 527 und die Ausgabe von PLL 574 zu teilen, bevor sie in das Komparatormodul 515 eingegeben werden. Der Frequenzfehler 509, welcher durch das Komparatormodul 515 bestimmt wird, wird dann dem PID 507 Controller zugeführt, um einen Tastverhältnissteuersignal zu erzeugen, welches einem digitalen Pulsbreitenmodulationsblock Block (DPWM) 508 zugeführt wird, um unter Verwenden der Leistungs-FETs 580 eine periodische Wellenform (VPWM) 510 mit mit dem gewünschten Tastverhältnis zu generieren. VPWM wird benutzt, um den getrennten Induktor 511 periodisch entweder auf eine hohe Spannung (VDDH) oder Erde zu schalten, um die gewünschte Ausgangsspannung, VREG 526, zu generieren. Auf diese Weise verzichtet das Ausführungsbeispiel der 5 auf den zusätzlichen Schritt eines Anforderns einer Spannung, welche zu einer Frequenz konvertiert wird und dann zurück zu einer Spannung konvertiert wird (wie in dem Design der 3).Next, as in 5 shown, takes the DVCO module 514 a voltage value, VREG 526 (which is the voltage at which the processor is operated) as input and outputs a frequency associated with the input voltage. The one from the DVCO module 514 output frequency 527 is used with the frequency which is provided by the PLL module 574 is output, using a comparator module 515 compared. It should be noted that clock dividers can be used to frequency 527 and the output of PLL 574 to divide before entering the comparator module 515 be entered. The frequency error 509 passing through the comparator module 515 is determined, then the PID 507 Controller to produce a duty cycle control signal which corresponds to a digital pulse width modulation block (DPWM) block. 508 is supplied to using the power FETs 580 a periodic waveform (VPWM) 510 to generate with the desired duty cycle. VPWM is used to separate the inductor 511 periodically to either a high voltage (VDDH) or ground to switch to the desired output voltage, VREG 526 , to generate. In this way, the embodiment omits the 5 to the additional step of requesting a voltage which is converted to a frequency and then converted back to a voltage (as in the design of 3 ).

Auch keine Referenzspannung 304 (wie in dem Design der 3) zu haben, befasst sich mit dem Spannungswelligkeitsproblem, weil die Referenzspannung, welche wellig sein kann, stattdessen durch eine Frequenzanforderung durch Parameter 550 ersetzt wird. Zusätzlich hilft ein Entfernen von analogen Komponenten, wie etwa dem A/D-Konverter 304 (und seinen zugehörigen analogen Eingaben, z. B., Vref 304) und auch PID 307 mit digitalen Komponenten, zusätzliches Spannungsrauschen in dem Schaltkreis zu eliminieren.Also no reference voltage 304 (as in the design of the 3 ) deals with the voltage ripple problem because the reference voltage, which can be wavy, is instead a frequency demanded by parameters 550 is replaced. In addition, removal of analog components such as the A / D converter helps 304 (and its associated analog inputs, eg, Vref 304 ) and also PID 307 with digital components to eliminate additional voltage noise in the circuit.

Der DVCO 514 dient dazu, die Spannung des Prozessors an die Frequenz des Prozessors zu binden. Die Eingabespannung des DVCO 514 ist VREG 526, welche die gleiche Spannung ist, bei welcher der Prozessor läuft. Weiter ist die Ausgabefrequenz des DVCO 514 auch die Frequenz, bei welcher der Prozessor läuft. In anderen Worten wird die Ausgabe des DVCO in diesem Ausführungsbeispiel als Systemtakt verwendet. Durch einen Zusammenbinden der Spannung und der Frequenz des Prozessors wird das Spannungswelligkeitsproblem eliminiert, weil, wenn die Spannung 526 aufgrund von Welligkeit fluktuiert, die Frequenz 527 bei der Ausgabe des DVCO 514 ebenfalls fluktuiert. Zum Beispiel, wenn die Spannung 526 zunimmt, wird die Prozessorfrequenz 527, welche durch den DVCO ausgegeben wird, ebenfalls zunehmen, um die Anforderungen nach einer höheren Spannung aufzunehmen. Dementsprechend, anders als der Aufbau, welcher in 3 veranschaulicht ist, müssen keine zusätzlichen Leistungskosten gezahlt werden, um eine Spannungsfluktuation zu kompensieren.The DVCO 514 serves to tie the voltage of the processor to the frequency of the processor. The input voltage of the DVCO 514 is VREG 526 , which is the same voltage at which the processor is running. Next is the output frequency of the DVCO 514 also the frequency at which the processor runs. In other words, the output of the DVCO in this embodiment is used as a system clock. By tying together the voltage and the frequency of the processor, the voltage ripple problem is eliminated because when the voltage 526 fluctuates due to ripple, the frequency 527 at the output of the DVCO 514 also fluctuates. For example, when the voltage 526 increases, the processor frequency 527 which is output by the DVCO also increase to accommodate the requirements for higher voltage. Accordingly, unlike the construction which in 3 is illustrated, no additional power costs have to be paid to compensate for voltage fluctuation.

Wenn eine Softwareapplikation, welche auf dem Prozessor läuft, eine andere Spannung anfordert, wird die Anforderungen unter Verwenden der Zielfrequenzeingabe 550 gemacht. Der PLL 574 konvertiert den numerischen Wert in eine Taktfrequenz und das Komparatormodul 515 bestimmt den Frequenzunterschied zwischen der Frequenz 527 und der Ausgabe des PLL 574. Dieses wird dann unter Verwenden der Leistungs-FETs 580 zu einer periodischen Wellenform (VPWM) 510 mit dem gewünschten Tastverhältnis konvertiert, welche dann wie oben diskutiert die gewünschte Ausgangsspannung, VREG 526, produziert. Dementsprechend ist das System, welches in 5 gezeigt ist, einfach eine geschlossene Feedbackschleife mit einer Frequenzanforderung. Weiter regelt sich das System durch das Binden der Spannung 526 an die Frequenz 527 selbst. Dies eliminiert den VID-Code der 3 und die Leistungskosten, welche mit einem Einstellen der Referenzspannung 304 auf einen höheren Wert, um ein beliebiges Spannungsrauschen, welches aufkommen kann, zu kompensieren, assoziiert sind.When a software application running on the processor requests a different voltage, the requirements become using the target frequency input 550 made. The PLL 574 converts the numeric value into a clock frequency and the comparator module 515 determines the frequency difference between the frequency 527 and the output of the PLL 574 , This will then be done using the power FETs 580 to a periodic waveform (VPWM) 510 with the desired duty cycle, which then as discussed above, the desired output voltage, VREG 526 , produced. Accordingly, the system which is in 5 is simply a closed feedback loop with a frequency request. Furthermore, the system regulates by binding the tension 526 to the frequency 527 itself. This eliminates the VID code of the 3 and the power costs associated with adjusting the reference voltage 304 to a higher value to compensate for any voltage noise that may arise.

6 veranschaulicht eine Prozess-, temperatur-, spannungs-, und alterungstolerante Feedbacksteuerung für Spannungsregler gemäß einem Ausführungsbeispiel der vorliegenden Erfindung. Wie in 6 gezeigt, besteht der vorgeschlagene Regler aus mehreren Kritischer-Pfad-Monitoren (CPMs) (610A, 610B...610N) in seinem Feedbackpfad, deren Verzögerungen gegen den Referenz-PLL-Takt 608 verglichen werden, um einen Fehlersignal 609 zu generieren. Ähnlich zur 5 wird das Modul 674 dazu verwendet, um unter Verwenden des Referenztakts 651 (wie oben dargelegt, kann der Referenztakt eine Zeitperiode anzeigen) den numerischen Wert 650 der Zielfrequenz in eine Frequenz 608 zu konvertieren. In einem Ausführungsbeispiel können der DVCO Schaltkreis 514 und das Komparatormodul 515 der 5 auch dazu verwendet werden, anstelle des PLL 674 Schaltkreises, welcher in 6 gezeigt ist, die gleiche Funktion durchzuführen. 6 illustrates a process, temperature, voltage, and aging tolerant feedback controller for voltage regulators according to one embodiment of the present invention. As in 6 shown, the proposed controller consists of several Critical Path Monitors (CPMs) ( 610A . 610B ... 610N ) in its feedback path, its delays against the reference PLL clock 608 be compared to an error signal 609 to generate. Similar to 5 becomes the module 674 used to using the reference clock 651 (As stated above, the reference clock may indicate a time period) the numerical value 650 the target frequency into a frequency 608 to convert. In one embodiment, the DVCO circuit 514 and the comparator module 515 of the 5 also be used instead of the PLL 674 Circuitry, which in 6 is shown to perform the same function.

In diesem Ausführungsbeispiel ist die Spannung nicht an die Frequenz gebunden wie in 5. Stattdessen wird das Taktsignal 608 einfach als Monitor benutzt. Eine feste Frequenz kann durch den Zielfrequenzeingabeparameter 650 angefordert werden. Der PLL 674 konvertiert diesen numerischen Wert in eine feste Taktfrequenz 608, welche verwendet wird, um den Rest des Chips einschließlich der CPM-Schaltkreise zu takten. Die Spannung VREG 680, welche verwendet wird, um die CPM-Schaltkreise zu betreiben, kann dann reduziert werden, bis kurz bevor einer der Kritischer-Pfad-Monitore 610A bis 610N ein Fehlersignal signalisiert. In anderen Worten wird die Prozessorspannung 680 in diesem Ausführungsbeispiel reduziert bis kurz bevor ein Ausfall an einem der Kritischer-Pfad-Monitore, 610A bis 610N, beobachtet werden kann. Anschließend wird der Prozessor mit der niedrigstmöglichen Spannung an einer Schwelle betrieben, kurz bevor ein Ausfall auftreten kann. Ein OR-Gate kann verwendet werden, um einen Ausfall zu markieren, wenn eine Beliebige der Eingaben von den CPMs 610A bis 610N einen kritischen Ausfall erfahren. Dementsprechend ist die Spannung in diesem Ausführungsbeispiel nicht an die Frequenz gebunden stattdessen wird die Spannung bei einer gegebenen festen Frequenz eingestellt, bis kurz bevor ein kritischer Schwellenwert erreicht ist, wo einer der kritischen Pfade versagt.In this embodiment, the voltage is not tied to the frequency as in FIG 5 , Instead, the clock signal 608 simply used as a monitor. A fixed frequency can be determined by the target frequency input parameter 650 be requested. The PLL 674 converts this numeric value into a fixed clock frequency 608 which is used to clock the rest of the chip including the CPM circuits. The voltage VREG 680 which is used to operate the CPM circuits can then be reduced until just before one of the Critical Path Monitors 610A to 610N an error signal is signaled. In other words, the processor voltage 680 in this embodiment reduces until just before a failure on one of the critical path monitors, 610A to 610N , can be observed. Subsequently, the processor is operated at a threshold with the lowest possible voltage just before a failure can occur. An OR gate can be used to mark a failure if any of the inputs from the CPMs 610A to 610N to experience a critical failure. Accordingly, the voltage in this embodiment is not tied to the frequency, instead the Set voltage at a given fixed frequency until just before a critical threshold is reached where one of the critical paths fails.

Der Vergleich kann unter Verwenden eines Arrays von Phasendetektoren 620, oder anderen wohlbekannten digitalen Techniken, durchgeführt werden. Dies ist anstatt einen A/D-Konverter zu haben, um die Ausgangsspannung mit einer festen Spannungsreferenz zu vergleichen (wie in 3 gezeigt). Der Rest der Steuerlogik bleibt gleich wie in dem Schaltkreis, welcher in 5 gezeigt ist, z. B., der PID Regler 607 wird verwendet, um unter Verwenden des Fehlersignals 609 das Tastverhältnissteuersignal zu generieren. CPMs 610A bis 610N in der Feedbackschleife zu haben, ermöglicht eine kontinuierliche Anpassung der Ausgangsspannung, derart, dass der schlechteste CPM-Pfad immer über alle Temperatur- und Alterungsvariationen der Zielbetriebsfrequenz entspricht. Zum Beispiel erhöht der Regler, wenn die Transistoren in einem CPM degradieren und die Verzögerung des CPM sich erhöht, die geregelte Ausgangsspannung entsprechend, um einen korrekten Betrieb bei der versendeten Frequenz zu ermöglichen.The comparison may be done using an array of phase detectors 620 , or other well-known digital techniques. This is instead of having an A / D converter to compare the output voltage with a fixed voltage reference (as in 3 shown). The rest of the control logic remains the same as in the circuit which is in 5 is shown, for. B., the PID controller 607 is used to using the error signal 609 to generate the duty cycle control signal. CPMs 610A to 610N in the feedback loop allows a continuous adjustment of the output voltage, such that the worst CPM path always corresponds to all the temperature and aging variations of the target operating frequency. For example, as the transistors degrade in a CPM and the delay of the CPM increases, the regulator increases the regulated output voltage accordingly to allow proper operation at the frequency being sent.

Die CPMs 610A bis 610N können aus verschiedenen Kritischer-Pfad-Replica-Canary-Schaltkreisen zusammengesetzt sein oder können aus in-situ Kritischer-Pfad-Verzögerungs-Monitoren bestehen. Es ist wichtig, die Sicherheit, welche aufgrund des Unterschieds in den Verzögerungen von CPMs über alle PVT-Ecken und Alterungsszenarios erforderlich ist, zu reduzieren. Um die Zuverlässigkeit und Robustheit sicherzustellen, wird eine untere und eine obere Grenze (Vmin und Vmax) auf das System angewandt. Das Betriebssystem oder die Leistungsmanagementeinheit sollten keine Frequenz anfordern, welche hoch genug ist, welche erfordert, dass die geregelte Spannung die Vmax des Systems übersteigt. In ähnlicher Weise sollte die geregelte Spannung bei sehr niedrigen Betriebsfrequenzen niemals unter die Vmin-Grenze fallen, um eine ausreichende Spannungsfreiraum für einen korrekten Schaltkreisbetrieb sicherzustellen.The CPMs 610A to 610N may be composed of various Critical Path Replica Canary circuits or may consist of in situ Critical Path Delay Monitors. It is important to reduce the security required because of the difference in CPM delays across all PVT corners and aging scenarios. To ensure reliability and robustness, lower and upper bounds (Vmin and Vmax) are applied to the system. The operating system or power management unit should not request a frequency that is high enough that requires the regulated voltage to exceed the Vmax of the system. Similarly, at very low operating frequencies, the regulated voltage should never drop below the Vmin limit to ensure sufficient voltage margin for proper circuit operation.

7 veranschaulicht die Weise, in welcher die Verzögerungen der CPMs, welche in 6 veranschaulicht sind, gegen den Referenz-PLL-Takt verglichen werden können, um ein Fehlersignal zu generieren. Unter der Annahme, dass der Takt 708 in 7 der PLL-Takt ist und die konfigurierbare Verzögerungskette 710 der kritische Pfad ist, dann wird bzw. werden der empfangende Flop bzw. die empfangenden Flops am Ende den richtigen Wert erfassen, wenn die Verzögerung des kritischen Pfades kleiner ist, als die Taktperiode. Wenn der kritische Pfad langsamer ist, als die Taktperiode, dann wird bzw. werden der empfangende Flop bzw. die empfangenden Flops den falschen Wert erfassen. Dementsprechend kann basierend auf dem Wert, welcher in dem empfangenden Flop bzw. in den empfangenden Flops erfasst wird, eine Bestimmung durchgeführt werden, ob der Takt 708 zu schnell für den kritischen Pfad ist. Die zusätzlichen Flops am Ende des Pfades (z. B., Flops 720, 721, 712) sollen signalisieren, wenn der Pfad kurz davor steht, auszufallen. Zum Beispiel weist es darauf hin dass ein Ausfall kurz bevor steht aber noch nicht stattgefunden hat, wenn der Flop ganz rechts 712 ausfällt aber der ganz links 719 nicht. Zum Beispiel, wenn der Flop ganz rechts 712 ausfällt aber der ganz links 719 nicht, weist das darauf hin, dass ein Ausfall kurz bevor steht aber noch nicht stattgefunden hat. Dementsprechend können die Canary-Pfade, welche in 7 dargestellt sind, einen Ausfall signalisieren, bevor der Schaltkreis tatsächlich ausfällt. 7 illustrates the manner in which the delays of the CPMs which are in 6 can be compared against the reference PLL clock to generate an error signal. Assuming that the clock 708 in 7 is the PLL clock and the configurable delay chain 710 is the critical path, then the receiving flop (s) will eventually acquire the correct value if the critical path delay is less than the clock period. If the critical path is slower than the clock period, then the receiving flop (s) will capture the wrong value. Accordingly, based on the value detected in the receiving flop (s), a determination may be made as to whether the clock 708 is too fast for the critical path. The extra flops at the end of the path (eg, flops 720 . 721 . 712 ) should signal when the path is about to fail. For example, it indicates that a failure is imminent but has not yet occurred if the flop is on the far right 712 but fails the leftmost 719 Not. For example, if the flop is far right 712 but fails the leftmost 719 not, this indicates that a failure is imminent but has not yet occurred. Accordingly, the canary paths which are in 7 signal a failure before the circuit actually fails.

Das digitale Feedback, wie in 6 dargestellt, hilft dabei, die Binning-Zeit von Geräten zu reduzieren, da es die geregelte Spannung basierend auf der inhärenten Siliziumgeschwindigkeit der kritischen Pfade automatisch anpasst. Die Geräte können für einen Versand basierend auf repräsentativen Ringoszillator- oder CPM-Geschwindigkeiten. grob gebinned sein. Die vorgeschlagene Feedbacksteuerung kann das System weiter fein auf die minimale Spannung, welche erforderlich ist, um die Zielfrequenz zu erreichen, abstimmen. Dementsprechend können Ausführungsbeispiele der vorliegenden Erfindung durch ein feines Abstimmen der Spannung der Geräte innerhalb eines groben Bins die Binning-Zeit reduzieren und Binning-Margen verringern.The digital feedback, as in 6 helps to reduce device binning time by automatically adjusting the regulated voltage based on the inherent silicon velocity of the critical paths. The devices can be shipped based on representative ring oscillator or CPM speeds. be grossly gebinned. The proposed feedback control can further fine tune the system to the minimum voltage required to achieve the target frequency. Accordingly, by fine-tuning the voltage of the devices within a coarse bin, embodiments of the present invention can reduce binning time and reduce binning margins.

Die Herangehensweise mit einem digitalen Feedback, welche in 6 dargestellt ist, hat verschiedene Vorteile. Wie oben dargestellt, eliminiert sie VID-Decoder und generiert die minimale Versorgungsspannung, welche für einen gegenwärtigen Betrieb bei der Zielfrequenz erforderlich ist, auf optimale Weise. Das resultiert im Allgemeinen in einer höheren Energieeffizienz und Batterielebensdauer. Der Schaltkreis von 6 kompensiert auch Verzögerungsvariationen des kritischen Pfades aufgrund von Änderungen der Temperatur während der Laufzeit. Weiter kompensiert er eine Zunahme der Verzögerung des kritischen Pfades aufgrund von Transistorgeschwindigkeitsdegradation infolge von Alterung.The approach with a digital feedback, which in 6 shown has several advantages. As noted above, it eliminates VID decoders and optimally generates the minimum supply voltage required for current operation at the target frequency. This generally results in higher energy efficiency and battery life. The circuit of 6 Also compensates for delay variations of the critical path due to changes in temperature during runtime. It also compensates for an increase in the critical path delay due to transistor speed degradation due to aging.

Ausführungsbeispiele der vorliegenden Erfindung berücksichtigen auch die Sensitivität einer Verzögerung des kritischen Pfades gegenüber einer Versorgungsspannung, wobei sie Niedrigfrequenzversorgungsfluktuationen, bei denen eine Versorgungsrauschfrequenz niedriger ist, als eine Bandbreite der geschlossenen Schleife der Reglersteuerung, kompensieren.Embodiments of the present invention also consider the sensitivity of delaying the critical path to a supply voltage, compensating for low frequency supply fluctuations in which a supply noise frequency is lower than a closed loop bandwidth of the regulator control.

Es ist anzumerken, dass, während einige Systeme des Standes der Technik Temperatur- und Alterungskompensatorschaltkreise in Serie mit den Spannungsreglermodulen betreiben, die Ausführungsbeispiele der vorliegenden Erfindung flächeneffizienter sind, da sie diese Kompensationsschaltkreise in die Feedbackschleife des VRM integrieren, wodurch der Bedarf nach einem flächenintensiven A/D-Konverter entfällt. Den Kondensator in der Feedbackschleife des Reglers zu haben, resultiert in einer niedrigeren Latenz der Kompensation.It should be noted that while some prior art systems incorporate temperature and aging compensator circuits in series with each other operate the voltage regulator modules, the embodiments of the present invention are more surface efficient, as they integrate these compensation circuits into the feedback loop of the VRM, eliminating the need for a high area A / D converter. Having the capacitor in the feedback loop of the regulator results in a lower compensation latency.

8 zeigt ein Flussdiagramm eines beispielhaften Prozesses zum Regulieren einer Spannung gemäß einem Ausführungsbeispiel der vorliegenden Erfindung, welcher Prozessvariationen, Spannungsvariationen, Temperaturvariationen und Alterung kompensiert. 8th FIG. 12 shows a flowchart of an exemplary process for regulating a voltage according to an embodiment of the present invention that compensates for process variations, voltage variations, temperature variations, and aging.

Bei Schritt 802 wird eine Zielfrequenz angefordert wobei der Zielfrequenzwert eine Frequenz zum Takten eines Prozessors bestimmt. Zum Beispiel fordern Ausführungsbeispiele der vorliegenden Erfindung, wie in den 5 und 6 gezeigt, und wie oben erklärt, im Vergleich zu konventionellen VRMs, statt eines Spannungswerts unter Verwenden von VIDs einen Zielfrequenzwert (z. B., durch Eingaben 550 und 650) an.At step 802 a target frequency is requested, wherein the target frequency value determines a frequency for clocking a processor. For example, embodiments of the present invention as claimed in U.S. Patent Nos. 4,917,301 and 4,841,741 5 and 6 and as explained above, as compared to conventional VRMs, instead of a voltage value using VIDs, a target frequency value (e.g., through inputs 550 and 650 ) at.

Bei Schritt 804 wird die Zieltaktfrequenz mit einem ersten Signal verglichen, um ein Fehlersignal zu generieren. Zum Beispiel ist das erste Signal in dem Ausführungsbeispiel der 5 eine Ausgabe des DVCO 514, welche die Frequenz, bei welcher der Prozessor gegenwärtig betrieben wird, anzeigt. In dem Ausführungsbeispiel der 6 wird die Zieltaktfrequenz 608 unter Verwenden von Phasendetektoren 620 mit den Verzögerungen der Kritischer-Pfad-Monitore 610A bis 610N verglichen, um ein Fehlersignal 609 zu erzeugen.At step 804 the target clock frequency is compared with a first signal to generate an error signal. For example, the first signal in the embodiment is the 5 an edition of the DVCO 514 indicating the frequency at which the processor is currently operating. In the embodiment of 6 becomes the target clock frequency 608 using phase detectors 620 with the delays of Critical Path Monitors 610A to 610N compared to an error signal 609 to create.

Bei Schritt 806 wird ein Tastverhältnissteuersignal generiert, welches dazu dient, unter Verwenden von digitaler Pulsbreitenmodulation eine periodische Wellenform zu generieren. Wie oben dargelegt, wird das Fehlersignal 509 oder 609 verwendet, um unter Verwenden eines jeweiligen PID-Controllers 507 oder 607 ein Tastverhältnissteuersignal zu generieren.At step 806 a duty cycle control signal is generated which serves to generate a periodic waveform using digital pulse width modulation. As stated above, the error signal becomes 509 or 609 used to using a respective PID controller 507 or 607 to generate a duty cycle control signal.

Bei Schritt 808 wird die periodische Wellenform verwendet, um den getrennten Induktor, z. B., Induktor 511, periodisch auf entweder eine hohe Spannung (VDDH) oder Erde zu schalten, um die gewünschte Ausgangsspannung, VREG, zu generieren.At step 808 the periodic waveform is used to determine the separate inductor, e.g. B., inductor 511 to periodically switch to either a high voltage (VDDH) or ground to generate the desired output voltage, VREG.

Während die vorangegangene Offenbarung verschiedenen Ausführungsbeispielen unter Verwenden von spezifischen Blockdiagrammen, Flussdiagrammen, und Beispielen, darlegt, kann jede Blockdiagramkomponente, jeder Flussdiagrammschritt, jeder Vorgang, und/oder Komponente, welche bzw. welcher hierin beschrieben und/oder veranschaulicht wird, individuell und/oder Kollektiv unter Verwenden einer großen Auswahl von Hardware-, Software-, oder Firmware-Konfigurationen (oder eine beliebige Kombination derselben) implementiert werden. Zusätzlich soll jede Offenbarung von Komponenten, welche innerhalb anderer Komponenten enthalten sind, als Beispiele betrachtet werden, weil viele andere Architekturen implementiert werden können, um die gleiche Funktionalität zu erreichen.While the foregoing disclosure sets forth various embodiments using specific block diagrams, flowcharts, and examples, each block diagram component, each flowchart step, each operation, and / or component described and / or illustrated herein may be customized and / or Be implemented collectively using a wide variety of hardware, software, or firmware configurations (or any combination thereof). In addition, any disclosure of components contained within other components should be considered as examples because many other architectures can be implemented to achieve the same functionality.

Die Prozessparameter und Sequenz von Schritten, welche hierin beschrieben und/oder veranschaulicht sind bzw. ist, sind bzw. ist nur als Beispiel genannt. Zum Beispiel müssen diese Schritte, während die Schritte, welche hierin veranschaulicht oder beschrieben werden, in einer bestimmten Reihenfolge gezeigt oder diskutiert werden, nicht notwendigerweise in der Reihenfolge, welche veranschaulicht oder diskutiert wird, ausgeführt werden. Die verschiedenen Beispielverfahren, welche hierin beschrieben und/oder veranschaulicht sind, können auch einen oder mehrere der Schritte, welche hierin beschrieben oder veranschaulicht sind, auslassen oder zusätzliche Schritte zusätzlich zu denen, welche offenbart sind, einschließen.The process parameters and sequence of steps described and / or illustrated herein are given by way of example only. For example, while the steps illustrated or described herein are shown or discussed in a particular order, these steps need not necessarily be performed in the order illustrated or discussed. The various example methods described and / or illustrated herein may also omit one or more of the steps described or illustrated herein, or include additional steps in addition to those disclosed.

Während verschiedene Ausführungsbeispiele hierin im Kontext von voll funktionsfähigen Computersystemen beschrieben und/oder veranschaulicht wurden, kann eines oder mehrere dieser exemplarischen Ausführungsbeispiele als Programmprodukt in einer Vielfalt von Formen vertrieben werden, unabhängig von dem bestimmten Typ von computerlesbaren Medien, welches dazu benutzt wird, den Vertrieb tatsächlich auszuführen. Die Ausführungsbeispiele, welche hierin offenbart sind, können auch unter Verwenden von Softwaremodulen, welche bestimmte Aufgaben durchführen, implementiert werden. Diese Softwaremodule können Skript-, Batch- oder andere ausführbare Dateien umfassen, welche auf einem computerlesbaren Speichermedium oder in einem Rechensystem gespeichert werden können. Diese Softwaremodule können ein Rechensystem derart konfigurieren, dass es eines oder mehrere der exemplarischen Ausführungsbeispiele, welche hierin offenbart sind, ausführt. Eines oder mehrere der Softwaremodule, welche hierin offenbart sind, können in einer Cloud-Rechenumgebung implementiert sein. Cloud-Rechenumgebungen können verschiedene Leistungen und Applikationen über das Internet bereitstellen. Diese cloudbasierten Leistungen (z. B., Software-as-a-Service, Platform-as-a-Service, Infrastruktur-as-a-Service, etc.) können durch einen Webbrowser oder eine andere entfernte Schnittstelle zugänglich sein. Verschiedene Funktionen, welche hierin beschrieben sind, können durch eine Remote-Desktop-Umgebung oder eine beliebige andere cloudbasierte Rechenumgebung bereitgestellt werden.While various embodiments herein have been described and / or illustrated in the context of fully functional computer systems, one or more of these exemplary embodiments may be marketed as a program product in a variety of forms, regardless of the particular type of computer-readable media used to facilitate distribution actually execute. The embodiments disclosed herein may also be implemented using software modules that perform certain tasks. These software modules may include script, batch, or other executable files that may be stored on a computer-readable storage medium or in a computing system. These software modules may configure a computing system to perform one or more of the exemplary embodiments disclosed herein. One or more of the software modules disclosed herein may be implemented in a cloud computing environment. Cloud computing environments can provide various services and applications over the Internet. These cloud-based services (eg, Software as a Service, Platform as a Service, Infrastructure as a Service, etc.) may be accessible through a web browser or other remote interface. Various functions described herein may be provided by a remote desktop environment or any other cloud-based computing environment.

Die vorangegangene Beschreibung, zum Zweck einer Erklärung, wurde beschrieben mit Bezug zu spezifischen Ausführungsbeispiel. Jedoch sollen die obigen beispielhaften Diskussionen nicht erschöpfend sein, oder die Erfindung auf die konkreten offenbarten Formen einschränken. Viele Modifikationen und Variationen sind im Hinblick auf die obigen Lehren möglich. Die Ausführungsbeispiele wurden ausgewählt und beschrieben, um die Prinzipien der Erfindung und ihre praktischen Anwendungen bestmöglich zu erklären, und es dabei anderen Fachleuten zu ermöglichen, die Erfindung und verschiedene Ausführungsbeispiele mit verschiedenen Modifikationen, so wie am besten für den bestimmten erwägten Gebrauch geeignet, zu gebrauchen.The foregoing description, for purposes of explanation, has been described with reference to specific embodiments. However, the above exemplary discussions are not intended to be exhaustive or to limit the invention to the precise forms disclosed. Many modifications and variations are possible in light of the above teachings. The embodiments have been chosen and described in order to best explain the principles of the invention and its practical applications, and to enable others skilled in the art to make use of the invention and various embodiments with various modifications as best suited for the particular use contemplated ,

Ausführungsbeispiele Gemäß der Erfindung sind daher beschrieben. Während die vorliegende Offenbarung in bestimmten Ausführungsbeispielen beschrieben wurde, soll anerkannt werden, dass die Erfindung nicht als durch solche Ausführungsbeispiele limitiert, sondern vielmehr gemäß folgenden Ansprüche verstanden werden soll.Embodiments According to the invention are therefore described. While the present disclosure has been described in particular embodiments, it is to be understood that the invention is not to be construed as limited by such embodiments, but rather in accordance with the following claims.

Claims (20)

Ein Verfahren zum Regulieren einer Spannung für einen Prozessor, wobei das Verfahren umfasst: – Anfordern eines Zielfrequenzwertes, wobei der Zielfrequenzwert eine Zieltaktfrequenz zum Takten des Prozessors bestimmt; – Vergleichen der Zieltaktfrequenz mit einem ersten Signal, um einen Fehlersignal zu generieren; – Verwenden des Fehlersignals, unter Generieren eines Tastverhältnissteuersignals, wobei das Tastverhältnissteuersignal dazu dient, eine periodische Wellenform zu generieren; und – Generieren einer Ausgangsreglerspannung unter Verwenden der periodischen Wellenform, wobei die Ausgangsspannung dazu dient, dem Prozessor eine Leistung zuzuführen.A method for regulating a voltage for a processor, the method comprising: Requesting a target frequency value, the target frequency value determining a target clock frequency for clocking the processor; Comparing the target clock frequency with a first signal to generate an error signal; - using the error signal generating a duty cycle control signal, the duty cycle control signal serving to generate a periodic waveform; and Generating an output regulator voltage using the periodic waveform, the output voltage serving to supply a power to the processor. Das Verfahren nach Anspruch 1, weiter umfassend: – Bereitstellen der Ausgangsspannung als Eingabe an einen dynamischen spannungsgesteuerten Oszillator.The method of claim 1, further comprising: - Providing the output voltage as input to a dynamic voltage controlled oscillator. Das Verfahren nach Anspruch 2, wobei der dynamische spannungsgesteuerte Oszillator ein erstes Signal generiert, wobei das erste Signal ein Taktsignal mit einer ersten Frequenz ist, wobei die erste Frequenz eine Betriebsfrequenz des Prozessors ist, und wobei die erste Frequenz in direktem Zusammenhang mit der ausgegebenen Reglerspannung steht.The method of claim 2, wherein the dynamic voltage controlled oscillator generates a first signal, wherein the first signal is a clock signal having a first frequency, the first frequency being an operating frequency of the processor, and wherein the first frequency is directly related to the outputted regulator voltage stands. Das Verfahren nach einem der vorhergehenden Ansprüche, weiter umfassend: – Kompensieren des Fehlersignals durch einen Proportional-Integral-Differential-(PID)Regler, um das Tastverhältnissteuersignal zu generieren; und – Generieren der periodischen Wellenform aus dem Tastverhältnissteuersignal unter Verwenden von digitaler Pulsbreitenmodulation und Leistungs-FETs.The method of any one of the preceding claims, further comprising: Compensating the error signal by a proportional-integral-derivative (PID) controller to generate the duty cycle control signal; and Generating the periodic waveform from the duty cycle control signal using digital pulse width modulation and power FETs. Das Verfahren nach einem der vorhergehenden Ansprüche, wobei das Anfordern eines Zielfrequenzwerts umfasst, dass ein Softwareapplikationsprogramm, welches auf den Prozessor läuft, den Zielfrequenzwert anfordert.The method of claim 1, wherein requesting a target frequency value comprises a software application program running on the processor requesting the target frequency value. Das Verfahren nach einem der vorhergehenden Ansprüche, weiter umfassend: – Bereitstellen der Ausgangsreglerspannung als eine Eingabe an einen Schaltkreis zum Überwachen eines kritischen Pfades, wobei die Zieltaktfrequenz nicht an die Ausgangsreglerspannung gebunden ist, und wobei weiter das erste Signal ein Verzögerungswert des Schaltkreises zum Überwachen eines kritischen Pfades ist.The method of any one of the preceding claims, further comprising: Providing the output regulator voltage as an input to a critical path monitoring circuit, wherein the target clock frequency is not tied to the output regulator voltage, and further wherein the first signal is a delay value of the critical path monitoring circuit. Das Verfahren nach Anspruch 6, wobei das Vergleichen durch einen Phasendetektor durchgeführt wird.The method of claim 6, wherein the comparing is performed by a phase detector. Das Verfahren nach Anspruch 7, weiter umfassend: – Einstellen der Ausgangsreglerspannung, wobei der Schaltkreis zum Überwachen eines kritischen Pfades die Taktzielfrequenz über alle Temperatur- und Alterungsvariationen hinweg beibehält.The method of claim 7, further comprising: Adjusting the output regulator voltage, wherein the critical path monitoring circuit maintains the target clock frequency over all temperature and aging variations. Eine Vorrichtung zum Regulieren einer Prozessorspannung, wobei die Vorrichtung umfasst: – einen Komparator, welcher einen ersten Eingang aufweist, welcher dazu dient, bei einem Zielfrequenzwert festgelegt zu werden, und wobei der Zielfrequenzwert eine Zieltaktfrequenz zum Takten eines Prozessors bestimmt; – einen dynamischen spannungsgesteuerten Oszillator (DVCO), welcher dazu dient, einen Taktsignal mit einer ersten Frequenz zu generieren, wobei die erste Frequenz eine Betriebsfrequenz des Prozessors und einen zweiten Eingang des Komparators ist, wobei die erste Frequenz eine Funktion der Ausgangsreglerspannung ist, wobei der DVCO durch die Ausgangsreglerspannung betrieben wird, und wobei die erste Frequenz unter Verwenden des Komparators mit der Zieltaktfrequenz verglichen wird, um ein Fehlersignal zu generieren; und – ein Schaltkreis, welcher dazu dient, unter Verwenden des Fehlersignals eine Ausgangsreglerspannung zu generieren, wobei die Ausgangsreglerspannung dazu dient, dem Prozessor Leistungen zuzuführen.An apparatus for regulating a processor voltage, the apparatus comprising: A comparator having a first input which serves to be set at a target frequency value, and wherein the target frequency value determines a target clock frequency for clocking a processor; A dynamic voltage controlled oscillator (DVCO) which serves to generate a clock signal at a first frequency, the first frequency being an operating frequency of the processor and a second input of the comparator, the first frequency being a function of the output regulator voltage, the DVCO is operated by the output regulator voltage, and wherein the first frequency is compared to the target clock frequency using the comparator to generate an error signal; and A circuit which serves to generate an output regulator voltage using the error signal, the output regulator voltage serving to supply power to the processor. Die Vorrichtung nach Anspruch 9, wobei der Schaltkreis umfasst: – einen Proportional-Integral-Differential-(PID)Regler, welcher dazu dient, das Fehlersignal zu kompensieren, um ein Tastverhältnissteuersignal zu generieren.The device of claim 9, wherein the circuit comprises: A proportional-integral-derivative (PID) controller which serves to compensate the error signal to generate a duty cycle control signal. Die Vorrichtung nach Anspruch 10, wobei der Schaltkreis weiter umfasst: – einen digitalen Pulsbreitenmodulationsblock und ein Paar von Leistung-FETs, welche dazu dienen, das Tastverhältnissteuersignal in eine periodische Wellenform zu konvertieren.The apparatus of claim 10, wherein the circuit further comprises: A digital pulse width modulation block and a pair of power FETs which serve to convert the duty cycle control signal into a periodic waveform. Die Vorrichtung nach Anspruch 11, wobei der Schaltkreis weiter umfasst: – einen getrennten Induktor, wobei die periodische Wellenform dazu dient, den getrennten Induktor periodisch zu schalten, um die Ausgangsreglerspannung zu generieren.The apparatus of claim 11, wherein the circuit further comprises: A separate inductor, the periodic waveform serving to periodically switch the separate inductor to generate the output regulator voltage. Die Vorrichtung nach einem der Ansprüche 9 bis 12, wobei der Zielfrequenzwert von einer Softwareapplikation, welche auf dem Prozessor läuft, stammt.The apparatus of any of claims 9 to 12, wherein the target frequency value is from a software application running on the processor. Die Vorrichtung nach einem der Ansprüche 9 bis 13, wobei der Zielfrequenzwert unter Verwenden eines Phasenregelkreis-(PLL)Moduls zu der Zieltaktfrequenz konvertiert wird.The apparatus of any one of claims 9 to 13, wherein the target frequency value is converted to the target clock frequency using a phase locked loop (PLL) module. Eine Vorrichtung zum Regeln einer Prozessorspannung, wobei die Vorrichtung umfasst: – eine Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden, welche bei einer Ausgangsreglerspannung operieren; – eine Vielzahl von Phasendetektoren, welche ausgebildet sind, eine Vielzahl von Verzögerungswerten, welche der Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden zugeordnet sind, mit einer Zieltaktfrequenz zu vergleichen, um ein Fehlersignal zu generieren, wobei jeder der Vielzahl von Verzögerungswerten eine Verzögerung des kritischen Pfades für einen jeweiligen kritischen Pfad eines Prozessors repräsentieren; und – ein Schaltkreis, welcher ausgebildet ist, unter Verwenden des Fehlersignals die Ausgangsreglerspannung zu generieren, wobei die Ausgangsreglerspannung ausgebildet ist, dem Prozessor Leistung zuzuführen.An apparatus for controlling a processor voltage, the apparatus comprising: A plurality of circuits for monitoring critical paths operating at an output regulator voltage; A plurality of phase detectors configured to compare a plurality of delay values associated with the plurality of critical path monitoring circuits with a target clock frequency to generate an error signal, each of the plurality of delay values including a delay of the critical one Represent paths for a respective critical path of a processor; and A circuit configured to generate the output regulator voltage using the error signal, wherein the output regulator voltage is configured to supply power to the processor. Die Vorrichtung nach Anspruch 15, wobei der Schaltkreis umfasst: – einen Proportional-Integral-Differential-(PID)Regler, welcher ausgebildet ist, das Fehlersignal zu kompensieren, um ein Tastverhältnissteuersignal zu generieren; – einen digitalen Pulsbreitenmodulationsblock und ein Paar Leistung-FETs, welche ausgebildet sind, das Tastverhältnissteuersignal in eine periodische Wellenform zu konvertieren; und – einen getrennten Induktor, wobei die periodische Wellenform ausgebildet ist, den getrennten Induktor periodisch zu schalten, um die Ausgangsreglerspannung zu generieren.The apparatus of claim 15, wherein the circuit comprises: A proportional-integral-derivative (PID) controller configured to compensate the error signal to generate a duty cycle control signal; A digital pulse width modulation block and a pair of power FETs configured to convert the duty cycle control signal into a periodic waveform; and A separate inductor, the periodic waveform being configured to periodically switch the separate inductor to generate the output regulator voltage. Die Vorrichtung nach Anspruch 15 oder 16, weiter umfassend: – ein PLL Modul, welches ausgebildet ist, einen Zielfrequenzwert, welcher in das PLL Modul eingegeben wurde, in eine Zieltaktfrequenz zu konvertieren.The apparatus of claim 15 or 16, further comprising: A PLL module configured to convert a target frequency value input to the PLL module into a target clock frequency. Die Vorrichtung nach einem der Ansprüche 15 bis 17, wobei die Ausgangsreglerspannung ausgebildet ist, angepasst zu werden, wobei die Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden die Zieltaktfrequenz über alle Temperatur- und Alterungsvariationen hinweg treffen.The apparatus of any one of claims 15 to 17, wherein the output regulator voltage is adapted to be adjusted, wherein the plurality of critical path monitoring circuits meet the target clock frequency over all temperature and aging variations. Die Vorrichtung nach einem der Ansprüche 15 bis 18, wobei die Zieltaktfrequenz eine feste Frequenz ist.The apparatus of any one of claims 15 to 18, wherein the target clock frequency is a fixed frequency. Die Vorrichtung nach einem der Ansprüche 15 bis 19, wobei die Vielzahl von Schaltkreisen zum Überwachen von kritischen Pfaden, die Vielzahl von Phasendetektoren, der Schaltkreis und der Prozessor auf einem gleichen Chip integriert sind.The apparatus of any one of claims 15 to 19, wherein the plurality of critical path monitoring circuits, the plurality of phase detectors, the circuit and the processor are integrated on a same chip.
DE102016217656.0A 2015-09-16 2016-09-15 Integrated voltage regulator with built-in process, temperature and aging compensation Pending DE102016217656A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/856,191 US10103719B2 (en) 2013-07-22 2015-09-16 Integrated voltage regulator with in-built process, temperature and aging compensation
US14/856,191 2015-09-16

Publications (1)

Publication Number Publication Date
DE102016217656A1 true DE102016217656A1 (en) 2017-03-16

Family

ID=58160727

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016217656.0A Pending DE102016217656A1 (en) 2015-09-16 2016-09-15 Integrated voltage regulator with built-in process, temperature and aging compensation

Country Status (1)

Country Link
DE (1) DE102016217656A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112671396A (en) * 2020-12-02 2021-04-16 珠海亿智电子科技有限公司 Dynamic voltage frequency adjustment system and method and electronic equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112671396A (en) * 2020-12-02 2021-04-16 珠海亿智电子科技有限公司 Dynamic voltage frequency adjustment system and method and electronic equipment

Similar Documents

Publication Publication Date Title
DE102013114097B4 (en) Upstream switching controller control unit for temporarily storing power
DE102013114611B4 (en) pulse current measurement
US10103719B2 (en) Integrated voltage regulator with in-built process, temperature and aging compensation
DE112011103732B4 (en) Energy generation of temporally varying energy sources by varying the computational workload
DE102015101525A1 (en) Switching power supply circuit
DE102012106866A1 (en) Switching regulator with increased low-load efficiency
DE102013114248B4 (en) Power-sharing switching regulator with split inductor
DE102013114588A1 (en) Downstream control Predriver for a power park switching regulator
DE102013114331A1 (en) Predictive current detection
DE102021126686A1 (en) PERFORMANCE MANAGEMENT DEVICE AND METHODS FOR MULTI-LEVEL STORAGE SYSTEMS
DE102013114656A1 (en) Downstream control for power park switching regulators
DE102010044924B4 (en) Electronic device and method for discrete load adaptive voltage regulation
DE102017212354A1 (en) Low impedance load switch with output current control
DE112021004593T5 (en) CONTROL LOGIC POWER OPTIMIZATIONS FOR USB POWER DELIVERY CONTROLLERS
DE102021120912A1 (en) BOOTSTRAP VOLTAGE REFRESHMENT FOR A buck/boost converter
DE102017123496A1 (en) Closed-loop voltage and frequency scaling on the chip
EP0342693A1 (en) DC power supply system with a plurality of DC sources
DE19842879C2 (en) Microcomputer
DE102021119048A1 (en) SETUP AND PROCEDURE FOR A UNIFORM HOLDING AND REACTIVATION CLAMP
DE102016217656A1 (en) Integrated voltage regulator with built-in process, temperature and aging compensation
DE102020134682A1 (en) LOW POWER DEVICE AND METHOD FOR MULTIPLING THE FREQUENCY OF A CLOCK
EP2462695A2 (en) Trigger circuit and rectifier, particularly for a self-powered microsystem comprising a piezoelectric microgenerator
DE112019002378T5 (en) TRANSMITTER WITH FEEDBACK CONTROL
DE102021132804A1 (en) OSPM POLICY PROCEDURE AND SETUP FOR USB-C DYNAMIC MODE SELECTION
DE102017103762A1 (en) Power supply systems and feedback through a transformer

Legal Events

Date Code Title Description
R012 Request for examination validly filed