DE102016114435B4 - Directed self-assembly process with size-limited lead structures - Google Patents

Directed self-assembly process with size-limited lead structures Download PDF

Info

Publication number
DE102016114435B4
DE102016114435B4 DE102016114435.5A DE102016114435A DE102016114435B4 DE 102016114435 B4 DE102016114435 B4 DE 102016114435B4 DE 102016114435 A DE102016114435 A DE 102016114435A DE 102016114435 B4 DE102016114435 B4 DE 102016114435B4
Authority
DE
Germany
Prior art keywords
mandrel structures
spacers
structures
trenches
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016114435.5A
Other languages
German (de)
Other versions
DE102016114435A1 (en
Inventor
Ming-Huei Weng
Kuan-Hsin Lo
Wei-Liang Lin
Chi-Cheng Hung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/197,467 external-priority patent/US10056265B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016114435A1 publication Critical patent/DE102016114435A1/en
Application granted granted Critical
Publication of DE102016114435B4 publication Critical patent/DE102016114435B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Verfahren mit den folgenden Schritten:Bereitstellen eines Substrats (302);Herstellen von Dornstrukturen (202, 212) über dem Substrat;Herstellen von Abstandshaltern (222) auf Seitenwänden der Dornstrukturen (202, 212);Entfernen der Dornstrukturen (202, 212), sodass Gräben (223) entstehen, die zumindest teilweise von den Abstandshaltern (222) umgeben sind;Abscheiden eines Copolymer-Materials (324) in den Gräben (223), wobei das Copolymer-Material gerichtet selbstanordnend ist; undAuslösen einer Mikrophasentrennung in dem Copolymer-Material (324), sodass ein erster Polymer-Bestandteil (226) definiert wird, der von einem zweiten Polymer-Bestandteil (228) umgeben ist; dadurch gekennzeichnet, dass eine erste Anordnung von Dornstrukturen über dem Substrat hergestellt wird, in der, von oben betrachtet, die Dornstrukturen in einer schachbrettartigen Konfiguration voneinander beabstandet angeordnet sind.A method comprising the steps of:providing a substrate (302);producing mandrel structures (202, 212) over the substrate;producing spacers (222) on sidewalls of the mandrel structures (202, 212);removing the mandrel structures (202, 212) to form trenches (223) at least partially surrounded by the spacers (222);depositing a copolymer material (324) in the trenches (223), the copolymer material being directionally self-assembling; andinducing microphase separation in the copolymer material (324) to define a first polymer component (226) surrounded by a second polymer component (228); characterized in that a first array of mandrel structures is produced over the substrate in which, viewed from above, the mandrel structures are spaced apart from one another in a checkerboard configuration.

Description

GebietArea

Die Erfindung betrifft ein Verfahren gemäß dem Oberbegriff von Patentanspruch 1 und dem Oberbegriff von Patentanspruch 12. Ein solches Verfahren ist aus der US 2007 / 0 224 819 A1 bekannt. Ein ähnliches Verfahren ist aus der US 2014 / 0 097 520 A1 bekannt.The invention relates to a method according to the preamble of patent claim 1 and the preamble of patent claim 12. Such a method is known from US 2007 / 0 224 819 A1 A similar procedure is known from the US 2014 / 0 097 520 A1 known.

Hintergrund der ErfindungBackground of the invention

Die Halbleiter-IC-Branche (IC: integrierte Schaltung) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Verlauf der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die geometrische Größe (d. h. die kleinste Komponente oder Leitung, die in einem Herstellungsprozess erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile durch Erhöhung der Produktionsleistung und Senkung der zugehörigen Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöhtThe semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs, with each generation having smaller and more complex circuits than the previous generation. As ICs have evolved, functional density (i.e., the number of interconnected devices per chip area) has generally increased, while geometric size (i.e., the smallest component or circuit that can be created in a manufacturing process) has decreased. This process of downsizing has generally provided benefits by increasing production output and reducing associated costs. However, this downsizing has also increased the complexity of processing and manufacturing ICs.

Da zum Beispiel die optische Lithografie an ihre technologischen und wirtschaftlichen Grenzen kommt, stellt sich ein DSA-Prozess (DSA: directed self-assembly; gerichtete Selbstanordnung) als ein in Frage kommender Kandidat zum Strukturieren von dichten Strukturen, wie etwa Kontaktlöchern, heraus. Ein DSA-Prozess nutzt die Vorzüge der Selbstanordnungs-Eigenschaften von Materialien, wie etwa BlockCopolymeren, zum Erzielen von Abmessungen im Nanobereich unter Einhaltung der Randbedingungen der derzeitigen Herstellung aus. Typische DSA-Prozesse verwenden eine Leitstruktur, die den Selbstanordnungsprozess „leitet“. Die Geometrie der Leitstruktur kann die Konfiguration der selbstangeordneten Polymer-Strukturen sowie die endgültige Strukturdichte beeinflussen. Es werden Verbesserungen in diesen Bereichen angestrebt.For example, as optical lithography reaches its technological and economic limits, a directed self-assembly (DSA) process is emerging as a viable candidate for patterning dense features such as vias. A DSA process takes advantage of the self-assembly properties of materials such as block copolymers to achieve nanoscale dimensions while meeting current manufacturing constraints. Typical DSA processes use a guide structure that "guides" the self-assembly process. The geometry of the guide structure can influence the configuration of the self-assembled polymer structures as well as the final feature density. Improvements are being pursued in these areas.

Kurze Beschreibung der ZeichnungenShort description of the drawings

Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • 1A zeigt ein Ablaufdiagramm eines Verfahrens zur Herstellung eines Halbleiter-Bauelements gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • Die 1B und 1C zeigen Ablaufdiagramme eines Verfahrens zur Herstellung eines Halbleiter-Bauelements gemäß einer Ausführungsform des Verfahrens von 1A.
  • Die 2A und 2B sind Draufsichten von Ziel-Dornstrukturen bei dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 2C, 2D, 2E, 2F und 2G zeigen einige Konfigurationen von DSA-Leitstrukturen und Nanodomänen gemäß Aspekten der vorliegenden Erfindung.
  • Die 3A, 3B, 3C und 3D sind Schnittansichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 3M und 3P sind Draufsichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 3E-1, 3F-1, 3G-1, 3H-1, 31-1, 3J-1, 3K-1, 3L-1, 3N-1, 30-1, 30-1, 3R-1 und 3S-1 sind Schnittansichten (entlang der Linie 1 - 1 in den 2A, 2B, 3M bzw. 3P) bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren der 1A und 1B gemäß einigen Ausführungsformen.
  • Die 3E-2, 3F-2, 3G-2, 3H-2, 3I-2, 3J-2, 3K-2, 3L-2, 3N-2, 30-2, 3Q-2, 3R-2 und 3S-2 sind Schnittansichten (entlang der Linie 2 - 2 in den 2A, 2B, 3M bzw. 3P) bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren der 1A und 1B gemäß einigen Ausführungsformen.
  • Die 4A, 4B, 4C, 4D, 4E und 4F zeigen Draufsichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 5A, 5B, 5C, 5D und 5E zeigen Draufsichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 6A, 6B, 6C, 6D, 6E und 6F zeigen Draufsichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 6G-1 und 6G-2 zeigen Schnittansichten bei der Herstellung eines Halbleiter-Bauelements entlang den Linien 1 - 1 und 2 - 2 in 6F nach dem Verfahren von 1A gemäß einigen Ausführungsformen.
  • Die 7A-1, 7A-2, 7B-1, 7B-2, 7C-1, 7C-2, 7D-1, 7D-2, 7E-1, 7E-2, 7F-1 und 7F-2 zeigen Schnittansichten bei der Herstellung eines Halbleiter-Bauelements nach dem Verfahren der 1A und 1C gemäß einigen Ausführungsformen.
The present invention can best be understood by reference to the following detailed description when taken in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various elements are not drawn to scale and are for purposes of illustration only. Rather, for clarity of discussion, the dimensions of the various elements may be increased or reduced as desired.
  • 1A shows a flow chart of a method for manufacturing a semiconductor device according to various aspects of the present invention.
  • The 1B and 1C show flow diagrams of a method for manufacturing a semiconductor device according to an embodiment of the method of 1A .
  • The 2A and 2 B are top views of target mandrel structures in the process of 1A according to some embodiments.
  • The 2C , 2D , 2E , 2F and 2G show some configurations of DSA leads and nanodomains according to aspects of the present invention.
  • The 3A , 3B , 3C and 3D are sectional views of the manufacture of a semiconductor device according to the process of 1A according to some embodiments.
  • The 3M and 3P are plan views of the manufacture of a semiconductor device according to the process of 1A according to some embodiments.
  • The 3E-1, 3F-1, 3G-1, 3H-1, 31-1, 3J-1, 3K-1, 3L-1, 3N-1, 30-1, 30-1, 3R-1 and 3S-1 are sectional views (along the line 1 - 1 in the 2A , 2 B , 3M or 3P) in the manufacture of a semiconductor device using the process of 1A and 1B according to some embodiments.
  • The 3E-2, 3F-2, 3G-2, 3H-2, 3I-2, 3J-2, 3K-2, 3L-2, 3N-2, 30-2, 3Q-2, 3R-2 and 3S-2 are sectional views (along the line 2 - 2 in the 2A , 2 B , 3M or 3P) in the manufacture of a semiconductor device using the process of 1A and 1B according to some embodiments.
  • The 4A , 4B , 4C , 4D , 4E and 4F show plan views during the manufacture of a semiconductor device according to the process of 1A according to some embodiments.
  • The 5A , 5B , 5C , 5D and 5E show plan views during the manufacture of a semiconductor device according to the process of 1A according to some embodiments.
  • The 6A , 6B , 6C , 6D , 6E and 6F show plan views during the manufacture of a semiconductor device according to the process of 1A according to some embodiments.
  • The 6G-1 and 6G-2 show sectional views during the production of a semiconductor component ments along lines 1 - 1 and 2 - 2 in 6F according to the procedure of 1A according to some embodiments.
  • The 7A-1, 7A-2, 7B-1, 7B-2, 7C-1, 7C-2, 7D-1, 7D-2, 7E-1, 7E-2, 7F-1 and 7F-2 show sectional views during the manufacture of a semiconductor device using the process of 1A and 1C according to some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des Gegenstands der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following description provides many different embodiments or examples for implementing various features of the subject invention. Specific examples of components and arrangements are described below to facilitate the present invention. For example, the fabrication of a first element over or on a second element in the description below may include embodiments in which the first and second elements are formed in direct contact, and may also include embodiments in which additional elements may be formed between the first and second elements such that the first and second elements are not in direct contact. Moreover, in the present invention, reference numbers and/or letters may be repeated in the various examples. This repetition is for simplicity and clarity and does not in itself dictate any relationship between the various embodiments and/or configurations discussed.

Die vorliegende Erfindung betrifft allgemein Halbleiter-Bauelemente und insbesondere Verfahren zur Herstellung von Halbleiter-Bauelementen unter Verwendung eines DSA-Prozesses. In einem typischen DSA-Prozess wird eine BCP-Schicht (BCP: Blockcopolymer), die Polymer-Bestandteile hat, über lithografisch definierten Oberflächen hergestellt, und eine Mikrophasentrennung wird ausgelöst, damit sich die Polymermolekül-Bestandteile selbst vereinigen, sodass dicht gepackte Strukturen mit in hohem Maße gleichbleibenden Abmessungen und Formen entstehen. Meistens wird eine Leitstruktur mit einem lithografischen Verfahren erzeugt, und die Leitstruktur „leitet“ den vorgenannten DSA-Prozess. Einige Beispiele für die Strukturen, die unter Verwendung von DSA-Prozessen erzeugt werden können, sind zylindrische und lamellare Nanodomänen, die senkrecht zu einem Substrat orientiert sind. Die zylindrischen Nanodomänen werden als besonders vielversprechend zum Erzeugen von dicht gepackten kleinen Kontaktlöchern für Halbleiter-Bauelemente angesehen. Ein typisches BCP bildet jedoch spontan hexagonale Anordnungen von zylindrischen Nanodomänen in einem großen Bereich oder eine Zeile von zylindrischen Nanodomänen in einem schmalen Graben. Kein Fall eignet sich sehr gut für die bestehende Halbleiterherstellung, da typische Kontaktlöcher in einem Halbleiter-Bauelement viereckig sein sollen. Eine rechteckige oder quadratische Anordnung aus zylindrischen Nanodomänen eignet sich besser für das bestehende Design und die bestehende Herstellung von Halbleitern. Daher ist es Ziel der vorliegenden Erfindung, zylindrische Nanodomänen zu erzeugen, die in einer rechteckigen oder quadratischen Anordnung angeordnet sind. Bei einer Ausführungsform erreicht die vorliegende Erfindung das Ziel durch Entwickeln einiger neuer Leitstrukturen für die DSA-Prozesse.The present invention relates generally to semiconductor devices, and more particularly to methods of fabricating semiconductor devices using a DSA process. In a typical DSA process, a block copolymer (BCP) layer having polymer constituents is formed over lithographically defined surfaces, and microphase separation is induced to cause the polymer molecule constituents to self-assemble to form densely packed structures with highly consistent dimensions and shapes. Most often, a guide structure is created using a lithographic process, and the guide structure "guides" the aforementioned DSA process. Some examples of the structures that can be created using DSA processes are cylindrical and lamellar nanodomains oriented perpendicular to a substrate. The cylindrical nanodomains are considered particularly promising for creating densely packed small vias for semiconductor devices. However, a typical BCP spontaneously forms hexagonal arrays of cylindrical nanodomains in a large area or a row of cylindrical nanodomains in a narrow trench. Neither case is very suitable for existing semiconductor manufacturing, since typical vias in a semiconductor device are intended to be square. A rectangular or square array of cylindrical nanodomains is more suitable for existing semiconductor design and manufacturing. Therefore, it is the goal of the present invention to create cylindrical nanodomains arranged in a rectangular or square array. In one embodiment, the present invention achieves the goal by developing some new guide structures for the DSA processes.

Kommen wir nun zu 1A, die ein Ablaufdiagramm eines Verfahrens 100 zur Herstellung eines Halbleiter-Bauelements unter Verwendung eines DSA-Prozesses gemäß verschiedenen Aspekten der vorliegenden Erfindung zeigt. Das Verfahren 100 ist lediglich ein Beispiel und soll die vorliegende Erfindung nicht über das hinaus beschränken, was ausdrücklich in den Ansprüchen angegeben ist. Weitere Schritte können vor, während und nach dem Verfahren 100 vorgesehen werden, und einige beschriebene Schritte können für weitere Ausführungsformen des Verfahrens ersetzt, weggelassen oder umgestellt werden. Ein kurzer Überblick über das Verfahren 100 wird nachstehend in Verbindung mit den 2A bis 2G gegeben. Daran schließt sich eine detaillierte Beschreibung des Verfahrens 100 in Verbindung mit den 3A bis 3S-2 an, die unterschiedliche Darstellungen einer Halbleiterstruktur 300 gemäß verschiedenen Aspekten der vorliegenden Erfindung sind.Let us now come to 1A , which shows a flow diagram of a method 100 for manufacturing a semiconductor device using a DSA process according to various aspects of the present invention. The method 100 is merely an example and is not intended to limit the present invention beyond what is expressly stated in the claims. Additional steps may be provided before, during and after the method 100, and some steps described may be replaced, omitted or rearranged for other embodiments of the method. A brief overview of the method 100 is provided below in connection with the 2A to 2G This is followed by a detailed description of the method 100 in connection with the 3A to 3S-2 which are different representations of a semiconductor structure 300 according to various aspects of the present invention.

In 1A wird bei dem Verfahren 100 im Schritt 102 ein Substrat erhalten, und im Schritt 104 werden über dem Substrat Dornstrukturen hergestellt. Die Dornstrukturen haben begrenzte Größen zum Beschränken eines nachfolgenden DSA-Prozesses. Bei dem Verfahren 100 können im Schritt 106 optional einige der Dornstrukturen mit einem Schneidprozess entfernt werden. Im Schritt 108 werden dann Abstandshalter auf Seitenwänden der Dornstrukturen hergestellt. Nachdem im Schritt 110 die Dornstrukturen entfernt worden sind und im Schritt 112 die Abstandshalter behandelt worden sind, wird bei dem Verfahren 100 in den Schritten 114 und 116 ein DSA-Prozess mittels eines BCP durchgeführt. Bei dem DSA-Prozess werden die behandelten Abstandshalter als Leitstrukturen verwendet. Die Konfiguration der Abstandshalter und die Zusammensetzung des BCP führen dazu, dass gewünschte Polymer-Bestandteile (oder Nanodomänen) in quadratischen oder rechteckigen Anordnungen angeordnet werden. Bei dem Verfahren 100 können im Schritt 118 optional einige der Polymer-Bestandteile entfernt werden, und eine Struktur, die einem der Polymer-Bestandteile entspricht, kann auf das Substrat übertragen werden. Bei der vorliegenden Ausführungsform sind die gewünschten Polymer-Bestandteile zylindrisch geformt und sind für die Herstellung von Kontaktlöchern geeignet.In 1A In the method 100, a substrate is obtained in step 102 and mandrel structures are fabricated over the substrate in step 104. The mandrel structures have finite sizes to constrain a subsequent DSA process. In the method 100, optionally, some of the mandrel structures may be removed using a cutting process in step 106. Spacers are then fabricated on sidewalls of the mandrel structures in step 108. After the mandrel structures are removed in step 110 and the spacers are treated in step 112, the method 100 performs a DSA process using a BCP in steps 114 and 116. In the DSA process, the treated spacers are used as guide structures. The configuration of the spacers and the composition of the BCP result in desired polymer components (or nanodomains) being arranged in square or rectangular arrays. In the method 100, in step 118, some of the polymer components may optionally be removed and a structure corresponding to one of the polymer components may be deposited on the substrate. In the present embodiment, the desired polymer components are cylindrically shaped and are suitable for the production of contact holes.

Die 2A und 2B zeigen Draufsichten einiger Beispiele der zu erzeugenden Dornstrukturen, die gemäß verschiedenen Aspekten der vorliegenden Erfindung konfiguriert sind. In 2A umfasst eine Zielstruktur 200 eine Vielzahl von Dornstrukturen 202, die in einer schachbrettartigen Konfiguration angeordnet sind. Im Gegensatz zu einem normalen Schachbrett sind die Dornstrukturen 202 voneinander beabstandet. Die Dornstrukturen 202 können in zwei Gruppen unterteilt werden. Eine erste Gruppe 200A der Dornstrukturen 202 ist in einer Anordnung mit Zeilen und Spalten (einer 3x3-Anordnung in diesem Beispiel) angeordnet. Eine zweite Gruppe 200B der Dornstrukturen 202 ist in einer anderen Anordnung mit Zeilen und Spalten (einer 2x2-Anordnung in diesem Beispiel) angeordnet. Zeilen der Gruppe (oder Anordnung) 200A sind mit Zeilen der Gruppe (oder Anordnung) 200B verzahnt, und Spalten der Gruppe 200A sind mit Spalten der Gruppe 200B verzahnt.The 2A and 2 B show plan views of some examples of the mandrel structures to be produced, configured according to various aspects of the present invention. In 2A a target structure 200 includes a plurality of mandrel structures 202 arranged in a checkerboard-like configuration. Unlike a normal checkerboard, the mandrel structures 202 are spaced apart from one another. The mandrel structures 202 can be divided into two groups. A first group 200A of the mandrel structures 202 is arranged in an arrangement having rows and columns (a 3x3 arrangement in this example). A second group 200B of the mandrel structures 202 is arranged in a different arrangement having rows and columns (a 2x2 arrangement in this example). Rows of group (or arrangement) 200A are interleaved with rows of group (or arrangement) 200B, and columns of group 200A are interleaved with columns of group 200B.

Die Dornstrukturen 202 sind im Allgemeinen rechteckig und haben etwa die gleiche Größe. In dem Beispiel, das in 2A gezeigt ist, hat jede Dornstruktur 202 eine Abmessung Dx entlang einer Richtung x und eine Abmessung Dy entlang einer Richtung y, die senkrecht zu der Richtung x ist. Eine Dornstruktur 202 in der Gruppe 200A ist mit einem Abstand Sx entlang der Richtung x und einem Abstand Sy entlang der Richtung y von einer benachbarten Dornstruktur 202 in der Gruppe 200B beabstandet. Ein Abstand Px der Dornstrukturen 202 entlang der Richtung x ist gleich dem Zweifachen von Dx plus dem Zweifachen von Sx. Ein Abstand Py der Dornstrukturen 202 entlang der Richtung y ist gleich dem Zweifachen von Dy plus dem Zweifachen von Sy. Bei der vorliegenden Ausführungsform ist Sx gleich Sy, was eine Dicke der Abstandshalter ist, die im Schritt 108 (1A) auf den Seitenwänden der Dornstrukturen 202 hergestellt werden sollen. Die Dornstrukturen 202 sind Insel-Dornstrukturen, und die Abstandshalter sollen auf äußeren Seitenwänden der Dornstrukturen 202 hergestellt werden.The mandrel structures 202 are generally rectangular and have approximately the same size. In the example shown in 2A As shown, each mandrel structure 202 has a dimension D x along a direction x and a dimension D y along a direction y that is perpendicular to the direction x. A mandrel structure 202 in the group 200A is spaced a distance S x along the direction x and a distance S y along the direction y from an adjacent mandrel structure 202 in the group 200B. A distance P x of the mandrel structures 202 along the direction x is equal to twice D x plus twice S x . A distance P y of the mandrel structures 202 along the direction y is equal to twice D y plus twice S y . In the present embodiment, S x is equal to S y , which is a thickness of the spacers determined in step 108 ( 1A) are to be manufactured on the side walls of the mandrel structures 202. The mandrel structures 202 are island mandrel structures and the spacers are to be manufactured on outer side walls of the mandrel structures 202.

Kommen wir nun zu 2B. Hier weist eine Zielstruktur 210 eine Vielzahl von Dornstrukturen 212 auf, die etwa die gleichen Abmessungen (Dx und Dy) und die gleiche Konfiguration (Sx, Sy, Px und Py) wie die Dornstrukturen 202 haben. Ein Unterschied zwischen den Zielstrukturen 200 und 210 besteht darin, dass die Dornstrukturen 212 Graben-Strukturen sind und die Abstandshalter auf inneren Seitenwänden der Dornstrukturen 212 hergestellt werden sollen. Ähnlich wie bei der Zielstruktur 200 kann die Zielstruktur 210 in zwei Gruppen unterteilt werden. Eine erste Gruppe 210A der Dornstrukturen 212 ist in einer Anordnung mit Zeilen und Spalten (einer 3x3-Anordnung in diesem Beispiel) angeordnet. Eine zweite Gruppe 210B der Dornstrukturen 212 ist in einer anderen Anordnung mit Zeilen und Spalten (einer 2x2-Anordnung in diesem Beispiel) angeordnet. Zeilen der Gruppe (oder Anordnung) 210A sind mit Zeilen der Gruppe (oder Anordnung) 210B verzahnt, und Spalten der Gruppe 210A sind mit Spalten der Gruppe 210B verzahnt.Let us now come to 2 B . Here, a target structure 210 includes a plurality of mandrel structures 212 having approximately the same dimensions (D x and D y ) and configuration (S x , S y , P x and P y ) as the mandrel structures 202. A difference between the target structures 200 and 210 is that the mandrel structures 212 are trench structures and the spacers are to be fabricated on inner sidewalls of the mandrel structures 212. Similar to the target structure 200, the target structure 210 can be divided into two groups. A first group 210A of the mandrel structures 212 is arranged in a row and column arrangement (a 3x3 arrangement in this example). A second group 210B of the mandrel structures 212 is arranged in a different row and column arrangement (a 2x2 arrangement in this example). Rows of group (or array) 210A are interleaved with rows of group (or array) 210B, and columns of group 210A are interleaved with columns of group 210B.

Die 2C bis 2G zeigen einige beispielhafte Konfigurationen von Leitstrukturen 222 und gewünschten Nanodomänen 226 in dem DSA-Prozess (Schritt 116). Die Leitstrukturen 222 sind von den Dornstrukturen 202 oder 212 abgeleitet. Bei der vorliegenden Ausführungsform sind die Leitstrukturen 222 Abstandshalter, die auf Seitenwänden der Dornstrukturen 202 oder 212 hergestellt sind. Somit steuert die Geometrie der Dornstrukturen 202 oder 212 die Geometrie der Leitstrukturen 222. Im Schritt 116 wird die Größe der Nanodomänen 226 von der Zusammensetzung des BCP bestimmt, wie etwa den Arten und den Anteilen der Polymer-Bestandteil in dem BCP. Bei der vorliegenden Ausführungsform werden die Zusammensetzung des BCP und die Oberflächeneigenschaften der Leitstrukturen 222 so angepasst, dass zylindrische Nanodomänen 226 für Kontaktlöcher entstehen. Wie in den 2C bis 2F gezeigt ist, ist die Geometrie der Leitstrukturen 222 so gestaltet, dass die Nanodomänen 226 eine rechteckige oder quadratische Anordnung in jeder der Leitstrukturen und keine hexagonale Anordnung bilden. Ein direkter Vorteil ist, dass die Nanodomänen 226 dem bestehenden IC-Design und Herstellungsablauf entsprechen.The 2C to 2G show some example configurations of guide structures 222 and desired nanodomains 226 in the DSA process (step 116). The guide structures 222 are derived from the mandrel structures 202 or 212. In the present embodiment, the guide structures 222 are spacers fabricated on sidewalls of the mandrel structures 202 or 212. Thus, the geometry of the mandrel structures 202 or 212 controls the geometry of the guide structures 222. In step 116, the size of the nanodomains 226 is determined by the composition of the BCP, such as the types and proportions of the polymer components in the BCP. In the present embodiment, the composition of the BCP and the surface properties of the guide structures 222 are adjusted to create cylindrical nanodomains 226 for vias. As shown in the 2C to 2F As shown, the geometry of the lead structures 222 is designed such that the nanodomains 226 form a rectangular or square array in each of the lead structures rather than a hexagonal array. A direct benefit is that the nanodomains 226 conform to the existing IC design and manufacturing workflow.

Zu den Faktoren, die die geometrische Gestaltung der Leitstrukturen 222 beeinflussen, gehören fotolithografische Auflösung beim Herstellen der Dornstrukturen 202 und 212, relative Molekülmasse des BCP und thermische Stabilität der Polymer-Bestandteile. Zum Beispiel kann eine kleinere Leitstruktur (die kleinere kritische Abmessungen hat) eine höhere Auflösung in den fotolithografischen Prozessen erfordern. Eine größere Leitstruktur kann die Nanodomänen veranlassen, eine hexagonale Anordnung zu bilden, da das Anordnen in einer hexagonalen Anordnung thermisch stabiler ist als das Anordnen in einer quadratischen Anordnung. Bei der vorliegenden Ausführungsform ist die Geometrie der Leitstrukturen 222 so gestaltet, dass jede Anordnung von Nanodomänen 226 höchstens 4 Zeilen und höchstens 4 Spalten hat. Mit anderen Worten, die Anordnung kann eine Größe (Zeilen mal Spalten oder Spalten mal Zeilen) von 1 mal 1, 1 mal 2, 1 mal 3 oder 1 mal 4 haben, wie in 2C gezeigt ist, oder eine Größe von 2 mal 2, 2 mal 3 oder 2 mal 4 haben, wie in 2D gezeigt ist, oder eine Größe von 3 mal 3 oder 3 mal 4 haben, wie in 2E gezeigt ist, oder eine Größe von 4 mal 4 haben, wie in 2F gezeigt ist. Die Konfigurationen, die in 2G gezeigt sind, können dadurch erzeugt werden, dass eine L-förmige Leitstruktur 222 verwendet wird oder einige der Nanodomänen 226 aus der rechteckigen oder quadratischen Anordnung von Nanodomänen in einer der 2C bis 2F entfernt werden.Factors that affect the geometric design of the guide structures 222 include photolithographic resolution in fabricating the mandrel structures 202 and 212, molecular weight of the BCP, and thermal stability of the polymer components. For example, a smaller guide structure (having smaller critical dimensions) may require higher resolution in the photolithographic processes. A larger guide structure may cause the nanodomains to form a hexagonal array because arranging in a hexagonal array is more thermally stable than arranging in a square array. In the present embodiment, the geometry of the guide structures 222 is designed such that each array of nanodomains 226 has at most 4 rows and at most 4 columns. In other words, the array may have a size (rows by columns or columns by rows) of 1 by 1, 1 by 2, 1 by 3, or 1 by 4, as shown in 2C shown, or have a size of 2 by 2, 2 by 3 or 2 by 4, as in 2D shown, or have a size of 3 by 3 or 3 by 4, as in 2E shown, or have a size of 4 by 4, as in 2F The configurations shown in 2G can be produced by using an L-shaped guide structure 222 or by removing some of the nanodomains 226 from the rectangular or square arrangement of nanodomains in one of the 2C to 2F be removed.

In den nachstehenden Absätzen wird das Verfahren 100 in Verbindung mit den 3A bis 3S-2 näher beschrieben, die unterschiedliche Darstellungen einer Halbleiterstruktur 300 gemäß verschiedenen Aspekten der vorliegenden Erfindung sind. Die Halbleiterstruktur 300 kann ein Zwischen-Bauelement sein, das während der Bearbeitung eines IC oder eines Teils davon hergestellt wird und kann SRAM-Schaltkreise (SRAM: statischer Direktzugriffsspeicher) und/oder andere logische Schaltkreise, passive Komponenten, wie etwa Widerstände, Kondensatoren und Induktoren, und aktive Komponenten umfassen, wie etwa pFETs (PFETs), n-FETs (NFETs), FinFETs, Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS-Transistoren), Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon.In the following paragraphs, the procedure 100 is described in conjunction with the 3A to 3S-2 , which are different representations of a semiconductor structure 300 according to various aspects of the present invention. The semiconductor structure 300 may be an intermediate device manufactured during processing of an IC or a portion thereof and may include static random access memory (SRAM) circuitry and/or other logic circuitry, passive components such as resistors, capacitors, and inductors, and active components such as pFETs (PFETs), n-FETs (NFETs), FinFETs, metal-oxide-semiconductor field effect transistors (MOSFETs), complementary metal-oxide-semiconductor transistors (CMOS transistors), bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.

In dem Schritt 102 wird bei dem Verfahren 100 (1A) ein Substrat 302 erhalten. In 3A weist das Substrat 302 eine Materialschicht 304 und eine Strukturierungszielschicht 306 auf, in der eine oder mehrere Strukturen hergestellt werden sollen. Die Materialschicht 304 umfasst eine oder mehrere Material- oder Zusammensetzungsschichten. Bei einigen Ausführungsformen umfasst die Materialschicht 304 einen elementaren Halbleiter (z. B. Silicium oder Germanium) und/oder einen Verbindungshalbleiter (z. B. Siliciumgermanium, Siliciumcarbid, Galliumarsen, Indiumarsenid, Galliumnitrid und Indiumphosphid). Bei einigen Ausführungsformen umfasst die Materialschicht 304 Legierungshalbleiter, wie etwa Siliciumgermaniumcarbid, Galliumarsenphosphid und Galliumindiumphosphid. Die Materialschicht 304 kann auch Nicht-Halbleitermaterialien aufweisen, unter anderem Sodakalkglas, Quarzgut, Quarzglas, Calciumfluorid (CaF2) und/oder andere geeignete Materialien. Bei einigen Ausführungsformen hat die Materialschicht 304 eine oder mehrere darin definierte Schichten, zum Beispiel hat sie eine Epitaxialschicht, die über einem Volumenhalbleiter angeordnet ist. Bei einigen Ausführungsformen ist die Materialschicht 304 ein SOl-Substrat (SOI: Silicium auf Isolator). Bei einer Ausführungsform kann die Materialschicht 304 dotierte Bereiche aufweisen und Schaltkreise haben, die darauf oder darin hergestellt sind.In step 102, in the method 100 ( 1A) a substrate 302 is obtained. In 3A the substrate 302 includes a material layer 304 and a patterning target layer 306 in which one or more structures are to be formed. The material layer 304 includes one or more material or composition layers. In some embodiments, the material layer 304 includes an elemental semiconductor (e.g., silicon or germanium) and/or a compound semiconductor (e.g., silicon germanium, silicon carbide, gallium arsenic, indium arsenide, gallium nitride, and indium phosphide). In some embodiments, the material layer 304 includes alloy semiconductors such as silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide. The material layer 304 may also include non-semiconductor materials including, but not limited to, soda-lime glass, fused silica, fused silica, calcium fluoride (CaF 2 ), and/or other suitable materials. In some embodiments, material layer 304 has one or more layers defined therein, for example, it has an epitaxial layer disposed over a bulk semiconductor. In some embodiments, material layer 304 is a silicon on insulator (SOI) substrate. In one embodiment, material layer 304 may have doped regions and may have circuitry fabricated thereon or therein.

Die Strukturierungszielschicht 306 ist bei einer Ausführungsform eine Hartmaskenschicht. Zum Beispiel kann sie ein dielektrisches Material haben, wie etwa Siliciumoxid oder Siliciumnitrid. Bei einer anderen Ausführungsform ist die Strukturierungszielschicht 306 eine ILD-Schicht (ILD: inter-layer dielectric; Zwischenschicht-Dielektrikum) oder eine IMD-Schicht (IMD: inter-metal dielectric; Zwischenmetall-Dielektrikum). Die Strukturierungszielschicht 306 kann zum Beispiel ein Low-k- oder ein Extrem-Low-k-Material sein. Die Strukturierungszielschicht 306 kann zum Beispiel die folgenden Materialien umfassen: TEOS-Oxid (TEOS: Tetraethylorthosilicat), undotiertes Silicatglas oder dotiertes Siliciumoxid, wie etwa Borophosphorsilicatglas (BPSG), Kieselglas (FSG), Phosphorsilicatglas (PSG), mit Bor dotiertes Silicatglas (BSG) und/oder andere geeignete dielektrische Materialien. Die Strukturierungszielschicht 306 kann über der Materialschicht 304 durch Abscheidung oder andere Verfahren hergestellt werden, wie etwa physikalische Aufdampfung (physical vapour deposition; PVD), chemische Aufdampfung (CVD), wie etwa Plasma-unterstützte chemische Aufdampfung (plasma-enhanced CVD; PECVD), und Atomlagenabscheidung (ALD).The patterning target layer 306 is a hard mask layer in one embodiment. For example, it may comprise a dielectric material such as silicon oxide or silicon nitride. In another embodiment, the patterning target layer 306 is an inter-layer dielectric (ILD) layer or an inter-metal dielectric (IMD) layer. The patterning target layer 306 may be, for example, a low-k or an extremely low-k material. The patterning target layer 306 may comprise, for example, the following materials: TEOS oxide (TEOS: tetraethylorthosilicate), undoped silicate glass or doped silicon oxide such as borophosphosilicate glass (BPSG), silica glass (FSG), phosphosilicate glass (PSG), boron doped silicate glass (BSG) and/or other suitable dielectric materials. The patterning target layer 306 may be formed over the material layer 304 by deposition or other processes, such as physical vapor deposition (PVD), chemical vapor deposition (CVD), such as plasma-enhanced chemical vapor deposition (PECVD), and atomic layer deposition (ALD).

Bei dem Verfahren 100 (1) werden in dem Schritt 104 Dornstrukturen (z. B. die Dornstrukturen 202 von 2A oder die Dornstrukturen 212 von 2B) über der Strukturierungszielschicht 306 hergestellt. Die Dornstrukturen müssen begrenzte Größen haben, wie vorstehend dargelegt worden ist. Hierzu können verschiedene Verfahren verwendet werden, wie etwa Abscheidung, Fotolithografie und Ätzung, die später näher beschrieben werden.In the procedure 100 ( 1 ), in step 104, mandrel structures (e.g. the mandrel structures 202 of 2A or the spine structures 212 of 2 B) over the patterning target layer 306. The mandrel structures must have limited sizes, as discussed above. Various techniques may be used for this purpose, such as deposition, photolithography, and etching, which will be described in more detail later.

Kommen wir nun zu 3B. Hier wird eine HM-Schicht 308 (HM: Hartmaske) über der Strukturierungszielschicht 306 abgeschieden. Bei einigen Ausführungsformen umfasst die HM-Schicht 308 ein oder mehrere dielektrische Materialien, wie etwa Siliciumoxid, Siliciumnitrid und/oder Siliciumoxidnitrid (SiON). Bei einigen Ausführungsformen umfasst die HM-Schicht 308 Titannidrid (TiN). Bei einigen Ausführungsformen hat die HM-Schicht 308 eine Dicke in dem Bereich von etwa 5 nm bis etwa 50 nm. Bei einigen Ausführungsformen wird die HM-Schicht 308 mit einem oder mehreren Verfahren aus der Gruppe CVD, PVD, ALD, Aufschleudern, Sputtern und thermische Oxidation oder einer Kombination davon hergestellt.Let us now come to 3B Here, a HM layer 308 (HM: hard mask) is deposited over the patterning target layer 306. In some embodiments, the HM layer 308 comprises one or more dielectric materials, such as silicon oxide, silicon nitride, and/or silicon oxynitride (SiON). In some embodiments, the HM layer 308 comprises titanium nitride (TiN). In some embodiments, the HM layer 308 has a thickness in the range of about 5 nm to about 50 nm. In some embodiments, the HM layer 308 is formed using one or more of CVD, PVD, ALD, spin-on coating, sputtering, and thermal oxidation, or a combination thereof.

Bei einigen Ausführungsformen können, wenn es die Auflösung der fotolithografischen Anlage zulässt, die Dornstrukturen 202 oder 212 in der HM-Schicht 308 mit einem fotolithografischen Verfahren hergestellt werden. Bei der vorliegenden Ausführungsform wird bei dem Verfahren 100 ein Doppelstrukturierungsverfahren, das in 1B gezeigt ist, verwendet, um einige der Anforderungen an die fotolithografischen Prozesse, wie etwa optische Wellenlänge und kritische Abmessungen, zu mindern. Insbesondere wird bei dem Doppelstrukturierungsverfahren die Gruppe 200A (oder 210A) mit einem ersten fotolithografischen Prozess hergestellt, und die Gruppe 200B (oder 210B) wird mit einem zweiten fotolithografischen Prozess hergestellt.In some embodiments, if the resolution of the photolithography tool allows, the mandrel structures 202 or 212 in the HM layer 308 may be formed using a photolithography process. In the present embodiment, the method 100 includes a Double structuring process, which is 1B is used to alleviate some of the requirements of the photolithographic processes, such as optical wavelength and critical dimensions. In particular, in the dual patterning process, group 200A (or 210A) is formed with a first photolithographic process and group 200B (or 210B) is formed with a second photolithographic process.

In 1B wird in dem Schritt 132 des Verfahrens 100 eine weitere HM-Schicht 310 über der HM-Schicht 308 hergestellt (3C). Die HM-Schicht 310 kann ein dielektrisches Material, wie etwa Siliciumoxid, Siliciumnitrid, Siliciumoxidnitrid (SiON), oder ein dielektrisches Low-k-Material, sein und kann mit einem oder mehreren der vorgenannten Abscheidungsverfahren hergestellt werden. Die HM-Schicht 310 hat eine andere Ätzselektivität als die HM-Schicht 308.In 1B In step 132 of method 100, another HM layer 310 is formed over HM layer 308 ( 3C ). The HM layer 310 may be a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride (SiON), or a low-k dielectric material, and may be formed using one or more of the aforementioned deposition techniques. The HM layer 310 has a different etch selectivity than the HM layer 308.

Bei dem Verfahren 100 (1B) wird die Gruppe 200A (oder 200B) der Dornstrukturen 202 oder 212 in der HM-Schicht 310 mit einem Verfahren hergestellt, das einen ersten fotolithografischen Prozess und einen oder mehrere Ätzprozesse umfasst. In 3D wird ein Drei-Schicht-Stapel über der HM-Schicht 310 hergestellt. Der Drei-Schicht-Stapel hat eine untere Schicht 312 über der HM-Schicht 310, eine mittlere Schicht 314 über der unteren Schicht 312 und eine Fotoresistschicht (oder Resistschicht) 316 über der mittleren Schicht 314. Bei einigen Ausführungsformen sind die untere Schicht 312 und die mittlere Schicht 314 optional, und die Resistschicht 316 kann direkt über der HM-Schicht 310 hergestellt werden. Bei einigen Ausführungsformen umfasst die untere Schicht 312 ein Polymermaterial eines unteren Antireflexbelags, und die mittlere Schicht 314 umfasst ein siliciumhaltiges Polymer. Bei einer Ausführungsform ist das Resist 316 ein Polymer, das empfindlich für eine Strahlung ist, die bei der ersten Fotolithografie verwendet wird. Das Resist 316 kann bei einigen Ausführungsformen empfindlich sein zum Beispiel für I-Linien-Licht, DUV-Licht [z. B. eine 248-nm-Strahlung eines Kryptonfluorid(KrF)-Excimerlasers oder eine 193-nm-Strahlung eines Argonfluorid(ArF)-Excimerlasers], EUV-Licht (z. B. 13,5-nm-Licht), Elektronenstrahlen, Röntgenstrahlen oder lonenstrahlen. Die untere Schicht 312 und die mittlere Schicht 314 können unter Verwendung der vorgenannten Abscheidungsverfahren, unter anderem Aufschleudern, hergestellt werden. Das Resist 316 wird bei der vorliegenden Ausführungsform auf die mittlere Schicht 314 aufgeschleudert.In the procedure 100 ( 1B) the group 200A (or 200B) of the mandrel structures 202 or 212 in the HM layer 310 is formed using a process that includes a first photolithographic process and one or more etching processes. In 3D a three-layer stack is formed over the HM layer 310. The three-layer stack has a bottom layer 312 over the HM layer 310, a middle layer 314 over the bottom layer 312, and a photoresist layer (or resist layer) 316 over the middle layer 314. In some embodiments, the bottom layer 312 and the middle layer 314 are optional, and the resist layer 316 may be formed directly over the HM layer 310. In some embodiments, the bottom layer 312 comprises a polymer material of a bottom anti-reflective coating, and the middle layer 314 comprises a silicon-containing polymer. In one embodiment, the resist 316 is a polymer that is sensitive to radiation used in the first photolithography. The resist 316 may be sensitive to, for example, I-line light, DUV light [e.g., The resist 316 may be formed using a variety of deposition techniques, including light sources such as 248 nm radiation from a krypton fluoride (KrF) excimer laser or 193 nm radiation from an argon fluoride (ArF) excimer laser, EUV light (e.g., 13.5 nm light), electron beams, x-rays, or ion beams. The bottom layer 312 and the middle layer 314 may be formed using the aforementioned deposition techniques, including spin-coating. The resist 316 is spun-coated onto the middle layer 314 in the present embodiment.

In den 3E-1 und 3E-2 wird das Resist 316 unter Verwendung des ersten fotolithografischen Prozesses so strukturiert, dass es die Geometrien der Gruppe 200A der Dornstrukturen 202 hat. Bei einer Ausführungsform umfasst der erste fotolithografische Prozess das Belichten des Resists 316 mit einer Strahlungsquelle unter Verwendung einer Maske mit Strukturen, die der Gruppe 200A entsprechen, das Durchführen einer Härtung nach der Belichtung und das Entwickeln des Resists 316, um Teile davon zu entfernen, die in Abhängigkeit von dem Farbton des Resists und dem Entwicklungsverfahren entweder belichtete oder unbelichtete Teile sind. Das Resist 316 wird auch als Resiststruktur 316 bezeichnet. Bei einer anderen Ausführungsform kann für den ersten fotolithografischen Prozess auch ein anderes Verfahren verwendet werden, wie etwa Elektronenstrahl-Direktschreiben ohne Verwendung einer Maske.In the 3E-1 and 3E-2 the resist 316 is patterned to have the geometries of the group 200A of mandrel structures 202 using the first photolithographic process. In one embodiment, the first photolithographic process includes exposing the resist 316 to a radiation source using a mask having structures corresponding to the group 200A, performing a post-exposure bake, and developing the resist 316 to remove portions thereof that are either exposed or unexposed portions depending on the color tone of the resist and the development method. The resist 316 is also referred to as resist pattern 316. In another embodiment, another method may also be used for the first photolithographic process, such as electron beam direct writing without using a mask.

In den 3F-1 und 3F-2 wird die HM-Schicht 310 so geätzt, dass sie die Geometrien der Gruppe 200A hat. Hierzu werden ein oder mehrere Ätzprozesse verwendet. Zum Beispiel wird die mittlere Schicht 314 durch Öffnungen in der Resiststruktur 316 geätzt, die untere Schicht 312 wird durch Öffnungen in der mittleren Schicht 314 geätzt, und die HM-Schicht 310 wird durch Öffnungen in der unteren Schicht 312 geätzt. Die Resiststruktur 316, die mittlere Schicht 314 und die untere Schicht 312 werden entfernt, sodass die strukturierte HM-Schicht 310 über der HM-Schicht 308 zurückbleibt. Bei dem Ätzprozess zum Öffnen der HM-Schicht 310 wird die HM-Schicht 308 nicht (oder nur geringfügig) geätzt. Die strukturierte HM-Schicht 310 bildet eine erste Vielzahl von Dornstrukturen über der HM-Schicht 308, die der Gruppe 200A (oder 210A) der Dornstrukturen 202 oder 212 entspricht.In the 3F-1 and 3F-2 the HM layer 310 is etched to have the geometries of the group 200A. One or more etching processes are used for this purpose. For example, the middle layer 314 is etched through openings in the resist pattern 316, the bottom layer 312 is etched through openings in the middle layer 314, and the HM layer 310 is etched through openings in the bottom layer 312. The resist pattern 316, the middle layer 314, and the bottom layer 312 are removed, leaving the patterned HM layer 310 above the HM layer 308. In the etching process to open the HM layer 310, the HM layer 308 is not (or only slightly) etched. The structured HM layer 310 forms a first plurality of mandrel structures over the HM layer 308 that corresponds to the group 200A (or 210A) of mandrel structures 202 or 212.

Für die Ätzprozesse können Trocken-(Plasma-)Ätz-, Nassätz- oder andere geeignete Ätzverfahren verwendet werden. Für einen Trockenätzprozess können zum Beispiel ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z. B. HBr und/oder CHBr3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon verwendet werden. Ein Nassätzprozess kann zum Beispiel das Ätzen in Folgendem umfassen: verdünnter Fluorwasserstoffsäure (diluted hydrofluoric acid; DHF), Kaliumhydroxid(KOH)-Lösung; Ammoniak; einer Lösung, die Fluorwasserstoffsäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält; und/oder einem anderen geeigneten Nassätzmittel. Die Resiststruktur 316 kann mittels Lackentfernung durch Plasmaeinwirkung oder mittels Resistablösung entfernt werden.For the etching processes, dry (plasma) etching, wet etching or other suitable etching methods may be used. For example, for a dry etching process, an oxygen-containing gas, a fluorine-containing gas (e.g. CF 4 , SF 6 , CH 2 F 2 , CHF 3 and/or C 2 F 6 ), a chlorine-containing gas (e.g. Cl 2 , CHCl 3 , CCl 4 and/or BCl 3 ), a bromine-containing gas (e.g. HBr and/or CHBr 3 ), an iodine-containing gas, other suitable gases and/or plasmas and/or combinations thereof may be used. A wet etching process may, for example, comprise etching in: diluted hydrofluoric acid (DHF), potassium hydroxide (KOH) solution; ammonia; a solution containing hydrofluoric acid (HF), nitric acid (HNO 3 ) and/or acetic acid (CH 3 COOH); and/or another suitable wet etching agent. The resist pattern 316 can be removed by means of plasma stripping or by resist stripping.

In dem Schritt 136 des Verfahrens 100 (1B) wird in Vorbereitung auf einen zweiten lithografischen Prozess eine weitere HM-Schicht 318 über der strukturierten Hartmaskenschicht 308 abgeschieden. In den 3G-1 und 3G-2 wird die HM-Schicht 318 über der HM-Schicht 308 abgeschieden und bedeckt die HM-Schicht 310. Für die HM-Schicht 318 kann ein dielektrisches Material, wie etwa Siliciumoxid, Siliciumnitrid, Siliciumoxidnitrid (SiON), oder ein dielektrisches Low-k-Material verwendet werden. Die HM-Schicht 318 hat eine andere Ätzselektivität als die HM-Schichten 310 und 308.In step 136 of the method 100 ( 1B) In preparation for a second lithographic process, another HM layer 318 is deposited over the structured hard mask layer 308. In the 3G-1 and 3G-2 the HM- Layer 318 is deposited over the HM layer 308 and covers the HM layer 310. A dielectric material such as silicon oxide, silicon nitride, silicon oxynitride (SiON), or a low-k dielectric material may be used for the HM layer 318. The HM layer 318 has a different etch selectivity than the HM layers 310 and 308.

In dem Schritt 138 des Verfahrens 100 (1B) wird die HM-Schicht 318 mit einem Verfahren, das einen zweiten lithografischen Prozess umfasst, so strukturiert, dass sie die Geometrien der Gruppe 200B (oder 210B) der Dornstrukturen 202 oder 212 hat. In den 3H-1 und 3H-2 wird eine Resiststruktur 320 über der HM-Schicht 318 hergestellt. Die Resiststruktur 320 kann mit den folgenden Prozessen hergestellt werden: Aufschleudern einer Resistschicht über der HM-Schicht 318, Belichten der Resistschicht mit einer Struktur, die der Gruppe 200B (oder 210B) entspricht, Durchführen einer Härtung nach der Belichtung und Entwickeln der Resistschicht, um die Resiststruktur 320 herzustellen. In den 3I-1 und 3I-2 wird die HM-Schicht 318 unter Verwendung der Resiststruktur 320 als eine Ätzmaske geätzt, wodurch eine zweite Vielzahl von Dornstrukturen über der HM-Schicht 308 entsteht, die der Gruppe 200B (oder 210B) entspricht. In den 3J-1 und 3J-2 wird die HM-Schicht 308 unter Verwendung der strukturierten HM-Schicht 310 und der strukturierten HM-Schicht 318 als eine Ätzmaske geätzt, wodurch die Dornstrukturen 202 oder 212 in der HM-Schicht 308 entstehen. Die Ätzprozesse für die HM-Schichten 318 und 308 können voneinander unabhängig Trockenätzen, Nassätzen oder ein anderes geeignetes Ätzverfahren umfassen. Die Beispiele in den 3J-1 und 3J-2 zeigen Insel-Dornstrukturen 202. Ein ähnliches Herstellungsverfahren kann zur Herstellung von Graben-Dornstrukturen 212 verwendet werden, zum Beispiel: Abscheiden einer Materialschicht über der strukturierten HM-Schicht 308; Planarisieren einer Oberseite der Materialschicht, um die strukturierte HM-Schicht 308 freizulegen; und Entfernen der strukturierten HM-Schicht 308, sodass die Graben-Dornstrukturen 212 in der Materialschicht entstehen.In step 138 of the method 100 ( 1B) the HM layer 318 is patterned using a method including a second lithographic process to have the geometries of the group 200B (or 210B) of the mandrel structures 202 or 212. In the 3H-1 and 3H-2 a resist pattern 320 is formed over the HM layer 318. The resist pattern 320 may be formed using the following processes: spin coating a resist layer over the HM layer 318, exposing the resist layer to a pattern corresponding to group 200B (or 210B), performing post-exposure baking, and developing the resist layer to form the resist pattern 320. In the 3I-1 and 3I-2 the HM layer 318 is etched using the resist pattern 320 as an etch mask, thereby forming a second plurality of mandrel structures over the HM layer 308 corresponding to the group 200B (or 210B). In the 3J-1 and 3J-2 the HM layer 308 is etched using the patterned HM layer 310 and the patterned HM layer 318 as an etch mask, thereby forming the mandrel structures 202 or 212 in the HM layer 308. The etching processes for the HM layers 318 and 308 may independently comprise dry etching, wet etching, or another suitable etching process. The examples in the 3J-1 and 3J-2 show island mandrel structures 202. A similar manufacturing process may be used to form trench mandrel structures 212, for example: depositing a material layer over the patterned HM layer 308; planarizing a top surface of the material layer to expose the patterned HM layer 308; and removing the patterned HM layer 308 to form the trench mandrel structures 212 in the material layer.

In dem Schritt 106 des Verfahrens 100 (1A) kann optional ein Schneidprozess zum Entfernen einer oder mehrerer der Dornstrukturen 202 oder 212 durchgeführt werden. Bei einer Ausführungsform ist der Schneidprozess ein weiterer fotolithografischer Prozess, in dem ein Maskierungselement über einem Teil der Dornstrukturen 202 oder 212 hergestellt wird und ein anderer Teil der Dornstrukturen 202 oder 212 belichtet bleibt. Dann wird ein weiterer Ätzprozess durchgeführt, um den belichteten Teil der Dornstrukturen 202 zu entfernen, oder es wird ein Abscheidungsprozess durchgeführt, um den belichteten Teil der Dornstrukturen 212 auszufüllen. Dieser Schneidprozess wird später in Verbindung mit den 5A bis 5E näher beschrieben.In step 106 of the method 100 ( 1A) Optionally, a cutting process may be performed to remove one or more of the mandrel structures 202 or 212. In one embodiment, the cutting process is another photolithographic process in which a masking element is fabricated over a portion of the mandrel structures 202 or 212 and another portion of the mandrel structures 202 or 212 remains exposed. Then, another etching process is performed to remove the exposed portion of the mandrel structures 202, or a deposition process is performed to fill the exposed portion of the mandrel structures 212. This cutting process will be described later in connection with the 5A to 5E described in more detail.

In dem Schritt 108 des Verfahrens 100 (1A) werden Abstandshalter 222 auf Seitenwänden der Dornstrukturen 202 oder 212 hergestellt, die die Form der strukturierten HM-Schicht 308 haben. In den 3K-1 und 3K-2 wird eine Abstandshalterschicht 222 über der Strukturierungszielschicht 306 und über der strukturierten HM-Schicht 308 als eine Schutzschicht abgeschieden. Die Abstandshalterschicht 222 umfasst bei einigen Ausführungsformen ein Nitrid, wie etwa Siliciumnitrid oder Titannidrid, und kann mittels CVD, PVD, ALD oder anderen geeigneten Abscheidungsverfahren abgeschieden werden. In den 3L-1 und 3L-2 wird ein anisotroper (Trocken-)Ätzprozess durchgeführt, um Teile der Abstandshalterschicht 222 von den Oberseiten der Strukturierungszielschicht 306 und der strukturierten HM-Schicht 308 zu entfernen. Andere Teile der Abstandshalterschicht 222 verbleiben auf den Seitenwänden der HM-Schicht 308 und werden zu Abstandshaltern 222. Bei der vorliegenden Ausführungsform ist eine Dicke Tx der Abstandshalter 222 etwa gleich dem Abstand Sx in den 2A und 2B.In step 108 of the method 100 ( 1A) Spacers 222 are manufactured on side walls of the mandrel structures 202 or 212, which have the shape of the structured HM layer 308. In the 3K-1 and 3K-2 a spacer layer 222 is deposited over the patterning target layer 306 and over the patterned HM layer 308 as a protective layer. The spacer layer 222 comprises a nitride, such as silicon nitride or titanium nitride, in some embodiments and may be deposited using CVD, PVD, ALD or other suitable deposition techniques. In the 3L-1 and 3L-2 an anisotropic (dry) etching process is performed to remove parts of the spacer layer 222 from the top surfaces of the patterning target layer 306 and the patterned HM layer 308. Other parts of the spacer layer 222 remain on the sidewalls of the HM layer 308 and become spacers 222. In the present embodiment, a thickness T x of the spacers 222 is approximately equal to the distance S x in the 2A and 2 B .

In dem Schritt 110 des Verfahrens 100 (1A) werden die Dornstrukturen 202 oder 212 entfernt, sodass Gräben 223 entstehen, die zumindest teilweise von den Abstandshaltern 222 umgeben sind. 3M zeigt eine Draufsicht der Abstandshalter 222 und der Gräben 223, während die 3N-1 und 3N-2 Schnittansichten des Halbleiter-Bauelements 300 entlang der Linie 1 - 1 bzw. 2 - 2 von 3M zeigen. Die Gräben 223 haben Abmessungen, die - unter Berücksichtigung von Maßabweichungen durch die verschiedenen vorgenannten fotolithografischen und Ätzprozesse - im Wesentlichen mit den Abmessungen der Dornstrukturen 202 von 2A (oder der Dornstrukturen 212 von 2B) übereinstimmen. Die Geometrie der Gräben 223 entspricht der vorstehend erörterten allgemeinen Richtlinie, d. h., es soll eine rechteckige oder quadratische Anordnung von Nanodomänen 226 im Inneren jedes der Gräben 223 hergestellt werden, und die Anordnung soll höchstens 4 Zeilen und höchstens 4 Spalten haben. Darüber hinaus sind einige der Gräben 223 auf allen Seiten von den Abstandshaltern 222 umgeben, während einige der Gräben 223 nur teilweise von den Abstandshaltern 222 umgeben sind. Zum Beispiel sind Gräben 223A, 223B und 223C vollständig von Abstandshaltern 222A, 222B bzw. 222C umgeben während ein Graben 223D auf drei Seiten von den Abstandshaltern 222A, 222B und 222C umgeben ist. Außerdem sind die Abstandshalter 222 miteinander verbunden. Zum Beispiel stößt eine Ecke des Abstandshalters 222A an eine Ecke des Abstandshalters 222B an, und eine andere Ecke des Abstandshalters 222B stößt an eine Ecke des Abstandshalters 222C an. Die Abstandshalter 222A und 222C sind auf Seitenwänden der Dornstrukturen 202 oder 212 angeordnet, die unter Verwendung der ersten Fotolithografie hergestellt werden, während die Abstandshalter 222B auf Seitenwänden der Dornstrukturen 202 oder 212 angeordnet sind, die unter Verwendung der zweiten Fotolithografie hergestellt werden. Bei einem anderen Beispiel teilt sich ein Abstandshalter 222D eine Seite mit dem Abstandshalter 222B.In step 110 of the method 100 ( 1A) the mandrel structures 202 or 212 are removed, so that trenches 223 are formed which are at least partially surrounded by the spacers 222. 3M shows a top view of the spacers 222 and the trenches 223, while the 3N-1 and 3N-2 Sectional views of the semiconductor device 300 along the line 1 - 1 or 2 - 2 of 3M The trenches 223 have dimensions which - taking into account dimensional deviations due to the various aforementioned photolithographic and etching processes - substantially correspond to the dimensions of the mandrel structures 202 of 2A (or the spine structures 212 of 2 B) The geometry of the trenches 223 conforms to the general guideline discussed above, that is, a rectangular or square array of nanodomains 226 shall be fabricated inside each of the trenches 223, and the array shall have at most 4 rows and at most 4 columns. In addition, some of the trenches 223 are surrounded on all sides by the spacers 222, while some of the trenches 223 are only partially surrounded by the spacers 222. For example, trenches 223A, 223B, and 223C are completely surrounded by spacers 222A, 222B, and 222C, respectively, while a trench 223D is surrounded on three sides by the spacers 222A, 222B, and 222C. In addition, the spacers 222 are connected to each other. For example, one corner of spacer 222A abuts a corner of spacer 222B, and another corner of spacer 222B abuts a corner of spacer 222C. Spacers 222A and 222C are disposed on sidewalls of mandrel structures 202 or 212 fabricated using the first photolithography, while spacers 222B are disposed on sidewalls of mandrel structures 202 or 212 fabricated using the second photolithography. In another example, a spacer 222D shares a side with spacer 222B.

Bei dem Schritt 112 des Verfahrens 100 (1A) werden die Oberflächen der Abstandshalter 222 und der Strukturierungszielschicht 306 behandelt. Bei dem Schritt 112 kann eine Plasma-Behandlung verwendet werden, oder ein Oberflächenmodifikationsmaterial kann durch einen Beschichtungs- und/oder Spülprozess auf die Abstandshalter 222 und die Strukturierungszielschicht 306 aufgebracht werden. Die Behandlung macht die Oberflächen der Abstandshalter 222 und der Strukturierungszielschicht 306 für den nachfolgenden DSA-Prozess geeignet, d. h., ein BCP wird veranlasst, erste und zweite Polymer-Bestandteilezu bilden, wobei der zweite Polymer-Bestandteil den erste Polymer-Bestandteil umgibt und der erste Polymer-Bestandteil Nanodomänen aufweist, die vertikal zu dem Substrat 302 orientiert sind. Die Behandlung kann die Oberflächen der Abstandshalter 222 und der Strukturierungszielschicht 306 in Abhängigkeit von dem zu verwendenden BCP zum Beispiel hydrophiler oder hydrophober machen.In step 112 of the method 100 ( 1A) the surfaces of the spacers 222 and the patterning target layer 306 are treated. In step 112, a plasma treatment may be used, or a surface modification material may be applied to the spacers 222 and the patterning target layer 306 by a coating and/or rinsing process. The treatment makes the surfaces of the spacers 222 and the patterning target layer 306 suitable for the subsequent DSA process, i.e., a BCP is caused to form first and second polymer components, wherein the second polymer component surrounds the first polymer component and the first polymer component has nanodomains oriented vertically to the substrate 302. The treatment may, for example, make the surfaces of the spacers 222 and the patterning target layer 306 more hydrophilic or more hydrophobic depending on the BCP to be used.

Bei dem Schritt 114 des Verfahrens 100 (1A) wird ein BCP 324 in den Gräben 223 abgeschieden. In den 3O-1 und 3O-2 wird bei einigen Ausführungsformen das BCP 324 aus der folgenden Gruppe gewählt: Poly(styren-b-vinylpyridin), Poly(styren-b-butadien), Poly(styren-b-isopren), Poly(styren-b-methylmethacrylat, Poly(styren-b-alkenyl-Aromaten), Poly(isopren-b-ethylenoxid), Poly(styren-b-(ethylenpropylen)), Poly(ethylenoxid-b-caprolacton), Poly(butadien-b-ethylenoxid), Poly(styren-b-t-butyl(meth)acrylat), Poly(methylmethacrylat-b-t-butylmethacrylat, Poly(ethylenoxid-b-propylenoxid), Poly(styren-b-tetrahydrofuran) und Kombinationen der vorgenannten Blockcopolymere. Bei weiteren Ausführungsformen kann auch ein Copolymer-Material 324 mit einem hydrophoben (oder hydrophilen) ersten Bestandteil und einem hydrophilen (oder hydrophoben) zweiten Bestandteil verwendet werden, da das die Trennung der Polymer-Bestandteile erleichtert. Das BCP 324 wird bei der vorliegenden Ausführungsform mit einem Beschichtungs- oder Schleuderbeschichtungsprozess abgeschieden.In step 114 of the method 100 ( 1A) A BCP 324 is deposited in the trenches 223. In the 3O-1 and 3O-2 In some embodiments, the BCP 324 is selected from the following group: poly(styrene-b-vinylpyridine), poly(styrene-b-butadiene), poly(styrene-b-isoprene), poly(styrene-b-methyl methacrylate, poly(styrene-b-alkenyl aromatics), poly(isoprene-b-ethylene oxide), poly(styrene-b-(ethylenepropylene)), poly(ethylene oxide-b-caprolactone), poly(butadiene-b-ethylene oxide), poly(styrene-bt-butyl(meth)acrylate), poly(methyl methacrylate-bt-butyl methacrylate, poly(ethylene oxide-b-propylene oxide), poly(styrene-b-tetrahydrofuran) and combinations of the aforementioned block copolymers. In further embodiments, a copolymer material 324 having a hydrophobic (or hydrophilic) first component and a hydrophilic (or hydrophobic) second component may also be used, since the facilitates the separation of the polymer components. In the present embodiment, the BCP 324 is deposited using a coating or spin coating process.

Bei dem Schritt 116 des Verfahrens 100 (1A) wird eine Mikrophasentrennung in dem BCP 324 ausgelöst (d. h., die Polymer-Bestandteile in dem BCP 324 werden getrennt). 3P zeigt eine Draufsicht des Halbleiter-Bauelements 300, während die 3Q-1 und 3Q-2 Schnittansichten des Halbleiter-Bauelements 300 entlang der Linie 1 - 1 bzw. 2 - 2 von 3P zeigen. In 3P hat bei der vorliegenden Ausführungsform das BCP 324 zwei Polymer-Bestandteile und zwar einen ersten Polymer-Bestandteil (oder erste Nanodomänen) 226 und einen zweiten Polymer-Bestandteil (oder zweite Nanodomänen) 228. Die Abmessungen, Formen und Konfigurationen des ersten und des zweiten Polymer-Bestandteils 226 und 228 hängen von verschiedenen Faktoren ab, unter anderem von dem verwendeten Material, den relativen Mengen der Polymer-Bestandteile, Prozessvariablen wie Temperatur, und der Oberflächenbeschaffenheit der Abstandshalter 222. Die Abstandshalter 222 fungieren als die Leitstruktur für die Mikrophasentrennung. In jedem Graben 223 (3M) wird eine Anordnung aus den ersten Polymer-Bestandteilen 226 hergestellt. Bei der vorliegenden Ausführungsform ist die Anordnung eine 1x2-Anordnung (oder eine 2x1-Anordnung). Darüber hinaus ist jeder der ersten Polymer-Bestandteile 226 ein Zylinder und ist von den zweiten Polymer-Bestandteilen 228 umgeben. Weiterhin sind die ersten und die zweiten Polymer-Bestandteile 226 und 228 vertikal zu dem Substrat 302 orientiert. Bei verschiedenen Ausführungsformen kann die Mikrophasentrennung durch Erwärmen, Kühlen, Einleiten eines Lösungsmittels, Anlegen eines magnetischen Felds und/oder andere Verfahren ausgelöst werden.In step 116 of the method 100 ( 1A) a microphase separation is initiated in the BCP 324 (ie, the polymer components in the BCP 324 are separated). 3P shows a top view of the semiconductor device 300, while the 3Q-1 and 3Q-2 Sectional views of the semiconductor device 300 along the line 1 - 1 or 2 - 2 of 3P show. In 3P In the present embodiment, the BCP 324 has two polymer components, namely a first polymer component (or first nanodomains) 226 and a second polymer component (or second nanodomains) 228. The dimensions, shapes and configurations of the first and second polymer components 226 and 228 depend on various factors, including the material used, the relative amounts of the polymer components, process variables such as temperature, and the surface finish of the spacers 222. The spacers 222 act as the guide structure for the microphase separation. In each trench 223 ( 3M ), an array of the first polymer components 226 is fabricated. In the present embodiment, the array is a 1x2 array (or a 2x1 array). Moreover, each of the first polymer components 226 is a cylinder and is surrounded by the second polymer components 228. Furthermore, the first and second polymer components 226 and 228 are oriented vertically to the substrate 302. In various embodiments, microphase separation may be initiated by heating, cooling, introducing a solvent, applying a magnetic field, and/or other methods.

Bei dem Schritt 116 des Verfahrens 100 (1A) kann optional ein Schneidprozess zum Entfernen einer oder mehrerer der ersten und der zweiten Polymer-Bestandteile 226 und 228 durchgeführt werden. Bei einer Ausführungsform ist der Schneidprozess ein weiterer fotolithografischer Prozess, in dem ein Maskierungselement über einem Teil der ersten und zweiten Polymer-Bestandteile 226 und 228 hergestellt wird und ein anderer Teil davon belichtet bleibt. Dann werden ein oder mehrere Abscheidungs- und/oder Ätzprozesse durchgeführt, um den belichteten Teil der ersten und zweiten Polymer-Bestandteile 226 und 228 vor dem nachfolgenden Strukturübertragungsprozess zu entfernen. Dieser Schneidprozess wird später in Verbindung mit den 6A bis 6F näher beschrieben.In step 116 of the method 100 ( 1A) Optionally, a cutting process may be performed to remove one or more of the first and second polymer components 226 and 228. In one embodiment, the cutting process is another photolithographic process in which a masking element is formed over a portion of the first and second polymer components 226 and 228 and another portion thereof remains exposed. Then, one or more deposition and/or etching processes are performed to remove the exposed portion of the first and second polymer components 226 and 228 prior to the subsequent pattern transfer process. This cutting process will be described later in connection with the 6A to 6F described in more detail.

Bei dem Schritt 118 des Verfahrens 100 (1A) wird eine Struktur auf das Substrat 302 übertragen, die entweder den ersten Polymer-Bestandteilen 226 oder den zweiten Polymer-Bestandteil 228 entspricht. In den 3R-1 bis 3S-2 wird bei der vorliegenden Ausführungsform eine Struktur, die den ersten Polymer-Bestandteilen 226 entspricht, auf die Strukturierungszielschicht 306 übertragen. In den 3R-1 und 3R-2 werden die ersten Polymer-Bestandteile 226 mit einem Ätzprozess selektiv entfernt, bei dem die Abstandshalter und die zweiten Polymer-Bestandteile 228 nicht oder nur geringfügig geätzt werden, wodurch Öffnungen 330 entstehen. In den 3S-1 und 3S-2 wird die Strukturierungszielschicht 306 durch die Öffnungen 330 geätzt, wodurch die Struktur auf die Strukturierungszielschicht 306 übertragen wird, sodass sie eine Vielzahl von Gräben 332 hat. Die Abstandshalter 222 und die zweiten Polymer-Bestandteile 228 werden anschließend entfernt. Bei einer Ausführungsform sind die Gräben 332 Kontaktlöcher zum Herstellen von Kontaktstrukturen darin, wie etwa Source-Kontakten, Drain-Kontakten, Gate-Kontakten und Durchkontaktierungen, die verschiedene Metallverbindungsschichten verbinden.In step 118 of the method 100 ( 1A) a structure is transferred to the substrate 302, which corresponds to either the first polymer components 226 or the second polymer component 228. In the 3R-1 to 3S-2 In the present embodiment, a structure corresponding to the first polymer components 226 is transferred to the patterning target layer 306. In the 3R-1 and 3R-2 the first polymer components 226 are selectively removed with an etching process in which the spacers and the second polymer components 228 are not or only slightly etched, creating openings 330. In the 3S-1 and 3S-2 the patterning target layer 306 is etched through the openings 330, thereby transferring the pattern to the patterning target layer 306 to have a plurality of trenches 332. The spacers 222 and the second polymer components 228 are then removed. In one embodiment, the trenches 332 are contact holes for forming contact structures therein, such as source contacts, drain contacts, gate contacts, and vias connecting various metal interconnect layers.

Bei dem Schritt 120 des Verfahrens 100 (1A) wird eine Endstruktur oder ein End-Bauelement hergestellt. In einem Beispiel werden bei dem Verfahren 100 Kontakte in den Kontaktlöchern 332 hergestellt. Bei dem Verfahren 100 kann zum Beispiel eine Sperrschicht auf Seitenwänden der Kontaktlöcher 332 hergestellt werden, und anschließend können die Kontaktlöcher 332 mit einem leitenden Material ausgefüllt werden. Die Sperrschicht kann Tantal (Ta), Tantalnitrid (TaN) oder ein anderes geeignetes Metalldiffusionssperrmaterial umfassen und kann mittels CVD, PVD, ALD oder anderen geeigneten Verfahren abgeschieden werden. Für das leitende Material können Aluminium (Al), Wolfram (W), Kupfer (Cu), Cobalt (Co), Kombinationen davon oder andere geeignete Materialien verwendet werden, und es kann mittels CVD, PVD, Plattierung und/oder anderen geeigneten Verfahren abgeschieden werden.In step 120 of the method 100 ( 1A) a final structure or device is formed. In one example, the method 100 forms contacts in the vias 332. For example, the method 100 may form a barrier layer on sidewalls of the vias 332 and then the vias 332 may be filled with a conductive material. The barrier layer may comprise tantalum (Ta), tantalum nitride (TaN), or other suitable metal diffusion barrier material and may be deposited using CVD, PVD, ALD, or other suitable methods. The conductive material may include aluminum (Al), tungsten (W), copper (Cu), cobalt (Co), combinations thereof, or other suitable materials and may be deposited using CVD, PVD, plating, and/or other suitable methods.

Die 4A bis 4F zeigen die Schritte 104 bis 116 einer Ausführungsform des Verfahrens 100 (1A), bei der die Dornstrukturen nur Teil-Anordnungen sind. In 4A umfasst eine Zielstruktur 400 Dornstrukturen 202, die in einem scheinbar unregelmäßigen Muster angeordnet sind. Eine Gruppe 400A der Dornstrukturen 202 bildet eine Teil-Anordnung, die eine Teilmenge der Anordnung 200A (2A) ist. Eine Gruppe 400B der Dornstrukturen 202 bildet eine weitere Teil-Anordnung, die eine Teilmenge der Anordnung 200B (2A) ist. Zeilen der Gruppe 400A sind mit Zeilen der Gruppe 400B verzahnt. Spalten der Gruppe 400A sind mit Spalten der Gruppe 400B verzahnt. Die Dornstrukturen 202 haben begrenzte Größen, wie vorstehend bei 2A dargelegt worden ist. 4B zeigt eine weitere Zielstruktur 410, die Dornstrukturen 212 umfasst. Eine Gruppe 410A der Dornstrukturen 212 bildet eine Teil-Anordnung, während eine andere Gruppe 410B der Dornstrukturen 212 eine weitere Teil-Anordnung bildet. Die Zielstrukturen 400 und 410 sind einander ähnlich, mit der Ausnahme, dass die Dornstrukturen 202 Insel-Dornstrukturen sind, während die Dornstrukturen 212 Graben-Dornstrukturen sind. Die Dornstrukturen 202 und 212 können über einem Substrat hergestellt werden, wie vorstehend bei dem Schritt 104 dargelegt worden ist. In den 4C und 4D werden Abstandshalter 222 auf Seitenwänden der Dornstrukturen 202 und 212 in einer ähnlichen Weise wie bei dem Schritt 108 hergestellt. In 4E werden die Dornstrukturen in einer ähnlichen Weise wie bei dem Schritt 110 entfernt, sodass Gräben 223 zurückbleiben, die auf mindestens drei Seiten von den Abstandshaltern 222 umgeben sind. In 4F werden Nanodomänen 226 in jedem der Gräben 223 in einer rechteckigen oder quadratischen Anordnung hergestellt, die eine begrenzte Größe hat, wie vorstehend bei den Schritten 112, 114 und 116 dargelegt worden ist.The 4A to 4F show steps 104 to 116 of an embodiment of the method 100 ( 1A) , in which the mandrel structures are only partial arrangements. In 4A a target structure 400 comprises mandrel structures 202 arranged in a seemingly irregular pattern. A group 400A of the mandrel structures 202 forms a sub-array that is a subset of the array 200A ( 2A) A group 400B of the mandrel structures 202 forms another sub-array which is a subset of the array 200B ( 2A) Rows of group 400A are interlocked with rows of group 400B. Columns of group 400A are interlocked with columns of group 400B. The mandrel structures 202 have limited sizes, as described above in 2A has been explained. 4B shows another target structure 410 comprising mandrel structures 212. One group 410A of the mandrel structures 212 forms a sub-array, while another group 410B of the mandrel structures 212 forms another sub-array. The target structures 400 and 410 are similar to each other, except that the mandrel structures 202 are island mandrel structures, while the mandrel structures 212 are trench mandrel structures. The mandrel structures 202 and 212 may be formed over a substrate as set forth above in step 104. In the 4C and 4D spacers 222 are formed on side walls of the mandrel structures 202 and 212 in a similar manner to step 108. In 4E the mandrel structures are removed in a similar manner as in step 110, leaving trenches 223 which are surrounded on at least three sides by the spacers 222. In 4F Nanodomains 226 are formed in each of the trenches 223 in a rectangular or square array having a finite size, as set forth above in steps 112, 114, and 116.

Die in den 4A und 4B gezeigten Dornstrukturen können als solche konfiguriert sein, oder sie können von den in den 2A und 2B gezeigten Dornstrukturen unter Verwendung eines Schneidprozesses abgeleitet werden, der vorstehend bei dem Schritt 106 beschrieben worden ist und weiterhin in den 5A bis 5E dargestellt ist. In 5A sind Dornstrukturen 202 in zwei Anordnungen 200A und 200B angeordnet, die durch Zeilen und Spalten verzahnt sind, wie vorstehend dargelegt worden ist. In 5B werden in einem Beispiel in einer gesonderten Fotolithografie Schneidstrukturen 504 implementiert, um einige der Dornstrukturen 202 zu entfernen. Bei einer Ausführungsform wird in dem Schneidprozess ein Maskierungselement über den Dornstrukturen 202 hergestellt, und mit dem Maskierungselement wird der Teil der Dornstrukturen 202 belichtet, der sich mit der Schneidstruktur 504 überdeckt. Dann wird mit einem selektiven Ätzprozess dieser Teil der Dornstrukturen 202 entfernt. In 5C bilden die verbleibenden Dornstrukturen 202 Teil-Anordnungen, wie vorstehend bei 4A dargelegt worden ist, und auf Seitenwänden der Dornstrukturen 202 werden Abstandshalter 222 hergestellt. In 5D werden die Dornstrukturen 202 entfernt, um Gräben 223 herzustellen. In 5E werden Nanodomänen 226 in jedem der Gräben 223 in einer rechteckigen oder quadratischen Anordnung hergestellt, die eine begrenzte Größe hat.The 4A and 4B shown mandrel structures may be configured as such, or they may be different from those shown in the 2A and 2 B shown mandrel structures using a cutting process described above in step 106 and further in the 5A to 5E is shown. In 5A mandrel structures 202 are arranged in two arrangements 200A and 200B, which are interlocked by rows and columns, as set forth above. In 5B In one example, cutting structures 504 are implemented in a separate photolithography to remove some of the mandrel structures 202. In one embodiment, a masking element is formed over the mandrel structures 202 in the cutting process, and the masking element is used to expose the portion of the mandrel structures 202 that overlaps with the cutting structure 504. Then, a selective etching process is used to remove that portion of the mandrel structures 202. In 5C the remaining mandrel structures 202 form partial arrangements as described above in 4A and spacers 222 are fabricated on side walls of the mandrel structures 202. In 5D the mandrel structures 202 are removed to create trenches 223. In 5E Nanodomains 226 are fabricated in each of the trenches 223 in a rectangular or square arrangement having a limited size.

Die 6A bis 6F zeigen einen Schneidprozess, der vorstehend bei dem Schritt 118 beschrieben worden ist. 6A zeigt Dornstrukturen 202, die in zwei verzahnten Anordnungen 200A und 200B angeordnet sind. 6B zeigt Abstandshalter 222, die auf Seitenwänden der Dornstrukturen 202 angeordnet sind. 6C zeigt Gräben 223, die von den Abstandshaltern 222 umgeben sind. 6D zeigt Nanodomänen 226, die unter Verwendung der Abstandshalter 222 als Leitstrukturen hergestellt werden. In 6E werden Schneidstrukturen 630 mit einem fotolithografischen Prozess hergestellt, wodurch ein Teil der Nanodomänen 226 entfernt wird. 6F zeigt die verbleibenden Nanodomänen 226 für die Strukturübertragung nach dem Schneidprozess. Bei einer Ausführungsform werden die Schneidstrukturen 630 als ein dielektrisches Material implementiert, das die Gräben 330 füllt, wie in den 6G-1 und 6G-2 gezeigt ist, die Schnittansichten des Halbleiter-Bauelements 300 entlang der Linie 1 - 1 bzw. und 2 - 2 von 6F sind.The 6A to 6F show a cutting process described above in step 118. 6A shows mandrel structures 202 arranged in two interlocking arrangements 200A and 200B. 6B shows spacers 222 arranged on side walls of the mandrel structures 202. 6C shows trenches 223 surrounded by spacers 222. 6D shows nanodomains 226, which are prepared using the spacers 222 as guide structures. In 6E Cutting structures 630 are produced using a photolithographic process, whereby a portion of the nanodomains 226 is removed. 6F shows the remaining nanodomains 226 for pattern transfer after the cutting process. In one embodiment, the Cutting structures 630 are implemented as a dielectric material that fills the trenches 330, as shown in the 6G-1 and 6G-2 shown, the sectional views of the semiconductor device 300 along the line 1 - 1 and 2 - 2 of 6F are.

1C zeigt eine weitere Ausführungsform des Schritts 104 gemäß Aspekten der vorliegenden Erfindung. Bei dieser Ausführungsform umfasst der Schritt 104 den Schritt 132 zum Abscheiden einer HM-Schicht 310 über dem Substrat 302 und den Schritt 134 zum Herstellen einer ersten Anordnung von Dornstrukturen in der HM-Schicht 310 unter Verwendung einer ersten Fotolithografie. Weitere Schritte des Schritts 104 werden nachstehend in Verbindung mit den 7A-1 bis 7F-2 kurz erörtert, die Schnittansichten des Halbleiter-Bauelements 300 entlang der Linie 1 - 1 bzw. und 2 - 2 von 2A sind. 1C shows another embodiment of step 104 according to aspects of the present invention. In this embodiment, step 104 includes step 132 of depositing an HM layer 310 over substrate 302 and step 134 of forming a first array of mandrel structures in HM layer 310 using a first photolithography. Further steps of step 104 are described below in connection with the 7A-1 to 7F-2 briefly discussed, the sectional views of the semiconductor device 300 along the line 1 - 1 and 2 - 2 of 2A are.

In dem Schritt 135 wird eine Pufferschicht 340 über dem Substrat 302 abgeschieden, um die Dornstrukturen 310 darunter zu bedecken und eine planare Oberseite herzustellen (7A-1 und 7A-2). Bei einigen Ausführungsformen umfasst die Pufferschicht 340 ein oder mehrere Polymere, die Silicium umfassen, und sie kann durch Schleuderbeschichtung und/oder ein anderes geeignetes Abscheidungsverfahren hergestellt werden. In dem Schritt 137 werden Gräben 344 in der Pufferschicht 340 hergestellt. In den 7B-1 und 7B-2 wird eine Resiststruktur 342 über der Pufferschicht mit einem zweiten fotolithografischen Prozess hergestellt, sodass die Gräben 344 entstehen. In den 7C-1 und 7C-2 wird die Pufferschicht 340 mit der Resiststruktur 342 als eine Ätzmaske geätzt, sodass die Gräben 344 in die Pufferschicht 340 hinein reichen. In dem Schritt 136' werden die Gräben 344 mit einem dielektrischen HM-Material 346 als eine zweite Anordnung von Dornstrukturen gefüllt (7D-1 und 7D-2). In dem Schritt 138' wird das Halbleiter-Bauelement 300 mit einem CMP-Prozess planarisiert, um die Dornstrukturen 310 freizulegen. Dann wird die Pufferschicht 340 mit einem Ätzprozess entfernt, sodass die Dornstrukturen 310 und 346 über der HM-Schicht 308 zurückbleiben (7E-1 und 7E-2). Anschließend wird die HM-Schicht 308 mit den Dornstrukturen 310 und 346 als eine Ätzmaske geätzt, sodass die Dornstrukturen in der HM-Schicht 308 entstehen (7F-1 und 7F-2).In step 135, a buffer layer 340 is deposited over the substrate 302 to cover the mandrel structures 310 thereunder and to produce a planar top surface ( 7A-1 and 7A-2 ). In some embodiments, the buffer layer 340 comprises one or more polymers comprising silicon and may be formed by spin coating and/or another suitable deposition process. In step 137, trenches 344 are formed in the buffer layer 340. In the 7B-1 and 7B-2 A resist structure 342 is formed over the buffer layer using a second photolithographic process to form the trenches 344. In the 7C-1 and 7C-2 the buffer layer 340 is etched with the resist structure 342 as an etch mask so that the trenches 344 extend into the buffer layer 340. In step 136' the trenches 344 are filled with a dielectric HM material 346 as a second arrangement of mandrel structures ( 7D-1 and 7D-2 ). In step 138', the semiconductor device 300 is planarized using a CMP process to expose the mandrel structures 310. Then, the buffer layer 340 is removed using an etching process, leaving the mandrel structures 310 and 346 above the HM layer 308 ( 7E-1 and 7E-2 ). The HM layer 308 is then etched with the mandrel structures 310 and 346 as an etching mask so that the mandrel structures are created in the HM layer 308 ( 7F-1 and 7F-2 ).

Eine oder mehrere Ausführungsformen der vorliegenden Erfindung, die nicht beschränkend sein sollen, bieten zahlreiche Vorteile für ein Halbleiter-Bauelement und dessen Herstellung. Zum Beispiel stellen Ausführungsformen der vorliegenden Erfindung Leitstrukturen und Verfahren zu ihrer Herstellung für einen DSA-Prozess bereit. Die Leitstrukturen haben begrenzte Größen und begrenzte Konfigurationen. Die Leitstrukturen leiten den DSA-Prozess so, dass zylindrische Nanodomänen entstehen, die in einer rechteckigen oder quadratischen Anordnung angeordnet sind. Diese Konfiguration von Nanodomänen steht vorteilhaft in Einklang mit dem bestehenden IC-Design und dem bestehenden Herstellungsablauf, zum Beispiel beim Entwerfen und Herstellen von Kontaktlöchern.One or more embodiments of the present invention, which are not intended to be limiting, provide numerous advantages for a semiconductor device and its fabrication. For example, embodiments of the present invention provide guide structures and methods of fabricating them for a DSA process. The guide structures have limited sizes and limited configurations. The guide structures guide the DSA process to form cylindrical nanodomains arranged in a rectangular or square array. This configuration of nanodomains advantageously aligns with the existing IC design and manufacturing workflow, for example, in designing and fabricating vias.

Bei einem beispielhaften Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet. Das Verfahren umfasst die folgenden Schritte: Bereitstellen eines Substrats; Herstellen von Dornstrukturen über dem Substrat; und Herstellen von Abstandshaltern auf Seitenwänden der Dornstrukturen. Das Verfahren umfasst weiterhin das Entfernen der Dornstrukturen, sodass Gräben entstehen, die zumindest teilweise von den Abstandshaltern umgeben sind. Das Verfahren umfasst weiterhin das Abscheiden eines Copolymer-Materials in den Gräben, wobei das Copolymer-Material gerichtet selbstanordnend ist; und das Auslösen einer Mikrophasentrennung in dem Copolymer-Material, sodass ein erster Polymer-Bestandteil definiert wird, der von einem zweiten Polymer-Bestandteil umgeben ist.In an exemplary aspect, the present invention is directed to a method. The method includes the steps of: providing a substrate; forming mandrel structures over the substrate; and forming spacers on sidewalls of the mandrel structures. The method further includes removing the mandrel structures to form trenches at least partially surrounded by the spacers. The method further includes depositing a copolymer material in the trenches, the copolymer material being directionally self-assembling; and inducing microphase separation in the copolymer material to define a first polymer component surrounded by a second polymer component.

Bei einem weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet, das die folgenden Schritte umfasst: Bereitstellen eines Substrats; Herstellen von Dornstrukturen über dem Substrat; Herstellen von Abstandshaltern auf Seitenwänden der Dornstrukturen; und Entfernen der Dornstrukturen, sodass Gräben entstehen, die zumindest teilweise von den Abstandshaltern umgeben sind. Das Verfahren umfasst weiterhin das Abscheiden eines Copolymer-Materials in den Gräben, wobei das Copolymer-Material gerichtet selbstanordnend ist; und das Auslösen einer Mikrophasentrennung in dem Copolymer-Material, sodass ein erster Polymer-Bestandteil definiert wird, der von einem zweiten Polymer-Bestandteil umgeben ist. Das Verfahren umfasst weiterhin das Übertragen einer Struktur, die entweder dem ersten Polymer-Bestandteil oder dem zweiten Polymer-Bestandteil entspricht, auf das Substrat.In another exemplary aspect, the present invention is directed to a method comprising the steps of: providing a substrate; forming mandrel structures over the substrate; forming spacers on sidewalls of the mandrel structures; and removing the mandrel structures to form trenches at least partially surrounded by the spacers. The method further comprises depositing a copolymer material in the trenches, the copolymer material being directionally self-assembling; and inducing microphase separation in the copolymer material to define a first polymer component surrounded by a second polymer component. The method further comprises transferring a structure corresponding to either the first polymer component or the second polymer component to the substrate.

Bei einem weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet, das die folgenden Schritte umfasst: Bereitstellen eines Substrats; Herstellen einer ersten Anordnung von Dornstrukturen über dem Substrat unter Verwendung eines ersten fotolithografischen Prozesses; und Herstellen einer zweiten Anordnung von Dornstrukturen über dem Substrat unter Verwendung eines zweiten fotolithografischen Prozesses. Zeilen der ersten Anordnung sind mit Zeilen der zweiten Anordnung verzahnt, und Spalten der ersten Anordnung sind mit Spalten der zweiten Anordnung verzahnt. Das Verfahren weist weiterhin die folgenden Schritte auf: Herstellen von Abstandshaltern auf Seitenwänden der Dornstrukturen; und Entfernen der Dornstrukturen, sodass Gräben entstehen, die zumindest teilweise von den Abstandshaltern umgeben sind. Das Verfahren umfasst weiterhin das Abscheiden eines Copolymer-Materials in den Gräben, wobei das Copolymer-Material gerichtet selbstanordnend ist; und das Auslösen einer Mikrophasentrennung in dem Copolymer-Material, sodass ein erster Polymer-Bestandteil definiert wird, der von einem zweiten Polymer-Bestandteil umgeben ist. Das Verfahren umfasst weiterhin das Übertragen einer Struktur, die dem ersten Polymer-Bestandteil entspricht, auf das Substrat.In another exemplary aspect, the present invention is directed to a method comprising the steps of: providing a substrate; forming a first array of mandrel structures over the substrate using a first photolithographic process; and forming a second array of mandrel structures over the substrate using a second photolithographic process. Rows of the first array are interleaved with rows of the second array, and columns of the first array are interleaved with columns of the second array. The method further comprises the steps of: forming spacers on sidewalls of the mandrel structures; and removing the mandrel structures to form trenches that are at least partially surrounded by the spacers. The method further comprises depositing a copolymer material in the trenches, the copolymer material being directionally self-assembling; and inducing microphase separation in the copolymer material to define a first polymer component surrounded by a second polymer component. The method further comprises transferring a structure corresponding to the first polymer component to the substrate.

Claims (20)

Verfahren mit den folgenden Schritten: Bereitstellen eines Substrats (302); Herstellen von Dornstrukturen (202, 212) über dem Substrat; Herstellen von Abstandshaltern (222) auf Seitenwänden der Dornstrukturen (202, 212); Entfernen der Dornstrukturen (202, 212), sodass Gräben (223) entstehen, die zumindest teilweise von den Abstandshaltern (222) umgeben sind; Abscheiden eines Copolymer-Materials (324) in den Gräben (223), wobei das Copolymer-Material gerichtet selbstanordnend ist; und Auslösen einer Mikrophasentrennung in dem Copolymer-Material (324), sodass ein erster Polymer-Bestandteil (226) definiert wird, der von einem zweiten Polymer-Bestandteil (228) umgeben ist; dadurch gekennzeichnet, dass eine erste Anordnung von Dornstrukturen über dem Substrat hergestellt wird, in der, von oben betrachtet, die Dornstrukturen in einer schachbrettartigen Konfiguration voneinander beabstandet angeordnet sind.A method comprising the steps of: providing a substrate (302); fabricating mandrel structures (202, 212) over the substrate; fabricating spacers (222) on sidewalls of the mandrel structures (202, 212); removing the mandrel structures (202, 212) to form trenches (223) at least partially surrounded by the spacers (222); depositing a copolymer material (324) in the trenches (223), the copolymer material being directionally self-assembling; and inducing microphase separation in the copolymer material (324) to define a first polymer component (226) surrounded by a second polymer component (228); characterized in that a first array of mandrel structures is fabricated over the substrate in which, viewed from above, the mandrel structures are spaced apart from one another in a checkerboard configuration. Verfahren nach Anspruch 1, wobei, von oben betrachtet, die Dornstrukturen im Wesentlichen rechteckig sind und etwa die gleiche Größe haben, wobei ein erster Abstand der ersten Anordnung entlang einer ersten Richtung gleich dem Zweifachen einer Abmessung der Dornstrukturen entlang der ersten Richtung plus dem Zweifachen einer Dicke der Abstandshalter ist.Procedure according to Claim 1 wherein, when viewed from above, the mandrel structures are substantially rectangular and approximately the same size, a first spacing of the first array along a first direction being equal to twice a dimension of the mandrel structures along the first direction plus twice a thickness of the spacers. Verfahren nach Anspruch 1 oder 2, das weiterhin den folgenden Schritt aufweist: Übertragen einer Struktur, die von dem ersten Polymer-Bestandteil (226) definiert wird, auf das Substrat (302).Procedure according to Claim 1 or 2 further comprising the step of: transferring a structure defined by the first polymer component (226) to the substrate (302). Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin den folgenden Schritt aufweist: Behandeln einer Oberfläche der Abstandshalter (222) vor dem Schritt des Abscheidens des Copolymer-Materials (324).A method according to any preceding claim, further comprising the step of: treating a surface of the spacers (222) prior to the step of depositing the copolymer material (324). Verfahren nach einem der vorhergehenden Ansprüche, wobei in jedem der Gräben (223) der erste Polymer-Bestandteil (226) eine Anordnung von Inseln umfasst, die höchstens vier Zeilen und höchstens vier Spalten hat.A method according to any preceding claim, wherein in each of the trenches (223) the first polymer component (226) comprises an array of islands having at most four rows and at most four columns. Verfahren nach Anspruch 5, wobei die Anordnung von Inseln entweder eine Eins-malzwei-(1x2)-Anordnung oder eine Zwei-mal-zwei(2x2)-Anordnung ist.Procedure according to Claim 5 , where the arrangement of islands is either a one-by-two (1x2) arrangement or a two-by-two (2x2) arrangement. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Gräben (223) etwa die gleiche Größe haben und rechteckig sind, ein erster der Abstandshalter (222A) einen ersten der Gräben (223A) umgibt, ein zweiter der Abstandshalter (222B) einen zweiten der Gräben (223B) umgibt, und eine erste Ecke des ersten Abstandshalters (222A) an eine zweite Ecke des zweiten Abstandshalters (222B) anstößt.A method according to any preceding claim, wherein the trenches (223) are approximately the same size and rectangular, a first of the spacers (222A) surrounds a first of the trenches (223A), a second of the spacers (222B) surrounds a second of the trenches (223B), and a first corner of the first spacer (222A) abuts a second corner of the second spacer (222B). Verfahren nach Anspruch 7, wobei ein dritter der Abstandshalter (222C) einen dritten der Gräben (223C) umgibt und eine dritte Ecke des zweiten Abstandshalters (222B) an eine vierte Ecke des dritten Abstandshalters (222C) anstößt.Procedure according to Claim 7 wherein a third of the spacers (222C) surrounds a third of the trenches (223C) and a third corner of the second spacer (222B) abuts a fourth corner of the third spacer (222C). Verfahren nach Anspruch 8, wobei ein vierter der Gräben (223D) auf drei Seiten von dem ersten, dem zweiten und dem dritten Abstandshalter (222A, 222B, 222C) umgeben ist und auf einer übrig bleibenden Seite offen ist.Procedure according to Claim 8 wherein a fourth of the trenches (223D) is surrounded on three sides by the first, second and third spacers (222A, 222B, 222C) and is open on a remaining side. Verfahren nach einem der Ansprüche 7 bis 9, wobei der erste Abstandshalter (222A) auf Seitenwänden einer ersten der Dornstrukturen (202) hergestellt wird, der zweite Abstandshalter auf Seitenwänden einer zweiten der Dornstrukturen (212) hergestellt wird und die erste und die zweite Dornstruktur unter Verwendung von getrennten fotolithografischen Prozessen hergestellt werden.Method according to one of the Claims 7 until 9 wherein the first spacer (222A) is fabricated on sidewalls of a first of the mandrel structures (202), the second spacer is fabricated on sidewalls of a second of the mandrel structures (212), and the first and second mandrel structures are fabricated using separate photolithographic processes. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Dornstrukturen (202, 212) Inselstrukturen oder Grabenstrukturen sind.Method according to one of the preceding claims, wherein the mandrel structures (202, 212) are island structures or trench structures. Verfahren mit den folgenden Schritten: Bereitstellen eines Substrats; Herstellen von Dornstrukturen über dem Substrat; Herstellen von Abstandshaltern auf Seitenwänden der Dornstrukturen; Entfernen der Dornstrukturen, sodass Gräben entstehen, die zumindest teilweise von den Abstandshaltern umgeben sind; Abscheiden eines Copolymer-Materials in den Gräben, wobei das Copolymer-Material gerichtet selbstanordnend ist; und Auslösen einer Mikrophasentrennung in dem Copolymer-Material, sodass ein erster Polymer-Bestandteil definiert wird, der von einem zweiten Polymer-Bestandteil umgeben ist; dadurch gekennzeichnet, dass der Schritt des Herstellens von Dornstrukturen die folgenden Schritte umfasst: Durchführen einer ersten Fotolithografie, sodass eine erste Anordnung von Dornstrukturen über dem Substrat entsteht; und Durchführen einer zweiten Fotolithografie, sodass eine zweite Anordnung von Dornstrukturen über dem Substrat entsteht, wobei Zeilen der ersten Anordnung mit Zeilen der zweiten Anordnung verzahnt sind und Spalten der ersten Anordnung mit Spalten der zweiten Anordnung ebenfalls verzahnt sind.A method comprising the following steps: providing a substrate; producing mandrel structures over the substrate; producing spacers on side walls of the mandrel structures; removing the mandrel structures to form trenches that are at least partially surrounded by the spacers; depositing a copolymer material in the trenches, the copolymer material being directionally self-assembling; and inducing microphase separation in the copolymer material to define a first polymer component that is surrounded by a second polymer component; characterized in that the step of producing of mandrel structures comprises the following steps: performing a first photolithography so that a first arrangement of mandrel structures is formed over the substrate; and performing a second photolithography so that a second arrangement of mandrel structures is formed over the substrate, wherein rows of the first arrangement are interleaved with rows of the second arrangement and columns of the first arrangement are also interleaved with columns of the second arrangement. Verfahren nach Anspruch 12, ferner umfassend: Übertragen einer Struktur, die entweder dem ersten Polymer-Bestandteil oder dem zweiten Polymer-Bestandteil entspricht, auf das Substrat.Procedure according to Claim 12 , further comprising: transferring a structure corresponding to either the first polymer component or the second polymer component to the substrate. Verfahren nach Anspruch 12 oder 13, wobei, von oben betrachtet, die Dornstrukturen im Wesentlichen rechteckig sind und etwa die gleiche Größe haben, wobei ein erster Abstand der ersten Anordnung entlang einer ersten Richtung gleich dem Zweifachen einer Abmessung der Dornstrukturen entlang der ersten Richtung plus dem Zweifachen einer Dicke der Abstandshalter ist.Procedure according to Claim 12 or 13 wherein, when viewed from above, the mandrel structures are substantially rectangular and approximately the same size, a first spacing of the first array along a first direction being equal to twice a dimension of the mandrel structures along the first direction plus twice a thickness of the spacers. Verfahren nach Anspruch 14, wobei ein zweiter Abstand der ersten Anordnung entlang einer zweiten Richtung gleich dem Zweifachen einer anderen Abmessung der Dornstrukturen entlang der zweiten Richtung plus dem Zweifachen der Dicke der Abstandshalter ist, wobei die zweite Richtung senkrecht zu der ersten Richtung ist.Procedure according to Claim 14 wherein a second spacing of the first array along a second direction is equal to twice another dimension of the mandrel structures along the second direction plus twice the thickness of the spacers, the second direction being perpendicular to the first direction. Verfahren nach einem der Ansprüche 12 bis 15, wobei die Dornstrukturen so bemessen sind, dass nach dem Auslösen der Mikrophasentrennung eine Anordnung von Inseln des ersten Polymer-Bestandteils in jedem der Gräben entsteht und die Anordnung von Inseln höchstens vier Zeilen und höchstens vier Spalten umfasst.Method according to one of the Claims 12 until 15 , wherein the mandrel structures are dimensioned such that after initiation of the microphase separation, an arrangement of islands of the first polymer component is formed in each of the trenches and the arrangement of islands comprises a maximum of four rows and a maximum of four columns. Verfahren nach einem der Ansprüche 12 bis 16, das vor dem Herstellen der Abstandshalter weiterhin den folgenden Schritt aufweist: Durchführen eines Schneidprozesses, um mindestens eine der Dornstrukturen zu entfernen.Method according to one of the Claims 12 until 16 which, prior to manufacturing the spacers, further comprises the step of performing a cutting process to remove at least one of the mandrel structures. Verfahren nach einem der Ansprüche 12 bis 17, das vor dem Übertragen der Struktur weiterhin den folgenden Schritt aufweist: Durchführen eines Schneidprozesses, um den erste Polymer-Bestandteil teilweise zu entfernen.Method according to one of the Claims 12 until 17 which, prior to transferring the structure, further comprises the step of performing a cutting process to partially remove the first polymer component. Verfahren mit den folgenden Schritten: Bereitstellen eines Substrats; Herstellen einer ersten Anordnung von Dornstrukturen über dem Substrat unter Verwendung eines ersten fotolithografischen Prozesses; Herstellen einer zweiten Anordnung von Dornstrukturen über dem Substrat unter Verwendung eines zweiten fotolithografischen Prozesses, wobei Zeilen der ersten Anordnung mit Zeilen der zweiten Anordnung verzahnt sind und Spalten der ersten Anordnung mit Spalten der zweiten Anordnung ebenfalls verzahnt sind; Herstellen von Abstandshaltern auf Seitenwänden der Dornstrukturen; Entfernen der Dornstrukturen, sodass Gräben entstehen, die zumindest teilweise von den Abstandshaltern umgeben sind; Abscheiden eines Copolymer-Materials in den Gräben, wobei das Copolymer-Material gerichtet selbstanordnend ist; Auslösen einer Mikrophasentrennung in dem Copolymer-Material, sodass ein erster Polymer-Bestandteil definiert wird, der von einem zweiten Polymer-Bestandteil umgeben ist; und Übertragen einer Struktur, die dem ersten Polymer-Bestandteil entspricht, auf das Substrat.A method comprising the steps of: providing a substrate; producing a first array of mandrel structures over the substrate using a first photolithographic process; producing a second array of mandrel structures over the substrate using a second photolithographic process, wherein rows of the first array are interleaved with rows of the second array and columns of the first array are also interleaved with columns of the second array; producing spacers on sidewalls of the mandrel structures; removing the mandrel structures to form trenches at least partially surrounded by the spacers; depositing a copolymer material in the trenches, wherein the copolymer material is directionally self-assembling; inducing microphase separation in the copolymer material to define a first polymer component surrounded by a second polymer component; and transferring a structure corresponding to the first polymer component to the substrate. Verfahren nach Anspruch 19, wobei, von oben betrachtet, die Dornstrukturen im Wesentlichen rechteckig sind und etwa die gleiche Größe haben, und die Größe der Dornstrukturen so gesteuert wird, dass nach dem Auslösen der Mikrophasentrennung eine Anordnung von Inseln des ersten Polymer-Bestandteils in jedem der Gräben entsteht und die Anordnung von Inseln höchstens vier Zeilen und höchstens vier Spalten umfasst.Procedure according to Claim 19 , wherein, viewed from above, the mandrel structures are substantially rectangular and have approximately the same size, and the size of the mandrel structures is controlled such that after initiation of the microphase separation, an array of islands of the first polymer component is formed in each of the trenches and the array of islands comprises at most four rows and at most four columns.
DE102016114435.5A 2016-03-18 2016-08-04 Directed self-assembly process with size-limited lead structures Active DE102016114435B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662310020P 2016-03-18 2016-03-18
US62/310,020 2016-03-18
US15/197,467 2016-06-29
US15/197,467 US10056265B2 (en) 2016-03-18 2016-06-29 Directed self-assembly process with size-restricted guiding patterns

Publications (2)

Publication Number Publication Date
DE102016114435A1 DE102016114435A1 (en) 2017-09-21
DE102016114435B4 true DE102016114435B4 (en) 2024-04-18

Family

ID=59751674

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016114435.5A Active DE102016114435B4 (en) 2016-03-18 2016-08-04 Directed self-assembly process with size-limited lead structures

Country Status (1)

Country Link
DE (1) DE102016114435B4 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070224819A1 (en) 2006-03-23 2007-09-27 Micron Technology, Inc. Topography directed patterning
US20140097520A1 (en) 2012-10-05 2014-04-10 Micron Technology, Inc. Methods of forming an array of openings in a substrate, related methods of forming a semiconductor device structure, and a related semiconductor device structure
US20150147887A1 (en) 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070224819A1 (en) 2006-03-23 2007-09-27 Micron Technology, Inc. Topography directed patterning
US20140097520A1 (en) 2012-10-05 2014-04-10 Micron Technology, Inc. Methods of forming an array of openings in a substrate, related methods of forming a semiconductor device structure, and a related semiconductor device structure
US20150147887A1 (en) 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns

Also Published As

Publication number Publication date
DE102016114435A1 (en) 2017-09-21

Similar Documents

Publication Publication Date Title
DE112012005734B4 (en) Lithographic process and lithographic structure with double hardmask
DE102007026372B4 (en) Method for forming a microstructure in a semiconductor device
DE102016100766A1 (en) STRUCTURING OF CONTACT THROUGH MULTI-PHOTOLITHOGRAPHY AND MULTILATERALITY
DE102016115000B4 (en) Three-dimensional integrated circuit (3DIC) device and method for its manufacture and a method for bonding wafers by means of hybrid bonding
DE102014019674A1 (en) SELF-ADJUSTED CUT-FIRST STRUCTURING THROUGH LITHOGRAPHY AND CORROSIVE
DE102019104529A1 (en) Manufacture of a large height metallic top electrode for magnetoresistive Random Access Memory (MRAM) devices measuring less than 60 nm
DE102008007671A1 (en) Process for forming fine structures of a semiconductor device
DE4433845A1 (en) Method of manufacturing a three-dimensional integrated circuit
DE102017119151B4 (en) Process for manufacturing conductors and semiconductor device with conductors
DE102013103976A1 (en) Semiconductor device with self-aligned connections and barrier sections
DE102021111318A1 (en) 3D MEMORY ARRAY CONTACT STRUCTURES
DE102017125781A1 (en) Method for removing an etching mask
DE102020122109A1 (en) PHASE CHANGE MEMORY DEVICE AND METHOD
DE102021101467A1 (en) SEMICONDUCTOR STRUCTURING AND RESULTING STRUCTURES
DE102009004550B4 (en) Process for the formation of intermediates
US10692725B2 (en) Directed self-assembly process with size-restricted guiding patterns
DE102021105045A1 (en) MEMORY MATRIX AND METHOD OF PRODUCTION
DE102016114435B4 (en) Directed self-assembly process with size-limited lead structures
DE102020102450A1 (en) EUV photomasks and manufacturing methods of them
DE102006043113B3 (en) A method of processing a structure of a semiconductor device and structure in a semiconductor device
DE102019123165B4 (en) CONNECTION COMPONENT AND METHOD
DE102020100092B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017127390B4 (en) Method of manufacturing a semiconductor device
DE102021110022A1 (en) INTERCONNECT STRUCTURE FOR SEMICONDUCTOR DEVICES
EP1446829B1 (en) Method for forming a structure in a semiconductor substrate

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division