DE102013001962A1 - Lithographieprozess - Google Patents

Lithographieprozess Download PDF

Info

Publication number
DE102013001962A1
DE102013001962A1 DE102013001962.1A DE102013001962A DE102013001962A1 DE 102013001962 A1 DE102013001962 A1 DE 102013001962A1 DE 102013001962 A DE102013001962 A DE 102013001962A DE 102013001962 A1 DE102013001962 A1 DE 102013001962A1
Authority
DE
Germany
Prior art keywords
overlay
correction
module
defiovl
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013001962.1A
Other languages
English (en)
Other versions
DE102013001962B4 (de
Inventor
Yung-Yao Lee
Ying Ying Wang
Heng-Hsin Liu
Chin-Hsiang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013001962A1 publication Critical patent/DE102013001962A1/de
Application granted granted Critical
Publication of DE102013001962B4 publication Critical patent/DE102013001962B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Es wird ein Prozess zur Verwendung bei der Lithographie, wie z. B. Fotolithographie zur Strukturierung eines Halbleiterwafers. offenbart. Der Prozess enthält Empfangen eines eintreffenden Halbleiterwafers mit darauf ausgebildeten zahlreichen Merkmalen und Schichten. Eine Einheits-induzierte Überlagerungs-(Unit-Induced Overlay (uniiOVL))-Korrektur wird empfangen und eine Verformungsmessung wird an dem eintreffenden Halbleiterwafer in einem Überlagerungsmodul durchgeführt. Eine verformungsinduzierte Überlagerung(Deformation-Induced Overlay (defiOVL))-Korrektur wird anhand der Ergebnisse der Verformungsmessung durch Verwendung eines vorab festgelegten Algorithmus bei den Ergebnissen der Verformungsmessung erzeugt. Die defiOVL- und uniiOVL-Korrekturen werden an ein Belichtungsmodul weitergeleitet und ein Belichtungsprozess wird an dem eintreffenden Halbleiterwafer durchgeführt.

Description

  • HINTERGRUND
  • Die Halbleiter-IC(integrated circuit)-Industrie verzeichnete rapide Zuwächse. Im Laufe der IC-Entwicklung ist die Funktionsdichte (d. h. die Anzahl von verschalteten Bauelementen pro Chipfläche) im Allgemeinen gestiegen, während die Geometriegröße (d. h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsprozesses geschaffen werden kann) abgenommen hat. Dieser Maßstabreduzierungsprozess sorgt allgemein für Vorteile durch Erhöhen der Produktionseffizienz und Senken der verbundenen Kosten. Eine derartige Maßstabreduzierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und damit diese Vorteile realisiert werden können, sind ähnliche Entwicklungen in der IC-Herstellung notwendig.
  • Halbleiterbauelemente werden durch Erzeugung einer Abfolge von strukturierten und unstrukturierten Schichten hergestellt, wobei die Merkmale auf strukturierten Schichten in einem räumlichen Zusammenhang stehen. Somit fluchtet jede strukturierte Schicht während der Herstellung mit einer vorherigen strukturierten Schicht und wird somit eine Überlagerung (overlay) zwischen einer ersten Schicht und einer zweiten Schicht berücksichtigt. Diese Überlagerung ist die relative Position zwischen zwei oder mehr Schichten eines Halbleitersubstrats, wie zum Beispiel eines Wafers. Da sich Halbleiterprozesse entwickeln, um für kleinere kritische Abmessungen zu sorgen, und Bauelemente in der Größe abnehmen und in der Komplexität, enthaltend Anzahl von Schichten, zunehmen, wird die Ausrichtpräzision zwischen Schichten zunehmend wichtiger für die Qualität, Zuverlässigkeit und Ausbeute der Bauelemente. Die Ausrichtpräzision wird gewöhnlich als Überlagerungsversatz oder Überlagerungsfehler (overlay error) oder als die Strecke und Richtung, über/in der eine Schicht gegenüber präziser Ausrichtung zu einer vorherigen Schicht versetzt ist, gemessen. Fluchtungsfehler können zu einer verminderten Bauelementleistung oder zu vollständigem Bauelementausfall führen. Herkömmliches Überlagerungsmesswesen wird zur Überprüfung von Fluchtung verwendet, aber ist nicht in allen Belangen zufriedenstellend gewesen. Es werden daher Verbesserungen auf diesem Gebiet gewünscht.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Der gegenwärtige Anspruch bezieht sich auf einen Lithographieprozess gemäß dem unabhängigen Anspruch 1. Vorteilhafte Ausführungsformen werden in den abhängigen Ansprüchen 2 und 3 und in den abhängigen Ansprüchen 5 bis 9, die sich auf den unabhängigen Anspruch 1 rückbeziehen, definiert.
  • Bei einer Ausführungsform des Prozesses gemäß Anspruch 3 erhält das eintreffende Substrat eine Belichtung durch das Belichtungsmodul mit der defiOVL-Korrektur und der uniiOVL-Korrektur, um ein strukturiertes Substrat zu bilden. Vorzugsweise wird in dieser Ausführungsform das strukturierte Substrat zum Überlagerungsmodul für eine neue Überlagerungsmessung geschickt.
  • Die vorliegende Erfindung betrifft auch einen Lithographieprozess zur Verwendung in einem Lithographiesystem, das ein Belichtungsmodul, ein Track-Modul und ein Überlagerungsmodul enthält, gemäß dem unabhängigen Anspruch 4. Vorteilhafte Ausführungsformen sind in den abhängigen Ansprüchen 5 bis 9, die mit dem unabhängigen Anspruch 4 verbunden sind, definiert.
  • In einer bevorzugten Ausführungsform kann der Prozess nach Anspruch 4 ferner umfassen:
    nach der Verformungsmessung Beschichten des eintreffenden Substrats mit einer Resistschicht in dem Track-Modul, wobei der Belichtungsprozess an dem mit Resist beschichteten Substrat durchgeführt wird, um ein strukturiertes Substrat zu bilden; und
    Schicken des strukturierten Substrats zum Überlagerungsmodul für eine neue Überlagerungsmessung.
  • Die vorliegende Erfindung betrifft auch einen Lithographieprozess gemäß dem unabhängigen Anspruch 10.
  • In einer Ausführungsform des Prozesses nach Anspruch 10 wird der eintreffende Halbleiterwafer nach der Verformungsmessung mit einer Resistschicht für den Belichtungsprozess beschichtet.
  • Der Prozess nach Anspruch 10 kann vorzugsweise ferner umfassen:
    gleichzeitig mit dem Weiterleiten der defiOVL-Korrektur Weiterleiten der uniiOVL-Korrektur zum Belichtungsmodul; und
    Belichten des eintreffenden Halbleiterwafers durch das Belichtungsmodul mit der defiOVL-Korrektur und der uniiOVL-Korrektur.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird anhand der folgenden ausführlichen Beschreibung in Verbindung mit den beigefügten Figuren am besten verständlich werden. Es wird betont, dass gemäß mit der gängigen Praxis in der Industrie zahlreiche Merkmale nicht maßstabsgerecht gezeichnet sind und nur für Darstellungszwecke verwendet werden. In der Tat können die Abmessungen der zahlreichen Merkmale für eine klare Erläuterung beliebig vergrößert oder verringert werden.
  • 1 zeigt ein vereinfachtes Blockdiagramm eines Lithographiesystems zur Implementierung von einer oder mehreren Ausführungsformen der vorliegenden Erfindung.
  • 2 zeigt ein vereinfachtes Blockdiagramm einer Ausführungsform eines Belichtungsmoduls des Lithographiesystems in 1.
  • 3 zeigt ein Ablaufdiagramm eines Lithographieprozesses gemäß zahlreichen Aspekten der vorliegenden Offenbarung.
  • 4 zeigt eine beispielhafte Ausführungsform einer Verformungskarte, die von dem Lithographieprozess von 3 verwendet und/oder erzeugt wird.
  • 5 zeigt eine beispielhafte Ausführungsform einer Überlagerungsfehlerkarte, die von dem Lithographieprozess von 3 verwendet und/oder erzeugt wird.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele unterschiedliche Ausführungsformen oder Beispiele zur Implementierung von unterschiedlichen Merkmalen der Erfindung liefert. Unten werden spezielle Beispiele für Komponenten und Anordnungen zur Vereinfachung der vorliegenden Offenbarung beschrieben. Diese stellen selbstverständlich lediglich Beispiele dar und sollen nicht beschränkend ausgelegt werden. Außerdem kann die Leistung eines ersten Prozesses vor einem zweiten Prozess in der folgenden Beschreibung Ausführungsformen einschließen, bei denen der zweite Prozess unmittelbar nach dem ersten Prozess durchgeführt wird, und auch Ausführungsformen einschließen, bei denen zusätzliche Prozesse zwischen den ersten und zweiten Prozessen durchgeführt werden können. Zahlreiche Merkmale können der Einfachheit und Klarheit halber willkürlich in unterschiedlichen Maßstäben gezeichnet sein. Ferner kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen einschließen, bei denen die ersten und zweiten Merkmale in direktem Kontakt ausgebildet werden, und auch Ausführungsformen einschließen, bei denen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen ausgebildet werden können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt stehen können.
  • 1 zeigt ein vereinfachtes Blockdiagramm eines Lithographiesystems 100 zur Durchführung von zahlreichen Lithographiestrukturierungsprozessen. Das Lithographiesystem 100 enthält zahlreiche Bearbeitungswerkzeuge und Vermessungswerkzeuge, die miteinander gekoppelt und zur Durchführung von zahlreichen Lithographieprozessen konfiguriert sind, die Beschichten, Ausrichten, Belichten, Aufheizen (baking), Entwickeln und/oder andere Lithographiestrukturierungsprozesse enthalten. Somit werden diese gekoppelten Bearbeitungswerkzeuge und Vermessungswerkzeuge gemeinsam als das Lithographiesystem 100 bezeichnet. Jedes Werkzeug des Lithographiesystems 100 kann jedoch neu konfiguriert sein, zum Beispiel neu konfiguriert sein, damit es mit anderen Lithographiewerkzeugen gekoppelt oder Teil eines anderen Lithographiesystems ist.
  • Das Lithographiesystem 100 enthält ein Belichtungsmodul 110, das zur Durchführung eines Lithographiebelichtungsprozesses an einer strahlungsempfindlichen Materialschicht (z. B. Photolackschicht oder Resistschicht) auf einem Substrat bestimmt ist. Unter Bezugnahme auf 2 enthält das Belichtungsmodul 110 eine Strahlenquelle 112, ein Belichtungssystem 113, eine Maske 114 (in der vorliegenden Offenbarung werden die Begriffe Maske, Photomaske und Reticle zur Bezeichnung desselben Gegenstands verwendet), eine Vielzahl von Projektionsoptiken 115 und ein Substrat 116, wie zum Beispiel einen Halbleiterwafer auf einem Substrattisch. Es können jedoch andere Konfigurationen und der Einschluss oder die Weglassung von Einrichtungen möglich sein.
  • Die Strahlenquelle 112 kann eine Lichtquelle enthalten, die aus der Gruppe ausgewählt ist, die aus Ultraviolett(UV)-Quelle, Tief-UV(DUV (deep UV))-Quelle, Extrem-UV(EUV (extreme UV))-Quelle und Röntgenquelle besteht. Zum Beispiel kann die Strahlenquelle 110 eine Quecksilberlampe mit einer Wellenlänge von 436 nm (G-Linie) oder 365 nm (I-Linie), ein Kryptonfluorid(Krf)-Excimer-Laser mit Wellenlänge von 248 nm, ein Argonfluorid(ArF)-Excimer-Laser mit einer Wellenlänge von 193 nm, ein Fluorid(F2)-Excimer-Laser mit einer Wellenlänge von 157 nm oder andere Lichtquellen mit einer gewünschten Wellenlänge (z. B. unter ca. 100 nm) sein. In einem anderen Beispiel ist die Lichtquelle eine EUV-Quelle mit einer Wellenlänge von ca. 13,5 nm oder weniger.
  • Das Beleuchtungssystem 113 kann refraktive Optiken, wie z. B. eine Einzellinse oder ein Linsensystem mit mehreren Linsen, und reflektive Optiken, wie z. B. Spiegel, enthalten. Zum Beispiel kann das Beleuchtungssystem 113 Mikrolinsenanordnungen, Schattenmasken und/oder andere Strukturen, die entworfen sind, um dabei zu helfen, Licht von der Lichtquelle 112 in Richtung zur Maske 114 zu lenken, enthalten.
  • Die Maske 114 kann eine transparent Maske oder eine reflektierende Maske sein. Eine transparente Maske enthält ein transparentes Substrat und eine strukturierte Absorptionsschicht (lichtundurchlässige Schicht). Ein Lichtstrahl kann, wenn er auf eine lichtundurchlässige Region gelenkt wird, teilweise oder vollständig ausgeblendet bzw. gesperrt werden. Die lichtundurchlässige Schicht kann so strukturiert sein, dass sie eine oder mehrere Öffnungen aufweist, durch die ein Lichtstrahl hindurchgehen kann (für eine transparente Maske) oder von einer reflektierenden Region reflektiert (für eine reflektierende Maske). Die Maske 114 kann Techniken zur Verbesserung der Auflösung, wie z. B. Phasenverschiebungsmaske (phase shift mask (PSM)) und/oder optische Nahbereichskorrektur (optical proximity correction (OPC)) enthalten.
  • Die Projektionsoptiken 115 können refraktive Optiken oder reflektive Optiken aufweisen. Die Projektionsoptiken 115 lenken die strukturierte Strahlung in Richtung auf das Substrat 116 (z. B. einen Halbleiterwafer). Das Substrat 116 kann eine lichtempfindliche Schicht (z. B. Fotolack oder Resist) enthalten, die für die Strahlung empfindlich ist. Das Substrat 116 kann von einem Zielsubstrattisch gehalten werden. Der Zielsubstrattisch sorgt für eine Steuerung der Zielsubstratposition, so dass das Bild des Reticles auf dem Zielsubstrat wiederholt gescannt wird (obwohl andere Lithographieverfahren möglich sind).
  • Unter nochmaliger Bezugnahme auf 1 enthält das Lithographiesystem 100 auch ein mit dem Belichtungsmodul 110 gekoppeltes Track-Modul 120. Das Track-Modul 120 führt eine Resist-Behandlung an einem eintreffenden Substrat durch. Die Resist-Behandlung beinhaltet Beschichten, Ausheizen (Baking) und Entwickeln gemäß einer Ausführungsform. In einer anderen Ausführungsform beinhaltet die Resist-Behandlung Beschichten, Soft-Baking, Hard-Baking und Entwickeln. Das Track-Modul 120 ist mit dem Belichtungsmodul 110 so gekoppelt, dass das Substrat (z. B. ein Halbleiterwafer) zwischen diesen ausgetauscht werden kann.
  • Das Lithographiesystem 100 enthält auch ein Ausrichtmodul 130, das konfiguriert ist, um mit dem Belichtungsmodul 110 gekoppelt zu werden. Das Ausrichtmodul 130 ist mit dem Belichtungsmodul 110 zur Ausrichtung eines eintreffenden Substrats gekoppelt. Das Ausrichtmodul 130 kann eigenständig oder in dem Belichtungsmodul 110 eingebettet sein. In einer Ausführungsform enthält der Ausrichtungsprozess Messen der Ausrichtungsmarkierungen relativ zu einer Referenzstruktur in dem Substrat, wie z. B. ein virtuelles Gitter, um den Ausrichtungsfehler (Fluchtungsfehler) zu definieren. In einer Ausführungsform wird der gemessene Ausrichtungsfehler für einen geeigneten Abstimmung- bzw. Einstellprozess zur Reduktion des Fluchtungsfehlers und Überlagerungsfehlers verwendet.
  • Das Lithographiesystem 100 enthält auch ein Überlagerungsmodul 140, das gestaltet ist, um für Überlagerungskorrekturen an dem Belichtungsmodul 110 zu sorgen. Das Überlagerungsmodul 140 enthält eine Überlagerungsmesswerkzeug 142 zur Durchführung einer Überlagerungsmessung, nachdem die Resistschicht auf dem Substrat strukturiert worden ist. Zum Beispiel werden Überlagerungsfehler zwischen der strukturierten Resistschicht und der darunterliegenden Materialschicht auf dem Substrat gemessen. In der vorliegenden Ausführungsform enthält das Überlagerungsmodul 140 auch ein Verformungsmesswerkzeug 144 zur Messung der Verformung eines eintreffenden Substrats. Durch Verwendung von Überlagerungsmessungen und Verformungsmessungen werden Überlagerungsfehlerkorrekturen in dem Überlagerungsmodul 140 erzeugt und zum Belichtungsmodul 110 gesendet. Entsprechend den Überlagerungsfehlerkorrekturen können Belichtungsprozessparameteanpassungen bzw. -einstellungen zur Belichtung des eintreffenden Substrats verwendet werden.
  • Das Lithographiesystem 100 enthält auch ein Steuermodul 150, das zur Steuerung des Belichtungsmoduls 110 oder zur Steuerung anderer Abschnitte des Lithographiesystems 100 gestaltet ist, um zahlreiche Parameter abzustimmen bzw. einzustellen und den Überlagerungsfehler zu beseitigen oder zu reduzieren. Das Steuermodul 150 enthält einen Prozessor, Speicher und eine Schnittstelle zum Speichern und Ausführen von Software und zum Durchführen von einem oder mehreren Abstimmprozessen. In einer Ausführungsform kann das Steuermodul 150 die Ausrichtungsdaten von der Ausrichtungsmessung zur Durchführung eines Abstimmprozesses verwenden. In einer Ausführungsform enthält der Abstimmprozess Abstimmen/Anpassen/Einstellen eines Neigungswinkels des Substrats (oder Reticles) und/oder der Abbildungseinheit des Belichtungsmoduls 110 zur Reduzierung des Überlagerungsfehlers. In einer anderen Ausführungsform enthält der Abstimmprozess Verschieben (translatorisch und/oder rotatorisch) des Substrats während des Belichtungsprozesses. In einer weiteren Ausführungsform enthält der Abstimmprozess dynamisches Abstimmen der Belichtungsdosis oder der Abbildungslinse. In zahlreichen Ausführungsformen kann das Steuermodul 150 konfiguriert sein, um eigenständig oder mit anderen Werkzeugen des Lithographiesystems 100, wie z. B. dem Belichtungsmodul 110, integriert und gekoppelt zu sein.
  • Das Lithographiesystem 100 kann andere Komponenten zur Kopplung mit anderen Werkzeugen oder Komponenten und Durchführung von zahlreichen Lithographieprozessen enthalten. Das Lithographiesystem 100 kann auch Rechnerhardware, wie z. B. einen oder mehrere herkömmliche, kommerziell erhältliche Universal- oder Spezialcomputer, einen Prozessor und einen Speicher und/oder eine oder mehrere Benutzerschnittstellen, und Hardware zur Durchführung von Aktionen, die Manipulieren von Information, Empfangen von Information, Speichern von Information und Übertragen von Information einschließen, enthalten.
  • 3 zeigt ein Ablaufdiagramm eines von dem Lithographiesystem 100 von 1 durchgeführten Lithographieprozesses 200 gemäß zahlreichen Ausführungsformen. Der Lithographieprozess 200 in 3 liefert einen Überblick und mit jedem Schritt in dem Verfahren verbundene Details werden in Verbindung mit den nachfolgenden Figuren in der vorliegenden Offenbarung beschrieben werden.
  • Der Lithographieprozess 200 beginnt bei Schritt 202 mit Empfangen des eintreffenden Substrats 310. In einer Ausführungsform ist das eintreffende Substrat 310 ein IC(Intregrated Circuit)-Substrat, wie z. B. ein Halbleiterwafer (oder Wafer), das einen elementaren Halbleiter, wie z. B. kristallines Silizium, polykristallines Silizium, amorphes Silizium, Germanium und Diamant, einen Verbundhalbleiter, wie z. B. Siliziumkarbid und Galliumarsen, ein Legierungshalbleiter, wie z. B. SiGe, GaAsP, AlInAs, AlGaAs und GaInP oder eine Kombination derselben, aufweist. Das eintreffende Substrat 310 kann zahlreiche Regionen, Merkmale für zahlreiche mikroelektronische Komponenten, wie z. B. einen CMOSFET (Complementary Metal-Oxide-Semiconductor Field-Effect Transistor), einen Abbildungssensor, eine Speicherzelle und/oder ein kapazitives Element, enthalten. Das eintreffende Substrat 310 kann eine oder mehrere Schichten, wie z. B. dielektrische Schichten und leitfähige Schichten, die darauf ausgebildet sind, enthalten.
  • Der Lithographieprozess 200 fährt mit Schritt 204 fort, in dem eine Verformungsmessung an dem eintreffenden Substrat 310 von dem Verformungsmesswerkzeug 144 durchgeführt wird. Das eintreffende Substrat 310 kann vorherige Prozesse, wie z. B. Filmabscheidung, Tempern (anneal) chemisch-mechanisches Polieren (Chemical Mechanical Polishing (CMP)) und Lithographieprozesse durchlaufen haben. Eine Verformung des eintreffenden Substrats 310 wird häufig beobachtet (z. B. Bogen, Krümmung oder Welligkeit). Wenn z. B. auf das eintreffende Substrat 310 ein Stapel von Filmen, wie z. B. Siliziumoxid, Siliziumnitrid und Metallschichten, abgeschieden worden ist, kann jeder Film in dem Stapel zu einer zusammengesetzten Spannung in dem eintreffenden Substrat 310 beitragen und kumulieren. Darüber hinaus kann die zusammengesetzte Spannung des eintreffenden Substrats 310 in Abhängigkeit von dem Typ, der Dicke und den Aufnahmebedingungen während Filmbildungen jedes Films Druck- oder Zugspannung sein, die dazu führen kann, dass das eintreffende Substrat 310 eine konvexe Gestalt, eine konkave Gestalt oder eine andere Verformung aufweist. Die Verformung kann global (z. B. gesamter Wafer) oder lokal (z. B. ein Teil des Wafers) sein. Als ein weiteres Beispiel kann eine gesamte thermomechanische Spannung aufgrund von unterschiedlicher Wärmeausdehnung unter jeder Filmschicht, die in dem eintreffenden Substrat 310 ausgebildet ist, während eines Temperprozesses aufgebaut werden und kann sie verursachen, dass sich das eintreffende Substrat 310 biegt und verformt. Als ein weiteres Beispiel kann sich eine gesamte aufgebaute Spannung, wenn ein CMP-Prozess eine obere Schicht in dem eintreffenden Substrat 310 entfernt, ändern und auch zu einer Änderung der Verformung führen. Die Verformung kann einen Überlagerungsfehler verursachen, wenn besagtes eintreffendes Substrat 310 einem Lithographiestrukturierungsprozess unterzogen wird. Da jedes eintreffende Substrat 310 eine andere Prozessbedingung als jede andere in vorherigen Prozessen erfahren kann, weist dieser verformungsinduzierte Überlagerungs(defiOVL (Deformation-induced Overlay))-Fehler eine Echtzeitnatur auf und ist er kaum vorherzusagen.
  • In der vorliegenden Ausführungsform wird das eintreffende Substrat 310 dem Verformungsmesswerkzeug 144 zugestellt, um eine präzise quantitative Messung von Topographievariationen zu erhalten, die als ein Verformungsdatum 312 bezeichnet wird. Das Verformungsmesswerkzeug 144 enthält Abtastinterferometer (Scanning Interferometer), optisches Abtastdeflektometer (Scanning Deflectometer), Atomkraftmikroskopie (Atomic Force Microscopy) und andere geeignete Werkzeuge. In einer Ausführungsform wird das eintreffende Substrat 310 durch Prozesssteuer- und Ertragsmanagementwerkzeug, das von KLA-Tencor Corp. bereitgestellt ist, vermessen, und eine zweidimensionale Topographiekarte der Verformungsdaten 312 wird erzeugt, wie dies in 4 gezeigt ist. In einer anderen Ausführungsform wird ein Verformungsdatum 312 des eintreffenden Substrats 310 als eine dreidimensionale Topographiekarte durch Verwendung eines von Zygo Gradient Corp. bereitgestellten Computersteuer- und Prozessüberwachungswerkzeugs gezeigt.
  • Der Lithographieprozess 200 fährt mit Schritt 206 fort, in dem eine defiOVL-Korrektur 314 anhand des Verformungsdatums 312 erzeugt wird. Die defiOVL-Korrektur 314 wird durch Verwendung eines vorab festgelegten Algorithmus oder Algorithmen erzeugt. Der vorab festgelegte Algorithmus kann eine empirische Formel anhand vorheriger Daten eines Überlagerungsfehlers, die Verformungsmessungen entsprechen, enthalten. In einer Ausführungsform lautet die empirische Formel: DefiOVL = TG × K, wobei TG ein Topographiegradient ist und K eine Konstante ist, die durch Prozessbedingungen, wie z. B. aktueller Lithographieschritt, vorheriger Bearbeitungsschritt und ausgehender Filmtyp, bestimmt sein können. In der vorliegenden Ausführungsform wird die defiOVL-Korrektur 314 anhand des eintreffenden Substrats 310 erhalten und enthält sie somit eine Echtzeit-Charakteristik. Dann wird die defiOVL-Korrektur 314 dem Belichtungsmodul 110 zugeführt, bevor eine Belichtung an dem eintreffenden Substrat 310 durchgeführt wird, um eine Echtzeit-defiOVL-Steuerung zu erzielen, die später ausführlicher beschrieben wird.
  • Gleichzeitig mit den Schritten 204 und 206 fährt der Litographieprozess 200 mit Schritt 205 fort, in dem eine Überlagerungsmessung an einem strukturierten Substrat 510 durchgeführt wird. Das strukturierte Substrat 510 ist ein vorheriges eintreffendes Substrat 310 nach Unterziehung eines Strukturierungsprozesses, der später im Detail beschrieben werden wird. Die Überlagerungsmessung kann von dem Überlagerungswerkzeug 142 in 1 durchgeführt werden. Das Überlagerungswerkzeug 142 kann ein bildbasiertes Überlagerungsmesssystem oder ein beugungsbasiertes Überlagerungsmesssystem enthalten. Zum Beispiel kann das Überlagerungswerkzeug 142 mit einer Kamera ausgestattet sein, die zum Scannen und Erzeugen eines Überlagerungsbildes fähig ist. Das Überlagerungsbild kann ein digitalisiertes Bild einer Überlagerungsmarkierung sein, die auf dem strukturierten Substrat 510 vorgesehen ist. Die Überlagerungsmarkierung kann in unterschiedlichen Konfigurationen, wie z. B. Box in Box, Rahmen in Rahmen (Frame-in-Frame) und Kreuz in Box, konstruiert sein. Das Überlagerungsbild kann dann digitalisierte Bilder und Prozesse unter Verwendung von zahlreichen Bildanalysealgorithmen zur Bestimmung eines Überlagerungsfehlers 412, zum Beispiel einer Überlagerungsfehlerkarte, sein. Beispielsweise wird eine virtuelle Überlagerungsfehlerkarte anhand des Überlagerungsfehlers 412 erzeugt, wie dies in 5 gezeigt ist. Die virtuelle Überlagerungsfehlerkarte kann durch Vergleich der durch das Überlagerungsbild erzeugten Überlagerungsmesswerte auf einer Punkt-zu-Punkt-Basis konstruiert sein. Der Überlagerungsfehler 412 kann sich anhand einer Fehlanpassung/oder Fehlausrichtung zwischen Prozesseinheiten, wie z. B. zwischen einem Belichtungswerkzeug des aktuellen Prozesses und desjenigen eines vorherigen Prozesses, zwischen Maske und dem Substrat und/oder Prozessvariationen, ergeben. Der Überlagerungsfehler 412 wird als ein Einheits-induzierter Überlagerungs(Unit-Induced Overlay (uniOVL))-Fehler bezeichnet.
  • Gleichzeitig mit den Schritten 204 und 206 fährt der Litographeprozess 200 mit Schritt 207 fort, in dem eine uniiOVL-Korrektur 414 anhand des uniiOVL-Fehlers 412 erzeugt wird. Der uniiOVL 412 wird an eine Datenbank 413 übertragen. Die Datenbank 413 verwaltet mehrere Datenbanktypen, wie z. B. Maskendatenbank, Überlagerungsversatzsdatenbank und den uniiOVL-Fehler 412. Die Maskendatenbank enthält eine Darstellung der Maskenmerkmale in einem vorab festgelegten Maskenentwurfstandard, wie z. B. GDSII, OASIS oder MEBES®, eingetragene Marke von Applied Materials. Die Überlagerungsversatzdatenbank enthält Versätze, die absichtlich für die Maske entworfen wurden und darin enthalten sind. In der Datenbank 413 wird eine Überlagerungskorrektur ermittelt, indem ein vorab festgelegter Algorithmus auf Datenbanken in der Datenbank 413, wie z. B. Maskendatenbank, Überlagerungsversatzdatenbank und den uniiOVL-Fehler 412, angewendet wird. Die Überlagerungskorrektur 414 wird als eine uniiOVL-Korrektur bezeichnet. Die uniiOVL-Korrektur 414 wird dem Belichtungsmodul 110 zugeführt, bevor eine Belichtung an dem eintreffenden Substrat 310 durchgeführt wird, was unten im Detail beschrieben werden wird.
  • Der Lithographieprozess 200 fährt mit Schritt 208 fort, in dem das eintreffende Substrat 310 strukturiert wird, um das strukturierte Substrat 510 zu bilden. Das eintreffende Substrat 310 wird mit einer Resistschicht beschichtet. Zum Beispiel wird die Resist-Schicht von dem Track-Module 120 in 1 aufgetragen. Vor einer Belichtung können die Belichtungprozessparameter entsprechend der defiOVL-Korrektur 314 und der uniiOVL-Korrektur 414 angepasst bzw. eingestellt werden. Danach empfängt das eintreffende Substrat mit aufgetragener Resistschicht die Strahlungsenergie von dem Belichtungsmodul 110 mit angepassten Belichtungsparametern.
  • Der Lithographieprozess 200 fährt mit Schritt 210 fort, in dem ein strukturiertes Substrat 510 gebildet wird. Nach Erhalt der Belichtung werden ein Post-Exposure-Baking(PEB)- und Entwicklungsprozesse an dem eintreffenden Substrat 310 durchgeführt, um ein strukturiertes Substrat 510 zu bilden. Das strukturierte Substrat 510 wird zur Durchführung einer weiteren Überlagerungsmessung gesendet, die in vielerlei Hinsicht derjenigen ähnelt, die oben in Schritt 205 erörtert wurde. Die Ergebnisse der Überlagerungsfehlermessung des strukturierten Substrats 510 werden zur Erzeugung einer neuen uniiOVL-Korrektur im nächsten Durchlauf des Belichtungsprozesses verwendet werden.
  • Es können zusätzliche Schritte vor, während und nach dem Lithographieprozess 200 vorgesehen sein und einige der beschriebenen Schritte können in anderen Ausführungsformen des Verfahrens ersetzt oder eliminiert werden. Zusätzlich können einige Schritte gleichzeitig mit anderen Schritten durchgeführt werden.
  • Auf der Basis des oben geschilderten ist ersichtlich, dass die vorliegende Offenbarung einen Prozess zur Run-to-Run(R2R)-Überlagerungssteuerung liefert. Der Prozess liefert nicht nur eine Einheits-induzierte Überlagerungsfehlersteuerung, sondern auch eine verformungsinduzierte Echtzeit-Überlagerungsfehlersteuerung bzw. -kontrolle.
  • Die vorliegende Offenbarung liefert viele unterschiedliche Ausführungsformen eines Lithographieprozesses, die eine oder mehrere Verbesserungen gegenüber dem Stand der Technik liefern. In einer Ausführungsform enthält der Lithographieprozess Bereitstellen eines Lithographiesystems. Das Lithographiesystem enthält ein Belichtungsmodul und ein Überlagerungsmodul. Der Lithographieprozess enthält auch Empfangen eines eintreffenden Substrats, Durchführen einer Verformungsmessung an dem eintreffenden Substrat durch das Überlagerungsmodul, Erzeugen einer verformungsinduzierten Überlagerungs(Deformation-induced overlay (defiOVL))-Korrektur anhand der Verformungsmessergebnisse, danach Weiterleiten der defiOVL-Korrektur an das Belichtungsmodul und Durchführen eines Belichtungsprozesses an dem eintreffenden Substrat durch das Belichtungsmodul mit der defiOVL-Korrektur.
  • In einer weiteren Ausführungsform enthält ein Lithographieprozess Bereitstellen eines Lithographiesystems. Das Lithographiesystem enthält ein Belichtungsmodul, ein Track-Modul und ein Überlagerungsmodul. Der Lithographieprozess enthält auch Empfangen eines eintreffenden Substrats und eines Überlagerungsversatzes, Durchführen einer Verformungsmessung an dem eintreffenden Substrat in dem Überlagerungsmodul, Erzeugen einer verformungsinduzierten Überlagerungs(Deformation-Induced Overlay (defiOVL))-Korrektur anhand der Verformungsmessergebnisse, Weiterleiten der defiOVL-Korrektur an das Belichtungsmodul. Gleichzeitig mit dem Erzeugen der defiOVL-Korrektur enthält der Lithographieprozess auch Erzeugen einer Einheits-induzierten Überlagerungs(Unit-Induced Overlay (uniiOVL)-Korrektur anhand des Überlagerungsversatzes, danach Weiterleiten der uniiOVL-Korrektur an das Belichtungsmodul und Durchführen eines Belichtungsprozesses an dem eintreffenden Substrat durch das Belichtungsmodul mit der defiOVL-Korrektur und der uniiOVL-Korrektur.
  • In einer weiteren Ausführungsform enthält ein Lithographieprozess Bereitstellen eines Lithographiesystems. Das Lithographiesystem enthält ein Belichtungsmodul, ein Track-Modul und ein Überlagerungsmodul. Der Lithographieprozess enthält auch Empfangen eines eintreffenden Halbleiterwafers mit zahlreichen Merkmalen und darauf ausgebildeten Schichten und einer Einheits-induzierten Überlagerungs(Unit-Induced Overlay (uniiOVL))-Korrektur, Durchführen einer Verformungsmessung an dem eintreffenden Halbleiterwafer in dem Überlagerungsmodul, Erzeugen einer verformungsinduzierten Overlay(Deformation-induced Overlay (defiOVL))-Korrektur anhand der Verformungsmessergebnisse durch Verwenden eines vorab festgelegten Algorithmus bei den Verformungsmessergebnissen, danach Weiterleiten der defiOVL-Korrektur an das Belichtungsmodul und Belichten des eintreffenden Halbleiterwafers durch das Belichtungsmodul mit der defiOVL-Korrektur.
  • Das Vorangehende behandelt Merkmale von mehreren Ausführungsformen, so dass Fachleute auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen werden. Besagte Fachleute auf dem Gebiet sollten erkennen, dass sie die vorliegende Offenbarung leicht als eine Basis zum Entwerfen oder Modifizieren von anderen Prozessen und Strukturen zur Durchführung derselben Zwecke und/oder Erzielung derselben Vorteile der hierin eingeführten Ausführungsformen verwenden können. Besagte Fachleute auf dem Gebiet sollten auch erkennen, dass derartige äquivalente Konstruktionen nicht aus dem Geist und dem Umfang der vorliegenden Offenbarung fallen und sie zahlreiche Änderungen, Ersetzungen und Alterationen hierin vornehmen können, ohne aus dem Geist und dem Umfang der vorliegenden Offenbarung zu gelangen.

Claims (10)

  1. Lithographieprozess, umfassend: Bereitstellen eines Lithographiesystems, das ein Belichtungsmodul und ein Überlagerungsmodul enthält; Empfangen eines eintreffenden Substrats in dem Lithographiesystem; Durchführen einer Verformungsmessung an dem eintreffenden Substrat durch das Überlagerungsmodul; Erzeugen einer verformungsinduzierten Überlagerungs(Deformation-induced Overlay (defiOVL))-Korrektur anhand der Verformungsmessung; Weiterleiten der defiOVL-Korrektur an das Belichtungsmodul; und Durchführen eines Belichtungsprozesses an dem eintreffenden Substrat durch das Belichtungsmodul mit der defiOVL-Korrektur.
  2. Prozess nach Anspruch 1, ferner umfassend: nach der Verformungsmessung Beschichten des eintreffenden Substrats mit einer Resistschicht.
  3. Prozess nach Anspruch 1 oder 2, wobei das Substrat eine strukturierte Resistschicht enthält, ferner umfassend: Durchführen einer Überlagerungsmessung an der strukturierten Resistschicht; Erzeugen einer Einheits-induzierten Überlagerungs(Unit-induced Overlay (uniiOVL))-Korrektur anhand der Überlagerungsmessung und eines Überlagerungsversatzes; und Weiterleiten der uniiOVL-Korrektur an das Belichtungsmodul; wobei der Belichtungsprozess Strukturieren des eintreffenden Substrats mit der uniiOVL-Korrektur enthält.
  4. Lithographieprozess zur Verwendung in einem Lithographiesystem, das ein Belichtungsmodul, ein Track-Modul und ein Überlagerungsmodul enthält, wobei der Prozess umfasst: Empfangen eines eintreffenden Substrats; Empfangen einer Überlagerungsmessung; Durchführen einer Verformungsmessung an dem eintreffenden Substrat mit dem Überlagerungsmodul; Erzeugen einer verformungsinduzierten Überlagerungs(Deformation-induced Overlay (defiOVL))-Korrektur anhand der Ergebnisse der Verformungsmessung; Weiterleiten der defiOVL-Korrektur an das Belichtungsmodul; gleichzeitig mit dem Erzeugen der defiOVL-Korrektur Erzeugen einer Einheits-induzierten Überlagerungs(Unit-Induced Overlay (uniiOVL))-Korrektur anhand der Überlagerungsmessung; Weiterleiten der uniiOVL-Korrektur an das Belichtungsmodul; und Durchführen eines Belichtungsprozesses an dem eintreffenden Substrat durch das Belichtungsmodul mit der defiOVL-Korrektur und der uniiOVL-Korrektur.
  5. Prozess nach einem der vorangehenden Ansprüche, wobei das eintreffende Substrat ein Halbleiterwafer ist.
  6. Prozess nach Anspruch 5, wobei der Halbleiterwafer mehrere Schichten und/oder darauf ausgebildete mehrere Merkmale enthält.
  7. Prozess nach einem der vorangehenden Ansprüche, wobei die defiOVL-Korrektur durch Verwenden eines vorab festgelegten Algorithmus bei der Verformungsmessung erzeugt wird.
  8. Prozess nach Anspruch 7, wobei der vorab festgelegte Algorithmus eine empirische Formel von DefiOVL = TG × K enthält, wobei TG ein Topographiegradient ist und K eine Konstante ist.
  9. Prozess nach Anspruch 3 oder 4, wobei die uniiOVL-Korrektur durch Verwendung eines vorab festgelegten Algorithmus bei der Überlagerungsmessung und dem Überlagerungsversatz erzeugt wird.
  10. Lithographieprozess, umfassend: Empfangen eines eintreffenden Halbleiterwafers mit darauf ausgebildeten zahlreichen Merkmalen und Schichten; Empfangen einer Einheits-induzierten Überlagerungs(Unit-Induced Overlay (uniiOVL))-Korrektur; Durchführen einer Verformungsmessung an dem eintreffenden Halbleiterwafer in einem Überlagerungsmodul; Erzeugen einer verformungsinduzierten Überlagerungs(Deformation-Induced Overlay(defiOVL))-Korrektur anhand der Ergebnisse der Verformungsmessung durch Verwendung eines vorab festgelegten Algorithmus bei den Ergebnissen der Verformungsmessung; Weiterleiten der defiOVL-Korrektur an ein Belichtungsmodul; und Durchführen eines Belichtungsprozesses an dem eintreffenden Halbleiterwafer durch das Belichtungsmodul mit der defiOVL-Korrektur.
DE102013001962.1A 2012-07-16 2013-01-16 Lithographieprozess Active DE102013001962B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/550,036 2012-07-16
US13/550,036 US8703368B2 (en) 2012-07-16 2012-07-16 Lithography process

Publications (2)

Publication Number Publication Date
DE102013001962A1 true DE102013001962A1 (de) 2014-01-16
DE102013001962B4 DE102013001962B4 (de) 2018-10-18

Family

ID=49781576

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013001962.1A Active DE102013001962B4 (de) 2012-07-16 2013-01-16 Lithographieprozess

Country Status (5)

Country Link
US (1) US8703368B2 (de)
KR (1) KR101412417B1 (de)
CN (1) CN103543611B (de)
DE (1) DE102013001962B4 (de)
TW (1) TWI483064B (de)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176396B2 (en) * 2013-02-27 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9772561B2 (en) 2015-02-13 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing method and tool
US9864280B2 (en) * 2015-10-02 2018-01-09 Applied Materials, Inc. Overlay error correction
JP6489999B2 (ja) 2015-11-19 2019-03-27 東芝メモリ株式会社 位置合わせ方法およびパターン形成システム
KR102372842B1 (ko) * 2016-04-22 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 오버레이 개선을 위한 방법
US10615634B2 (en) * 2016-09-27 2020-04-07 International Business Machines Corporation Using a rechargeable power source for tape cartridge handling
CN109389903B (zh) * 2017-08-04 2021-01-29 京东方科技集团股份有限公司 柔性基板及其加工方法、加工系统
US10859924B2 (en) 2017-11-15 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device and system for performing the same
US10165664B1 (en) 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US11244827B2 (en) * 2018-10-26 2022-02-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing method and apparatus thereof
CN109920751A (zh) * 2019-02-18 2019-06-21 长江存储科技有限责任公司 利用光刻曝光补偿修正晶圆键合对准偏差的方法及系统
US10534276B1 (en) 2019-03-27 2020-01-14 International Business Machines Corporation Lithographic photomask alignment using non-planar alignment structures formed on wafer
CN111146104B (zh) * 2019-11-29 2023-09-05 上海集成电路研发中心有限公司 一种关键尺寸误差分析方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH11121369A (ja) 1997-08-13 1999-04-30 Fujitsu Ltd パターン描画方法及び装置
WO1999049504A1 (fr) * 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
KR20030033067A (ko) * 2000-09-21 2003-04-26 가부시키가이샤 니콘 결상특성의 계측방법 및 노광방법
JP2002222760A (ja) * 2001-01-29 2002-08-09 Canon Inc 露光方法及び露光装置並びにデバイスの製造方法
JP2004029063A (ja) * 2002-06-21 2004-01-29 Adtec Engineeng Co Ltd 密着型露光装置
EP1477851A1 (de) 2003-05-13 2004-11-17 ASML Netherlands B.V. Verfahren zur Herstellung einer Vorrichtung und lithographischer Apparat
WO2005022616A1 (ja) * 2003-08-29 2005-03-10 Nikon Corporation 露光装置及びデバイス製造方法
US7150945B2 (en) * 2003-11-18 2006-12-19 Micron Technology, Inc. Polarized reticle, photolithography system, and method of forming a pattern using a polarized reticle in conjunction with polarized light
KR20070048650A (ko) * 2004-08-31 2007-05-09 가부시키가이샤 니콘 위치 맞춤 방법, 처리 시스템, 기판의 투입 재현성 계측방법, 위치 계측 방법, 노광 방법, 기판 처리 장치, 계측방법 및 계측 장치
US7239368B2 (en) 2004-11-29 2007-07-03 Asml Netherlands B.V. Using unflatness information of the substrate table or mask table for decreasing overlay
US9025136B2 (en) * 2008-09-23 2015-05-05 Applied Materials, Inc. System and method for manufacturing three dimensional integrated circuits
DE102010064281B4 (de) * 2010-12-28 2017-03-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellung einer Kanalhalbleiterlegierung durch Erzeugen eines Hartmaskenschichtstapels und Anwenden eines plasmaunterstützten Maskenstrukturierungsprozesses

Also Published As

Publication number Publication date
DE102013001962B4 (de) 2018-10-18
KR20140010328A (ko) 2014-01-24
CN103543611A (zh) 2014-01-29
KR101412417B1 (ko) 2014-06-25
CN103543611B (zh) 2016-03-30
TW201405240A (zh) 2014-02-01
US8703368B2 (en) 2014-04-22
US20140017604A1 (en) 2014-01-16
TWI483064B (zh) 2015-05-01

Similar Documents

Publication Publication Date Title
DE102013001962B4 (de) Lithographieprozess
US10866524B2 (en) Method and system for overlay control
US9869939B2 (en) Lithography process
US9823585B2 (en) EUV focus monitoring systems and methods
CN1196031C (zh) 在平版印刷中用于减少误差的方法
CN105372945B (zh) 具有增强的覆盖质量的光刻工艺和系统
DE102006054820B4 (de) Verfahren zur Korrektur von Platzierungsfehlern
CN104049468B (zh) 用于在半导体器件制造中实施光刻工艺的系统和方法
DE102006017938A1 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
CN106469235A (zh) 集成电路方法以及集成电路设计系统
DE102006018074A1 (de) Photomaske
CN107885043A (zh) 增进微影可印性的方法
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE60219544T2 (de) Methode zur Naheffekt-Korrektur mit teilweise strahlungsdurchlässigen, nicht aufgelösten Hilfsstrukturen
DE102014209455B4 (de) Verfahren zur Vermessung einer Lithographiemaske oder eines Masken-Blanks
DE102020133281A1 (de) Euv-fotomaske und zugehörige verfahren
DE102017202945A1 (de) Verfahren und Vorrichtung zum Transformieren von Messdaten einer photolithographischen Maske für den EUV-Bereich von einer ersten Umgebung in eine zweite Umgebung
DE102019201497B3 (de) Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung
DE102021106592A1 (de) System und verfahren zur überlagerungsfehlerreduzierung
DE102018206330A1 (de) Verfahren zum Berechnen einer nicht korrigierbaren Ebenheit von EUV-Rohlingen zur Rohlingsdisposition
JP3999775B2 (ja) 自己参照の動的ステップおよび走査フィールド内レンズ歪みのための方法および装置
DE102019009170B3 (de) Verfahren zum durchführen eines lithografieprozesses und lithografieprozess-überwachungsverfahren
US20050285056A1 (en) Process for manufacture of semiconductor chips utilizing a posteriori corrections to machine control system and settings
TW202343156A (zh) 校正方法、曝光方法、物品之製造方法、程式、光學裝置及曝光裝置
BRACKEN et al. Microlithography in Semiconductor Device Processing

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final