DE10053780A1 - Process for structuring a silicon oxide layer - Google Patents

Process for structuring a silicon oxide layer

Info

Publication number
DE10053780A1
DE10053780A1 DE2000153780 DE10053780A DE10053780A1 DE 10053780 A1 DE10053780 A1 DE 10053780A1 DE 2000153780 DE2000153780 DE 2000153780 DE 10053780 A DE10053780 A DE 10053780A DE 10053780 A1 DE10053780 A1 DE 10053780A1
Authority
DE
Germany
Prior art keywords
etching
mask
plasma
etching gas
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE2000153780
Other languages
German (de)
Inventor
Matthias Goldbach
Bastian Hausdoerfer
Ortrun Grahl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE2000153780 priority Critical patent/DE10053780A1/en
Priority to PCT/EP2001/012538 priority patent/WO2002037549A2/en
Publication of DE10053780A1 publication Critical patent/DE10053780A1/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention relates to a method for structuring a silicon oxide layer. According to said method, a substrate comprising a silicon oxide layer with a mask is provided in a plasma reactor. The silicon oxide layer is exposed to a plasma which is produced from an etching gas containing at least one fluorocarbon compound that is selected from the group consisting of compounds of the empirical formula CxHyFz, wherein x = 1 to 5, y = 0 to 4 and z = 2 to 10. The process is optimised by direct switching between the etching and deposition modes, which is achieved by varying the potential difference between the substrate and the plasma.

Description

Die Erfindung betrifft ein Verfahren zur Strukturierung einer Siliziumoxid-Schicht.The invention relates to a method for structuring a silicon oxide layer.

In den Strukturierungsverfahren zum Aufbau integrierter Schaltungen spielen Verfahren zum Ätzen von Siliziumoxid- Schichten eine bedeutende Rolle. In den integrierten Halbleiterschaltungen dienen Siliziumoxid-Schichten unter anderem als isolierende, passivierende Schichten oder selbst als Hartmasken. Siliziumoxid-Schichten finden z. B. Anwendung in der Grabenisolationstechnik, bei der sie zur Isolation benachbarter Transistoren verwendet werden. Darüber hinaus dienen sie als Isolationsschichten bei der Mehrlagenverdrahtung oder dem Aufbau von z. B. MOS- Transistoren. Der Aufbau von integrierten Halbleiterschaltungen erfordert somit die Bereitstellung geeigneter Ätzverfahren mittels derer eine einmal abgeschiedene oder durch thermische Oxidation erzeugte Siliziumoxid-Schicht strukturiert werden kann. Als Ätzverfahren kommen hier insbesondere Plasmaätzverfahren zum Einsatz.Integrated in the structuring process to build Circuits play processes for etching silicon oxide Layers play a significant role. In the integrated Semiconductor circuits are used under silicon oxide layers other than insulating, passivating layers or yourself as hard masks. Silicon oxide layers find z. B. Application in trench isolation technology, where they are used for isolation neighboring transistors can be used. Furthermore they serve as insulation layers in the Multi-layer wiring or building z. B. MOS Transistors. Building integrated Semiconductor circuits therefore require provision suitable etching process by means of which one time deposited or generated by thermal oxidation Silicon oxide layer can be structured. As Etching processes are used here, in particular, plasma etching processes Commitment.

Aufgrund der immer höher werdenden Integrationsdichte der Schaltungen müssen mit den Ätzverfahren immer kleinere kritische Dimensionen und immer höher werdende Aspektverhältnisse realisierbar sein. Um Strukturen, wie z. B. Kontaktlöcher, Vias oder Hartmasken mit immer größeren Aspektverhältnissen ätzen zu können, müssen die Ätzung zum einen möglichst anisotrop verlaufen. Darüber hinaus sollte die Ätzung eine möglichst hohe Selektivität zu den bei der Ätzung als Maske eingesetzten Materialien, wie z. B. Silizium oder polymere Lackmasken, aufweisen. Weist die Ätzung eine nur geringe Selektivität auf, so wird die Maske bei dem Ätzprozeß insbesondere an ihren Profilflanken in einem Maße angegriffen, das zu einer unerwünschten Aufweitung der durch die Maske vorgegebenen Struktur führt. Dadurch wird sowohl das zu erreichende Aspektverhältnis als auch die zu erreichende kritische Dimension nachteilig beeinflußt. Darüber hinaus wird durch eine nur geringe Selektivität des Ätzprozesses die zu erreichende Ätztiefe verringert, da die Maske selbst sehr schnell abgetragen wird. Die Verbesserung der Selektivität von Ätzprozessen für Siliziumoxid-Schichten ist somit Gegenstand ständiger Forschung in der Halbleitertechnologie.Due to the ever increasing integration density of the circuits have to get smaller and smaller with the etching process critical dimensions and ever increasing ones Aspect ratios can be realized. To structures such. B. Contact holes, vias or hard masks with bigger and bigger ones To be able to etch aspect ratios, the one run as anisotropically as possible. In addition, should the etching has the highest possible selectivity to that of the  Etching used as a mask materials such. B. silicon or polymeric lacquer masks. Assigns the etching only low selectivity, so the mask with the Etching process in particular to one extent on their profile flanks attacked, causing an undesirable expansion of the the mask has given structure. This will both the aspect ratio to be achieved as well as the critical dimension reached adversely affected. In addition, the selectivity of the Etching process reduces the etching depth to be achieved, since the Mask itself is removed very quickly. The improvement the selectivity of etching processes for silicon oxide layers is therefore the subject of constant research in the Semiconductor technology.

Als Plasmaätzverfahren für Siliziumoxid-Schichten haben sich dabei Prozesse durchgesetzt, die Fluorkohlenstoffe, bzw. Fluorkohlenwasserstoffe, in den Ätzgasen einsetzten. Dabei stellt sich in dem Plasmagas ein Zustand ein, bei dem neben der Ätzung gleichzeitig ein Abscheiden von polymeren Materialien abläuft. Die Abscheidungs- und die Ätzraten stehen dabei in einem Wechselspiel und sind gegenüber unterschiedlichen Materialien verschieden. Durch eine geeignete Wahl der Prozessparameter können sie so eingestellt werden, dass eine effektive Ätzung selektiv für ein Material erreicht werden kann, wohingegen gleichzeitig auf einem anderen Material die Abscheidung überwiegt. So ist es z. B. bei der Verwendung von CF4 im Ätzgas möglich, die Ätzparameter so einzustellen, dass sich auf Silizium und Siliziumnitrid ein fluorhaltiges Polymer abscheidet, wohingegen Siliziumoxid durch das Plasma geätzt wird. Die Ätzparameter können also so eingestellt werden, dass das Material der zu strukturierenden Schicht geätzt wird und gleichzeitig eine Polymerabscheidung auf dem Material der Maske erfolgt.Processes which use fluorocarbons or fluorocarbons in the etching gases have become established as the plasma etching process for silicon oxide layers. A condition arises in the plasma gas in which, in addition to the etching, polymeric materials are deposited at the same time. The deposition and the etching rates are in an interplay and are different from different materials. Through a suitable choice of the process parameters, they can be set so that an effective etching can be achieved selectively for one material, whereas at the same time the deposition predominates on another material. So it is z. B. when using CF 4 in the etching gas possible to set the etching parameters so that a fluorine-containing polymer is deposited on silicon and silicon nitride, whereas silicon oxide is etched by the plasma. The etching parameters can therefore be set in such a way that the material of the layer to be structured is etched and at the same time a polymer is deposited on the material of the mask.

Die bisherigen Versuche die Selektivität von Ätzprozessen zu erhöhen, haben sich im wesentlichen darauf konzentriert, die Steigerung der Selektivität durch die Verwendung neuer Ätzgase, die Variation der Anlagenparameter im Ätzmodus oder die Änderung des Kammerdesigns zu erreichen. Dabei stellen die in diesen Verfahren verwendeten Ätzparameter immer einen Kompromiß zwischen dem Schutz der Maske durch die Polymerabscheidung auf der einen Seite und der Ätzung der Siliziumoxid-Schicht in den freiliegenden Bereichen auf der anderen Seite dar. Bedingt durch diesen Kompromiß werden in diesen Prozessen weder die optimale Ätzrate für das zu strukturierende Material, noch die optimale Abscheidungsrate des Polymers auf der Maske erreicht.The previous attempts at the selectivity of Essentially, to increase etching processes focused, increasing the selectivity through the Use of new etching gases, the variation of the system parameters in etching mode or to achieve the change in chamber design. In doing so, those used in these procedures Etching parameters always compromise between protecting the Mask through the polymer deposition on one side and the etching of the silicon oxide layer in the exposed Areas on the other side. Conditional on this In these processes, compromise is neither the optimum Etching rate for the material to be structured, nor the optimal deposition rate of the polymer on the mask reached.

In der US-Patentschrift 6,074,959 wird ein Ätzverfahren beschrieben, in dem eine vorzeitige Beendigung des Ätzvorgangs durch übermäßige Polymerabscheidung in Strukturen mit hohen Aspektverhältnis dadurch vermieden wird, dass der Ätzprozess in einem Zweischrittverfahren durchgeführt wird. Dabei wird in einem ersten Schritt ein Ätzrezept gewählt, mit dem die Oxidschicht schnell aber unselektiv geätzt wird. In einem zweiten Schritt werden durch Veränderung der Ätzchemie, d. h. der fluorhaltigen Verbindungen, sowie der in den Plasmareaktor eingekoppelten Leistungen selektivere Ätzbedingungen geschaffen.An etching process is disclosed in US Pat. No. 6,074,959 described in which an early termination of the Etching due to excessive polymer deposition in structures with a high aspect ratio is avoided by the fact that the Etching process is carried out in a two-step process. In a first step, an etching recipe is selected with which the oxide layer is quickly but unselectively etched. In a second step is by changing the etching chemistry, d. H. the fluorine-containing compounds, as well as in the Plasmar reactor coupled services more selective Etching conditions created.

Neben den oben beschriebenen allgemeinen Nachteilen ist an diesem Verfahren weiterhin nachteilig, dass die Steigerung der Selektivität des Ätzverfahrens eine Veränderung der Ätzchemikalien bedingt. Dabei wird das Plasma zwischen den Wechsel der Ätzchemie nicht aufrecht erhalten. Dieser Wechsel zieht somit Wartezeiten nach sich, in denen sich erneut ein stationärer Zustand im Plasma einstellen muß.In addition to the general disadvantages described above Another disadvantage of this process is that the increase a change in the selectivity of the etching process  Etching chemicals conditional. The plasma is between the Change in etching chemistry not maintained. This change thus entails waiting times in which to reappear must set steady state in the plasma.

Der vorliegenden Erfindung liegt daher die Aufgabe zugrunde, ein Verfahren zur Strukturierung einer Siliziumoxid-Schicht bereitzustellen, welches die oben beschriebenen Nachteile verringert bzw. ganz vermeidet. Insbesondere ist es die Aufgabe der vorliegenden Erfindung, ein Verfahren bereitzustellen, mit dem Strukturen mit hohem Aspektverhältnis mit einer hohen Selektivität gegenüber der verwendeten Maske in eine Siliziumoxid-Schicht geätzt werden können.The present invention is therefore based on the object based on a method for structuring a Provide silicon oxide layer, which the above described disadvantages reduced or avoided entirely. In particular, it is the object of the present invention to provide a method by which structures with high Aspect ratio with a high selectivity compared to the used mask are etched in a silicon oxide layer can.

Diese Aufgabe wird von dem Verfahren zum Strukturieren einer Siliziumoxid-Schicht gemäß dem Patentanspruch 1 gelöst. Weitere vorteilhafte Ausführungsformen, Ausgestaltungen und Aspekte der vorliegenden Erfindung ergeben sich aus den abhängigen Patentansprüchen, der Beschreibung und den Figuren.This task is performed by the structuring process solved a silicon oxide layer according to claim 1. Further advantageous embodiments, configurations and Aspects of the present invention result from the dependent claims, the description and the Characters.

Erfindungsgemäß wird ein Verfahren zum Strukturieren einer Siliziumoxid-Schicht bereitgestellt, das die folgenden Schritte umfaßt: Ein Substrat, das eine Siliziumoxid-Schicht und eine die Siliziumoxid-Schicht zum Teil bedeckende Maske umfaßt, wird in einem Plasmareaktor bereitgestellt. Ein Plasma wird aus einem Ätzgas erzeugt, das zumindest eine Fluorkohlenstoff- bzw. Fluorkohlenwasserstoffverbindung ausgewählt aus der Gruppe bestehend aus Verbindungen der Summenformel CxHyFz, wobei x = 1 bis 5, y = 0 bis 4 und z = 2 bis 10 ist, umfaßt. Für mindestens einen ersten Zeitraum wird eine erste Potentialdifferenz zwischen dem Substrat und dem aus dem obengenannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass zumindest die Siliziumoxid-Schicht einen Ätzabtrag erfährt (Verfahrenschritt c). Für mindestens einen zweiten Zeitraum wird eine zweite, von der ersten verschiedene Potentialdifferenz zwischen dem Substrat und dem aus dem obengenannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweiten Zeitraums wächst. (Verfahrensschritt d).According to the invention, a method for structuring a silicon oxide layer is provided, which comprises the following steps: A substrate, which comprises a silicon oxide layer and a mask partially covering the silicon oxide layer, is provided in a plasma reactor. A plasma is generated from an etching gas which contains at least one fluorocarbon or fluorocarbon compound selected from the group consisting of compounds of the empirical formula C x H y F z , where x = 1 to 5, y = 0 to 4 and z = 2 to 10 is included. For at least a first period of time, a first potential difference is set between the substrate and the plasma generated from the above-mentioned etching gas, which is selected such that at least the silicon oxide layer undergoes an etching removal (method step c). For at least a second period of time, a second, different from the first potential difference between the substrate and the plasma generated from the above-mentioned etching gas is set, which is chosen such that a layer of a fluorine-containing polymeric material is deposited on the mask, the layer thickness of which during the second period grows. (Process step d).

Als Plasmareaktoren kommen dabei alle für die gängigen chemisch-physikalischen Trockenätzverfahren anwendbaren Plasmareaktoren in Frage. Solche Trockenätzverfahren können z. B. reaktives Ionenätzen, anodisch gekoppeltes Plasmaätzen im Parallelplattenreaktor, Magnetfeld unterstützes reaktives Ionenätzen, Trioden reaktives Ionenätzen, induktiv gekoppeltes Plasmaätzen oder Ätzen mit induktiv gekoppelter Plasmaquelle sein. Als Masken sind im Rahmen der vorliegenden Erfindung Schichten aus Materialien zu verstehen, die geeignet sind, eine Siliziumoxidschicht vor einem Ätzabtrag bei einer Plasmaätzung zu schützen. Insbesondere fallen darunter Materialien wie z. B. Silizium, Siliziumnitrid oder polymere Materialien, die als Photolithographiemasken, bzw. Lackmasken, verwendet werden. Ausdrücklich ausgenommen ist hier lediglich Siliziumoxid selber.As plasma reactors all come for the common ones chemical-physical dry etching process applicable Plasma reactors in question. Such dry etching processes can z. B. reactive ion etching, anodically coupled plasma etching Reactive assisted in parallel plate reactor, magnetic field Ion etching, triodes reactive ion etching, inductive coupled plasma etching or etching with inductively coupled Be a plasma source. As masks are within the scope of the present Invention to understand layers of materials that are suitable, a silicon oxide layer before etching removal protect with a plasma etch. Fall in particular including materials such as As silicon, silicon nitride or polymeric materials used as photolithography masks or Paint masks can be used. It is expressly excluded here only silicon oxide itself.

Unter dem Begriff "Fluorkohlenstoffverbindung" sind im Rahmen der vorliegenden Erfindung sowohl Verbindungen zu verstehen, die nur aus Fluor und Kohlenstoff aufgebaut sind oder Verbindungen die neben Fluor und Kohlenstoff noch Wasserstoff enthalten. Solche Verbindungen können z. B. sein. CF4, CH3F, C2F4, C2F6, CaF6, CaF8, C4F6, C4F8, C4F10, CH2F2, C2HF3, C2HF5, C3HF5, C3H2F6, C4F8 oder C5F8. In einer bevorzugten Ausführungsform der vorliegenden Erfindung wird als Fluorkohlenstoffverbindung C4F8 verwendet, wobei es auf das Konstitutionsisomer dieser Verbindung nicht ankommt.In the context of the present invention, the term “fluorocarbon compound” is understood to mean both compounds which are composed only of fluorine and carbon or compounds which also contain hydrogen in addition to fluorine and carbon. Such connections can e.g. B. be. CF 4 , CH 3 F, C 2 F 4 , C 2 F 6 , CaF 6 , CaF 8 , C 4 F 6 , C 4 F 8 , C 4 F 10 , CH 2 F 2 , C 2 HF 3 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 6 , C 4 F 8 or C 5 F 8 . In a preferred embodiment of the present invention, C 4 F 8 is used as the fluorocarbon compound, the constitutional isomer of this compound not being important.

In einer vorteilhaften Variante des erfindungsgemäßen Verfahrens beträgt die Gasflußrate des C4F8 zwischen 10 und 50 sccm, insbesondere zwischen 20 bis 50 sccm. Bevorzugt sind die höheren Gasflußraten, da bei ihrer Verwendung höhere Ätz- oder Abscheidungsraten erreicht werden können.In an advantageous variant of the method according to the invention, the gas flow rate of the C 4 F 8 is between 10 and 50 sccm, in particular between 20 and 50 sccm. The higher gas flow rates are preferred since higher etching or deposition rates can be achieved when they are used.

Unter der Potentialdifferenz zwischen dem Plasma und dem Substrat wird im Rahmen der vorliegenden Erfindung die Potentialdifferenz verstanden, die sich zwischen der Plasmahülle und dem benachbarten Substrat ausbildet. Durch diese Potentialdifferenz wird die Energie festgelegt, mit der die Ionen aus dem Plasma auf das Substrat beschleunigt werden. Diese Potentialdifferent kann durch verschiedene am Plasmareaktor einstellbare Prozessparameter beeinflußt werden. In der Regel wird die Potentialdifferenz durch Veränderung der in den Plasmareaktor eingekoppelten Hochfrequenzleistung variiert. Diese Leistung wird auch als "bias power" bezeichnet. Dabei kann die Einkopplung je nach Plasmareaktortyp induktiv und/oder kapazitiv erfolgen. In einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens wird die erste (in Verfahrensschritt c) und die zweite Potentialdifferenz (in Verfahrensschritt d) zwischen dem Substrat und dem aus dem verwendeten Ätzgas erzeugten Plasma durch Einkoppeln einer Leistung in den Plasmareaktor eingestellt. Besonders bevorzugt ist dabei die Variante, bei der diese Leistung kapazitiv in den Reaktor eingekoppelt wird. Dies kann z. B. dadurch erfolgen, das dem Plasma neben einer induktiv eingekoppelten Leistung zusätzlich Leistung dadurch eingekoppelt wird, indem das Substrat, bzw. die Elektrode, auf der das Substrat angebracht ist, kapazitiv an eine Hochfrequenzquelle angeschlossen wird.Under the potential difference between the plasma and the In the context of the present invention, the substrate Understood the difference in potential between the Forms plasma shell and the adjacent substrate. By this potential difference determines the energy with which the ions from the plasma accelerated to the substrate become. This potential difference can be determined by different am Plasma reactor influences adjustable process parameters become. As a rule, the potential difference is due to Change in the coupled in the plasma reactor Radio frequency power varies. This performance is also called called "bias power". The coupling can depend on Plasma reactor type inductive and / or capacitive. In a preferred embodiment of the invention The process becomes the first (in process step c) and the second potential difference (in process step d) between the substrate and the generated from the etching gas used Plasma by coupling a power into the plasma reactor set. The variant at is particularly preferred which capacitively couples this power into the reactor becomes. This can e.g. B. done in addition to the plasma an inductively coupled power additional power  thereby being coupled in by the substrate or the Capacitive electrode on which the substrate is attached a high frequency source is connected.

Die Reihenfolge der Verfahrensschritte c) und d) ist in dem erfindungsgemäßen Verfahren nicht festgelegt. Das Verfahren kann so gestaltet werden, dass in einem ersten Ätzschritt die SiO2-Schicht geätzt wird und in einem anschließenden Abscheidungsschritt das Polymer auf die Maske abgeschieden wird. Umgekehrt kann zuerst das Polymer auf der Maske abgeschieden werden und dann die Siliziumoxidschicht geätzt werden. Im ersten Fall wird die Maske durch die Abscheidung nach der Ätzung verstärkt, im zweiten Fall wird die Maske bereits vor der Ätzung verstärkt.The sequence of process steps c) and d) is not specified in the process according to the invention. The method can be designed in such a way that the SiO 2 layer is etched in a first etching step and the polymer is deposited on the mask in a subsequent deposition step. Conversely, the polymer can first be deposited on the mask and then the silicon oxide layer can be etched. In the first case, the mask is reinforced by the deposition after the etching, in the second case the mask is reinforced before the etching.

Für den ersten Zeitraum wird im erfindungsgemäßen Verfahren die erste Potentialdifferenz so gewählt, dass die Siliziumoxid-Schicht einen Ätzabtrag erfährt. Dabei kann nur die Siliziumschicht alleine oder auch die sie schützende Maske geätzt werden. Wenn sowohl die Siliziumoxid-Schicht als auch die Maske geätzt werden, muß der erste Zeitraum so bemessen werden, dass die Maske in keinem Bereich vollständig abgetragen wird.For the first period in the invention The first potential difference is chosen so that the Silicon oxide layer experiences an etching removal. Only can the silicon layer alone or the protective layer Mask are etched. If both the silicon oxide layer and the mask must also be etched, so the first period be sized so that the mask is not complete in any area is removed.

In einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens beträgt die Leistung, die in den Plasmareaktor eingekoppelt wird und mit der die erste Potentialdifferenz während des ersten Zeitraums eingestellt wird, mindestens 400 W, vorzugsweise mindestens 600 W und insbesondere bevorzugt mindestens 800 W.In a preferred embodiment of the inventive method is the performance in the Plasma reactor is coupled and with which the first Potential difference set during the first period is at least 400 W, preferably at least 600 W and particularly preferably at least 800 W.

Dabei ist es besonders vorteilhaft, wenn die am Plasmagenerator einstellbaren Parameter so eingestellt werden, dass die Ätzung der SiO2-Schicht während des ersten Zeitraums bereits eine hohe Selektivität gegenüber der Maske aufweist.It is particularly advantageous if the parameters that can be set on the plasma generator are set such that the etching of the SiO 2 layer already has a high selectivity with respect to the mask during the first period.

In einer bevorzugten Variante des erfindungsgemäßen Verfahrens wird die erste Potentialdifferenz so eingestellt, dass sich während des ersten Zeitraums auf der Maske eine Schicht aus fluorhaltigem Polymer bildet, deren Schichtdicke während des ersten Zeitraums im wesentlichen konstant bleibt. D. h. die Potentialdifferenz wird hier so gewählt, dass sich während des ersten Zeitraums ein Gleichgewicht zwischen der Ätzung der Maske und der Abscheidung des polymeren Materials auf der Maske einstellt. Die sich abscheidende Schicht ist in der Regel nur wenige nm dick.In a preferred variant of the invention The first potential difference is set in such a way that during the first period there is a Layer of fluorine-containing polymer forms, the layer thickness remains essentially constant during the first period. I.e. the potential difference is chosen here so that during the first period a balance between the Etching of the mask and the deposition of the polymeric material on the mask. The depositing layer is in usually only a few nm thick.

Für den zweiten Zeitraum wird im erfindungsgemäßen Verfahren die zweite Potentialdifferenz zwischen dem Substrat und dem aus dem Ätzgas erzeugten Plasma so gewählt, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweiten Zeitraums anwächst.For the second period in the invention Process the second potential difference between the substrate and the plasma generated from the etching gas are chosen such that a layer of a fluorine-containing polymer on the mask Deposits material whose layer thickness during the second Period grows.

Die bevorzugte Leistung für die Einstellung der zweiten Potentialdifferenz während des zweiten Zeitraums beträgt weniger als 400 W, vorzugsweise höchstens 200 W.The preferred performance for hiring the second Potential difference during the second period is less than 400 W, preferably at most 200 W.

In einer besonders vorteilhaften Variante des erfindungsgemäßen Verfahrens beträgt die Abscheidungsrate des fluorhaltigen, polymeren Materials auf der Maske mindestens 50 nmmin-1, vorzugsweise mindestens 240 nmmin-1, insbesondere mindestens beträgt 350 nmmin-1.In a particularly advantageous variant of the method according to the invention, the deposition rate of the fluorine-containing, polymeric material on the mask is at least 50 nmmin -1 , preferably at least 240 nmmin -1 , in particular at least 350 nmmin -1 .

Durch die sich über den zweiten Zeitraum abscheidende Polymerschicht wird die Maske gegenüber einer weiteren Ätzung geschützt. Durch diesen schützenden Effekt wird die Selektivität des Ätzvorgangs zwischen Siliziumoxid und dem Maskenmaterial während des zweiten Zeitraums erheblich verbessert. Darüber hinaus wird die Maske durch die Polymerabscheidung erneuert, so daß sie einer folgenden erneuten Ätzung länger standhalten kann. Dadurch wird die erreichbare Ätztiefe erhöht.By separating out over the second period The polymer layer becomes the mask against further etching  protected. This protective effect ensures that Selectivity of the etching process between silicon oxide and the Mask material significantly during the second period improved. In addition, the mask is made by the Polymer deposition renewed so that it follows one can withstand renewed etching longer. This will make the achievable etching depth increased.

Die Veränderung der ersten Potentialdifferenz auf die zweite Potentialdifferenz kann z. B. durch einfache Veränderung der in den Plasmareaktor eingekoppelten Leistung erfolgen. Das Umstellen der eingekoppelten Leistung, und somit die Umstellung von Ätzmodus auf Abscheidemodus, erfolgt dabei instantan, d. h. ohne eine zeitliche Verzögerung des Verfahrens.The change in the first potential difference to the second potential difference can e.g. B. by simple Change in the power coupled into the plasma reactor respectively. Switching the coupled power, and thus the changeover from etching mode to deposition mode takes place thereby instantaneous, d. H. without a delay of the Process.

Das erfindungsgemäße Verfahren bietet somit den Vorteil, dass eine schnelle, selektive Ätzung der Siliziumoxid-Schicht erreicht werden kann, ohne dabei zeitaufwendige Veränderungen an dem Plasma vornehmen zu müssen.The method according to the invention thus offers the advantage that rapid, selective etching of the silicon oxide layer can be achieved without making time-consuming changes to have to make on the plasma.

Die Ätzchemie des in dem erfindungsgemäßen Verfahren erzeugten Plasmas basiert entscheidend auf der Verwendung einer Fluorkohlenstoffverbindung, die geeignet ist, aus dem Plasma ein fluorhaltiges, polymeres Material auf der Maske abzuscheiden. Diese Komponente wird über den gesamten Zeitraum des Verfahrens im Ätzgas beibehalten. In diesem Sinne ist auch der Begriff "dem in b) genannten Ätzgas erzeugten Plasma" im Rahmen der vorliegenden Erfindung zu verstehen. Die sonstigen Ätzgaskomponenten können während des gesamten Verfahrens variiert werden. Zur Steigerung der Selektivität des Verfahrens können zusätzliche Gaskomponenten entweder während der gesamten Dauer des Verfahrens oder auch nur während einzelner Schritte zugemischt werden.The etching chemistry of the process according to the invention generated plasma is crucially based on the use a fluorocarbon compound which is suitable from the Plasma is a fluorine-containing, polymeric material on the mask deposit. This component is all over Maintain the duration of the process in the etching gas. In this The term "the etching gas mentioned in b) also makes sense generated plasma "in the context of the present invention understand. The other etching gas components can during the entire process can be varied. To increase the Selectivity of the process can include additional gas components either during the entire duration of the procedure or also  only be mixed in during individual steps.

Insbesondere können dem Ätzgas während Verfahrensschritt c) zusätzliche, die Ätzrate erhöhende Komponenten zugemischt werden. Hierbei ist insbesondere die Verwendung von molekularem Sauerstoff als zusätzliche Komponente im Ätzgas bevorzugt.In particular, the etching gas during process step c) additional components which increase the etching rate are admixed become. The use of molecular oxygen as an additional component in the etching gas prefers.

Während des zweiten Zeitraums bietet die Polymerabscheidung neben der Selektivitätserhöhung des Ätzabtrages einen weiteren Vorteil. Zusätzlich wird die Maske durch die selektiv auf dem Maskenmaterial stattfindende Abscheidung verstärkt, so dass die Maske anschließend erneut über einen längeren Zeitraum einem relativ unselektiven Ätzschritt ausgesetzt werden kann. Dies wird in einer besonders bevorzugten Variante des erfindungsgemäßen Verfahrens dadurch ausgenutzt, dass die Verfahrensschritte c) und d) mehrfach wiederholt werden.During the second period, the Polymer separation in addition to increasing the selectivity of the Etching removal another advantage. In addition, the mask by selectively taking place on the mask material Deposition intensified so that the mask then reappears a relatively unselective one over a longer period of time Etching step can be exposed. This will be in one particularly preferred variant of the invention Process used in that process steps c) and d) can be repeated several times.

Bei diesen Wiederholungen kann die jeweilige Dauer des ersten und zweiten Zeitraums von der des ersten bzw. vorhergehenden Zykluses abweichen. Weiterhin können die jeweiligen ersten und zweiten Potentialdifferenzen in den Wiederholungsschritten verändert werden. Dies trifft auch auf alle anderen wählbaren Prozessparameter zu, insoweit deren Änderung ohne langwierige zeitliche Verzögerungen möglich sind Durch die Veränderung der Prozessparameter in den Wiederholungschritten können die Ätz- und Abscheidebedingungen in jedem Schritt optimal an die Aspektverhältnisse der zu ätzenden Struktur während des jeweiligen Zykluses angepaßt werden. Dadurch wird eine höhere Selektivität und eine bessere Profilkontrolle beim Ätzen erreicht, wobei auf zeitaufwendige Änderungen der Prozessbedingungen verzichtet werden kann. With these repetitions, the respective duration of the first and second periods from that of the first and previous cycle. Furthermore, the respective first and second potential differences in the Repetition steps can be changed. This also applies all other selectable process parameters, insofar as their Changes possible without lengthy delays By changing the process parameters in the Repeat steps can be the etching and Separation conditions optimal in every step Aspect ratios of the structure to be etched during the be adapted to the respective cycle. This will make a higher one Selectivity and better profile control when etching achieved, with time consuming changes to the Process conditions can be dispensed with.  

In einer weiteren bevorzugten Variante des erfindungsgemäßen Verfahrens enthält das Ätzgas zusätzlich ein Inertgas, vorzugsweise Argon. Vorzugsweise beträgt dessen Gasflußrate zwischen 100 und 1000 sccm, besonders bevorzugt zwischen 200 und 700 sccm, insbesondere zwischen 200 und 500 sccm.In a further preferred variant of the inventive method additionally contains the etching gas an inert gas, preferably argon. This is preferably Gas flow rate between 100 and 1000 sccm, particularly preferred between 200 and 700 sccm, in particular between 200 and 500 sccm.

Bevorzugt ist weiterhin, dass das Ätzgas zusätzlich molekularen Sauerstoff O2 enthält. Besonders bevorzugt ist hierbei, dass die Gasflußrate des molekularen Sauerstoffs zwischen 5 und 25 sccm, insbesondere 10 sccm beträgt. Durch die Zumengung von molekularem Sauerstoff kann die Potentialdifferenz, bzw. die in den Plasmagenerator eingekoppelte Leistung, bei der sich die Abscheidung des fluorhaltigen polymeren Materials in eine Ätzung des polymeren fluorhaltigen Materials umwandelt, zu geringeren Potentialdifferenzen bzw. eingekoppelten Leistungen verschoben werden.It is further preferred that the etching gas additionally contains molecular oxygen O 2 . It is particularly preferred here that the gas flow rate of the molecular oxygen is between 5 and 25 sccm, in particular 10 sccm. By adding molecular oxygen, the potential difference or the power coupled into the plasma generator, at which the deposition of the fluorine-containing polymeric material converts into an etching of the polymeric fluorine-containing material, can be shifted to lower potential differences or coupled-in powers.

Darüber hinaus kann der Ätzabtrag in Verfahrensschritt c) bei Verwendung von molekularen Sauerstoff im Ätzgas weiter erhöht werden. In einer weiteren besonders vorteilhaften Variante des erfindungsgemäßen Verfahrens enthält das Ätzgas nur in Verfahrensschritt c) zusätzlich molekularen Sauerstoff.In addition, the etching can be removed in process step c) further when using molecular oxygen in the etching gas increase. In another particularly advantageous Variant of the method according to the invention contains the etching gas only in process step c) additional molecular Oxygen.

Im folgenden wird das erfindungsgemäße Verfahren anhand der Ausführungsbeispiele und im Zusammenhang mit den Zeichnungen näher erläutert werden. Dabei zeigtThe method according to the invention is described below of the embodiments and in connection with the Drawings are explained in more detail. It shows

Fig. 1: Einen Graph, in dem die Abhängigkeit der Abscheidungsrate des fluorhaltigen, polymeren Materials auf Silizium von der kapazitiv eingekoppelten Leistung (Bias Power) für verschiedene Ätzgase dargestellt ist. Fig. 1: A graph showing the dependence of the deposition rate of the fluorine-containing, polymeric material on silicon on the capacitively coupled power (bias power) for different etching gases.

Ausführungsbeispiel 1Embodiment 1

In diesem Beispiel wurde die Polymerabscheidung aus dem Plasma auf eine Siliziummaske in Abhängigkeit der kapazitiv eingekoppelten Leistung ermittelt.In this example, the polymer deposition from the Plasma on a silicon mask depending on the capacitive coupled power determined.

Dazu wurden Siliziumscheiben (wafer) in einen Plasmagenerator vom Typ IPS Dielectric Etcher der Firma Applied Materials eingebracht und einem Plasma ausgesetzt. Für verschiedene kapazitiv eingekoppelte Leistungen wurde dann die Polymerabscheidung auf den Siliziumscheiben mittels Rasterelektronenmikroskopie ermittelt.For this purpose, silicon wafers were placed in one Plasma generator of the type IPS Dielectric Etcher from the company Applied materials introduced and exposed to a plasma. For various capacitively coupled services then the polymer deposition on the silicon wafers Scanning electron microscopy determined.

Bei dieser Versuchsreihe wurde das folgende Grundrezept verwendet:
Gasflußrate Argon: 200 sccm
Gasflußrate C4F8: 20 sccm
Leistung (inner source): 600 W
Leistung (outer source): 200 W
Kammerdruck: 13 m Torr
He-Druck: 5 Torr
Chiller (Wärmetauscher): 20°C
Roof (Dach): 200°C
Ring: 375°C
Bias-Power: 0-400 W
The following basic recipe was used in this series of experiments:
Argon gas flow rate: 200 sccm
Gas flow rate C 4 F 8 : 20 sccm
Power (inner source): 600 W.
Power (outer source): 200 W.
Chamber pressure: 13 m Torr
He pressure: 5 torr
Chiller (heat exchanger): 20 ° C
Roof: 200 ° C
Ring: 375 ° C
Bias power: 0-400 W.

Es wurden zwei Meßreihen durchgeführt. In der ersten Meßreihe wurde das Grundrezept verwendet, in der zweiten Meßreihe wurde dem Grundrezept zusätzlich molekularer Sauerstoff mit einer Gasflußrate von 10 sccm zugemischt. Die Meßwerte der ersten Meßreihe sind in dem in Fig. 1 dargestellten Graph durch die vollen Symbole wiedergegeben. Dabei entsprechen die vollen Kreise den Meßwerten am Rande der Siliziumscheiben, die vollen Quadrate geben die Meßwerte im Zentrum der Siliziumscheiben wieder.Two series of measurements were carried out. The basic recipe was used in the first series of measurements; in the second series of measurements, additional molecular oxygen was added to the basic recipe with a gas flow rate of 10 sccm. The measured values of the first series of measurements are represented in the graph shown in FIG. 1 by the full symbols. The full circles correspond to the measured values at the edge of the silicon wafers, the full squares represent the measured values in the center of the silicon wafers.

Die Meßwerte der zweiten Meßreihe sind durch die halboffenen Symbole wiedergegeben, wobei erneut die Kreise die Meßwerte am Rande und die Quadrate die Meßwerte im Zentrum der Siliziumscheiben wiedergeben.The measured values of the second series of measurements are given by the represented half-open symbols, again the circles the measured values on the edge and the squares the measured values in Play the center of the silicon wafers.

Aus den Meßdaten ist zu entnehmen, dass die Abscheidungsrate mit zunehmender, kapazitiv eingekoppelter Leistung abnimmt und sich ab einer bestimmten Leistung in eine Ätzrate umwandelt.It can be seen from the measurement data that the Deposition rate with increasing, capacitively coupled Performance decreases and turns in from a certain performance converts an etching rate.

Durch die Zumischung von molekularem Sauerstoff verringert sich die Abscheidungsrate. Darüber hinaus zeigt die Abscheidungsrate nur geringe örtliche Abweichungen. Für die erste Meßreihe (Grundrezept) ergibt sich die Abscheiderate für eine beliebig gewählte Leistung nach der Formel: rD = 417,3 nm/min - 1,06714 nm/min W.PB (rD = Abscheidungsrate; PB = kapazitiv eingekoppelte Leistung). Für die zweite Meßreihe (sauerstoffhaltiges Rezept) kann die jeweilige Abscheidungsrate nach der Formel rD = 389,3 nm/min - 1,583 nm/min W.PB (rD = Abscheidungsrate; PB = kapazitiv eingekoppelte Leistung) berechnet werden.The addition rate of molecular oxygen reduces the deposition rate. In addition, the deposition rate shows only slight local deviations. For the first series of measurements (basic recipe), the deposition rate for an arbitrarily selected power results according to the formula: r D = 417.3 nm / min - 1.06714 nm / min WP B (r D = deposition rate; P B = capacitively coupled power ). For the second series of measurements (oxygen-containing recipe), the respective deposition rate can be calculated using the formula r D = 389.3 nm / min - 1.583 nm / min WP B (r D = deposition rate; P B = capacitively coupled power).

Aus diesen Abscheidungsraten kann eine optimierte Zeit ermittelt werden, die zum Abscheiden einer definierten Schichtdicke erforderlich ist. An optimized time can be obtained from these deposition rates can be determined to separate a defined Layer thickness is required.  

Ausführungsbeispiel 2Embodiment 2

In diesem Beispiel wurde eine SiO2-Schicht mit einer Siliziummaske strukturiert. Die SiO2-Schicht wies dabei bereits Kontaktlöcher mit einer ungefähren Tiefe von 500 nm und einem Aspektverhältnis von etwa 5 auf.In this example, an SiO 2 layer was structured with a silicon mask. The SiO 2 layer already had contact holes with an approximate depth of 500 nm and an aspect ratio of about 5.

Dabei wurde folgendes Zweischritt-Verfahren angewandt: In einem ersten Schritt (D1) wurden die Ätzbedingungen so gewählt, dass eine Abscheidung auf der Siliziummaske stattfand. In einem anschließenden Ätzschritt (E1) wurden die Ätzbedingungen so gewählt, dass die SiO2-Schicht geätzt wurde.The following two-step process was used: In a first step (D1), the etching conditions were chosen such that a deposition took place on the silicon mask. In a subsequent etching step (E1), the etching conditions were chosen so that the SiO 2 layer was etched.

Die Prozessparameter für beide Schritte sind in Tabelle 1 aufgeführt.The process parameters for both steps are in the table 1 listed.

Tabelle 1 Table 1

Prozeßparameter für D1 und E1 Process parameters for D1 and E1

Unter diesen Bedingungen wurde in Abscheidungsschritt D1 innerhalb von 12 Sekunden eine etwa 80 nm dicke Polymerschicht auf der Maske abgeschieden. Auf den Profilkanten fand dabei lediglich eine vernachlässigbare Abscheidung statt. Ein Abscheidung innerhalb des Kontaktloches wurde nicht beobachtet.Under these conditions, deposition step D1 about 80 nm thick within 12 seconds Polymer layer deposited on the mask. On the Profile edges found only one negligible Deposition instead. A separation within the Contact hole was not observed.

In dem anschließenden Ätzschritt E1 wurden innerhalb von 20 Sekunden etwa 150 nm SiO2 innerhalb des Kontaktloches geätzt. Die Polymerschicht auf der Maske war nach dem Ätzschritt E1 nahezu vollständig verschwunden. Es konnten somit 150 nm SiO2 Siliziumoxid ohne Verlust an Maskenmaterial geätzt werden.In the subsequent etching step E1, approximately 150 nm of SiO 2 were etched within the contact hole within 20 seconds. The polymer layer on the mask had almost completely disappeared after the etching step E1. 150 nm of SiO 2 silicon oxide could thus be etched without loss of mask material.

Ausführungsbeispiel 3Embodiment 3

In diesem Ausführungsbeispiel wurde analog dem Ausführungsbeispiel 2 verfahren, außer das die Prozeßbedingungen für den Abscheidungsschritt (D2) verändert wurden. Die Prozessparameter sind in Tabelle 2 angegeben. In this embodiment, the analog Embodiment 2 proceed, except that Process conditions for the deposition step (D2) changed were. The process parameters are given in Table 2.  

Tabelle 2 Table 2

Prozeßparameter für D2 und E2 Process parameters for D2 and E2

Diese Prozessführung zeigt ähnliche Ergebnisse wie die in Ausführungsbeispiel 2. Allerdings ist bei diesen Prozessparametern eine leichte Kantenbedeckung der Maske festzustellen. Zusätzlich wird in diesem Prozeß die SiO2- Schicht in den Kontaktlöchern bereits in dem Abscheideschritt D2 geätzt.This process control shows results similar to those in embodiment 2. However, with these process parameters, a slight edge coverage of the mask can be determined. In addition, the SiO 2 layer in the contact holes is already etched in the deposition step D2 in this process.

Claims (17)

1. Verfahren zur Strukturierung einer Siliziumoxid-Schicht, umfassend die Schritte:
  • a) ein Substrat, das eine Siliziumoxid-Schicht und eine die Siliziumoxid-Schicht zum Teil bedeckende Maske umfaßt, wird in einem Plasmareaktor bereitgestellt,
  • b) ein Plasma wird aus einem Ätzgas erzeugt, das zumindest eine Fluorkohlenstoff- oder Fluorkohlenwasserstoffverbindungverbindung ausgewählt aus der Gruppe bestehend aus Verbindungen der Summenformel CxHyFz, wobei x = 1 bis 5, y = 0 bis 4 und z = 2 bis 10 ist, umfaßt,
  • c) für mindestens einen ersten Zeitraum wird eine erste Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass die Siliziumoxid-Schicht einen Ätzabtrag erfährt, und
  • d) für mindestens einen zweiten Zeitraum wird eine zweite, von der ersten verschiedene Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweiten Zeitraums anwächst.
1. A method for structuring a silicon oxide layer, comprising the steps:
  • a) a substrate comprising a silicon oxide layer and a mask partially covering the silicon oxide layer is provided in a plasma reactor,
  • b) a plasma is generated from an etching gas which contains at least one fluorocarbon or fluorocarbon compound compound selected from the group consisting of compounds of the empirical formula C x H y F z , where x = 1 to 5, y = 0 to 4 and z = 2 to 10 is comprised
  • c) for at least a first period of time, a first potential difference is set between the substrate and the plasma generated from the etching gas mentioned in b), which is selected such that the silicon oxide layer experiences an etching removal, and
  • d) for at least a second period of time, a second potential difference, different from the first, between the substrate and the plasma generated from the etching gas mentioned in b) is set, which is selected such that a layer of a fluorine-containing polymeric material is deposited on the mask whose layer thickness increases during the second period.
2. Verfahren gemäß Anspruch 1, dadurch gekennzeichnet, dass das die Schritte c) und d) mehrfach wiederholt werden.2. The method according to claim 1, characterized in that the steps c) and d) are repeated several times. 3. Verfahren gemäß Anspruch 1 oder 2, dadurch gekennzeichnet, dass die erste und die zweite Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma durch Einkoppeln einer Leistung in den Plasmareaktor erfolgt.3. The method according to claim 1 or 2, characterized in that the first and second potential difference between the Substrate and the generated from the etching gas mentioned in b) Plasma by coupling a power into the Plasma reactor takes place. 4. Verfahren gemäß Anspruch 3, dadurch gekennzeichnet, dass die Leistung kapazitiv in den Plasmareaktor eingekoppelt wird.4. The method according to claim 3, characterized in that the Power is capacitively coupled into the plasma reactor. 5. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass das Ätzgas als Fluorkohlenstoff- bzw. Fluorkohlenwasserstoff verbindung eine Verbindung ausgewählt aus der Gruppe bestehend aus CF4, CH3F, CH2F2, C2F4, C2F6, C2HF3, C2HF5, C3F6, C3HF5, C3F8, C3H2F6, C4F6, C4F8, C4F10, oder C5F8 enthält.5. The method according to any one of the preceding claims, characterized in that the etching gas as a fluorocarbon or fluorocarbon compound, a compound selected from the group consisting of CF 4 , CH 3 F, CH 2 F 2 , C 2 F 4 , C 2 F 6 , C 2 HF 3 , C 2 HF 5 , C 3 F 6 , C 3 HF 5 , C 3 F 8 , C 3 H 2 F 6 , C 4 F 6 , C 4 F 8 , C 4 F 10 , or C 5 F 8 contains. 6. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass das Ätzgas als Fluorkohlenstoffverbindung C4F8 enthält.6. The method according to any one of the preceding claims, characterized in that the etching gas contains C 4 F 8 as the fluorocarbon compound. 7. Verfahren gemäß Anspruch 6, dadurch gekennzeichnet, dass die Gasflußrate von C4F8 zwischen 10 und 50 sccm, vorzugsweise 20 sccm beträgt.7. The method according to claim 6, characterized in that the gas flow rate of C 4 F 8 is between 10 and 50 sccm, preferably 20 sccm. 8. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass das Ätzgas ein Inertgas, vorzugsweise Argon enthält.8. The method according to any one of the preceding claims, characterized in that the  Etching gas contains an inert gas, preferably argon. 9. Verfahren gemäß Anspruch 8, dadurch gekennzeichnet, dass die Gasflußrate von Argon zwischen 100 und 1000 sccm, vorzugsweise zwischen 200 und 700 sscm, insbesondere zwischen 200 und 500 sccm beträgt.9. The method according to claim 8, characterized in that the Argon gas flow rate between 100 and 1000 sccm, preferably between 200 and 700 sscm, in particular is between 200 and 500 sccm. 10. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass das Ätzgas zusätzlich molekularen Sauerstoff O2 enthält.10. The method according to any one of the preceding claims, characterized in that the etching gas additionally contains molecular oxygen O 2 . 11. Verfahren gemäß Anspruch 10, dadurch gekennzeichnet, dass die Gasflußrate des molekularen Sauerstoffs zwischen 5 und 25 sccm, vorzugsweise 10 sccm beträgt.11. The method according to claim 10, characterized in that the Molecular oxygen gas flow rate between 5 and 25 sccm, preferably 10 sccm. 12. Verfahren gemäß einem der Ansprüche 10 oder 11 dadurch gekennzeichnet, dass das Ätzgas nur in Schritt c) zusätzlich molekularen Sauerstoff enthält.12. The method according to any one of claims 10 or 11 characterized in that the Etching gas only in step c) additional molecular oxygen contains. 13. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass die Leistung zum Einstellen der Potentialdifferenz zwischen dem Plasma und dem Substrat in Schritt c) mindestens 400 W, vorzugsweise mindestens 600 W, insbesondere mindestens 800 W beträgt und in Schritt d) kleiner 400 W, vorzugsweise höchstens 200 W ist.13. The method according to any one of the preceding claims, characterized in that the Power for setting the potential difference between the plasma and the substrate in step c) at least 400 W, preferably at least 600 W, in particular at least Is 800 W and less than 400 W in step d), is preferably at most 200 W. 14. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass die erste Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma so eingestellt wird, dass sich während des ersten Zeitraums auf der Maske eine Schicht aus fluorhaltigem polymeren Material bildet, deren Schichtdicke während des ersten Zeitraums im wesentlichen konstant bleibt.14. The method according to any one of the preceding claims, characterized in that the first potential difference between the substrate and the  the etching gas generated in b) set so will that on the mask during the first period forms a layer of fluorine-containing polymeric material, whose layer thickness during the first period in remains essentially constant. 15. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass die Abscheidungsrate des fluorhaltigen polymeren Materials auf der Maske während des zweiten Zeitraums mindestens 50 nmmin-1 vorzugsweise mindestens 240 nmmin-1, insbesondere mindestens beträgt 350 nmmin-1 beträgt.15. The method according to any one of the preceding claims, characterized in that the deposition rate of the fluorine-containing polymeric material on the mask during the second period is at least 50 nmmin -1, preferably at least 240 nmmin -1 , in particular at least 350 nmmin -1 . 16. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass die Maske eine Siliziummaske ist.16. The method according to any one of the preceding claims, characterized in that the Mask is a silicon mask. 17. Verfahren gemäß einem der vorherigen Ansprüche, dadurch gekennzeichnet, dass die Maske eine Lackmaske ist.17. The method according to any one of the preceding claims, characterized in that the Mask is a paint mask.
DE2000153780 2000-10-30 2000-10-30 Process for structuring a silicon oxide layer Ceased DE10053780A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE2000153780 DE10053780A1 (en) 2000-10-30 2000-10-30 Process for structuring a silicon oxide layer
PCT/EP2001/012538 WO2002037549A2 (en) 2000-10-30 2001-10-30 Method for structuring a silicon oxide layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE2000153780 DE10053780A1 (en) 2000-10-30 2000-10-30 Process for structuring a silicon oxide layer

Publications (1)

Publication Number Publication Date
DE10053780A1 true DE10053780A1 (en) 2002-05-16

Family

ID=7661566

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2000153780 Ceased DE10053780A1 (en) 2000-10-30 2000-10-30 Process for structuring a silicon oxide layer

Country Status (2)

Country Link
DE (1) DE10053780A1 (en)
WO (1) WO2002037549A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10224137A1 (en) * 2002-05-24 2003-12-04 Infineon Technologies Ag Etching gas and dry etching method
DE10239869A1 (en) * 2002-08-29 2004-03-18 Infineon Technologies Ag Production of dielectric layers used in the production of electronic components, e.g. transistors or capacitors, comprises preparing a substrate, forming a dielectric layer on the substrate, and subjecting the dielectric layer to a plasma
DE10245671A1 (en) * 2002-09-30 2004-04-08 Infineon Technologies Ag Production of a semiconductor structure comprises preparing a semiconductor substrate, forming a silicon nitride layer on the substrate, forming a silicon dioxide layer on the silicon nitride layer, and etching the silicon dioxide layer

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
DE19707886A1 (en) * 1997-02-27 1998-09-10 Micronas Semiconductor Holding Method for producing contact holes in a semiconductor device
WO2000030168A1 (en) * 1998-11-16 2000-05-25 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
WO2000059021A1 (en) * 1999-03-25 2000-10-05 Applied Material, Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685396B2 (en) * 1985-12-27 1994-10-26 株式会社日立製作所 Etching method and apparatus used therefor
DE69226253T2 (en) * 1992-01-24 1998-12-17 Applied Materials Inc Plasma etching process and reactor for plasma processing
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
JP4153606B2 (en) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
KR100327346B1 (en) * 1999-07-20 2002-03-06 윤종용 Plasma etching method using selective polymer deposition and method for forming contact hole using the plasma etching method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
DE19707886A1 (en) * 1997-02-27 1998-09-10 Micronas Semiconductor Holding Method for producing contact holes in a semiconductor device
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
WO2000030168A1 (en) * 1998-11-16 2000-05-25 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
WO2000059021A1 (en) * 1999-03-25 2000-10-05 Applied Material, Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10224137A1 (en) * 2002-05-24 2003-12-04 Infineon Technologies Ag Etching gas and dry etching method
DE10239869A1 (en) * 2002-08-29 2004-03-18 Infineon Technologies Ag Production of dielectric layers used in the production of electronic components, e.g. transistors or capacitors, comprises preparing a substrate, forming a dielectric layer on the substrate, and subjecting the dielectric layer to a plasma
DE10245671A1 (en) * 2002-09-30 2004-04-08 Infineon Technologies Ag Production of a semiconductor structure comprises preparing a semiconductor substrate, forming a silicon nitride layer on the substrate, forming a silicon dioxide layer on the silicon nitride layer, and etching the silicon dioxide layer
DE10245671B4 (en) * 2002-09-30 2004-08-26 Infineon Technologies Ag Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer

Also Published As

Publication number Publication date
WO2002037549A3 (en) 2002-11-21
WO2002037549A2 (en) 2002-05-10

Similar Documents

Publication Publication Date Title
DE69909248T2 (en) METHOD FOR REDUCING THE EROSION OF A MASK DURING A PLASMA ETCH
DE69938342T2 (en) METHOD FOR THE PRODUCTION OF CRACKS IN A SILICON LAYER OF A SUBSTRATE IN A PLASMA SYSTEM OF HIGH PLASMA DAMAGE
DE69724192T2 (en) Process for etching polycide structures
DE69835032T2 (en) IMPROVED METHOD TO EAT AN OXIDE LAYER
DE3706127C2 (en)
DE10016340C1 (en) Fabrication of deep trench in semiconductor substrate during e.g., fabrication of deep-trench type capacitor utilizes plasma etching composition comprising hydrogen bromide, nitrogen fluoride, chlorine gas, and helium/oxygen gas mixture
DE10127622B4 (en) Method of making an isolation trench filled with HDPCVD oxide
DE3140890C2 (en) Photolithographic method for manufacturing an integrated circuit device
DE69626562T2 (en) Process for the isotropic etching of silicon, which is highly selective towards tungsten
DE19844102C2 (en) Manufacturing process for a semiconductor structure
DE102008007671A1 (en) Process for forming fine structures of a semiconductor device
DE3609681A1 (en) DEVICE AND METHOD FOR PRODUCING THIN FILM
DE2930391A1 (en) PLASMA APPARATUS
DE69819023T2 (en) METHOD OF ETCHING A CONDUCTIVE LAYER
DE2617483A1 (en) REACTIVE ION WETTING OF SEMICONDUCTORS AND METALS
DE4105103C2 (en)
DE19746425A1 (en) Insulator etching method for semiconductor manufacture
DE19706763B4 (en) Process for etching a metal layer
DE102013111860A1 (en) Process tools and methods of forming devices using process tools
DE10331526A1 (en) A method of anisotropically etching a recess in a silicon substrate and using a plasma etching
DE10226603A1 (en) Method for structuring a silicon layer and its use for producing an integrated semiconductor circuit
DE10037957C1 (en) Process for the anisotropic dry etching of organic anti-reflection layers
DE102011004581A1 (en) A technique for reducing plasma-induced etch damage during the fabrication of vias in inter-layer dielectrics by modified RF power ramp-up
DE3030814C2 (en) Process for plasma etching a workpiece
DE10053780A1 (en) Process for structuring a silicon oxide layer

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection