CN1879004A - Line profile asymmetry measurement - Google Patents

Line profile asymmetry measurement Download PDF

Info

Publication number
CN1879004A
CN1879004A CNA200480033229XA CN200480033229A CN1879004A CN 1879004 A CN1879004 A CN 1879004A CN A200480033229X A CNA200480033229X A CN A200480033229XA CN 200480033229 A CN200480033229 A CN 200480033229A CN 1879004 A CN1879004 A CN 1879004A
Authority
CN
China
Prior art keywords
line
asymmetric
light
array
direct projection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200480033229XA
Other languages
Chinese (zh)
Inventor
克里斯·雷蒙德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanometrics Inc
Original Assignee
Accent Optical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Technologies Inc filed Critical Accent Optical Technologies Inc
Publication of CN1879004A publication Critical patent/CN1879004A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/12Generating the spectrum; Monochromators
    • G01J3/18Generating the spectrum; Monochromators using diffraction elements, e.g. grating
    • G01J3/24Generating the spectrum; Monochromators using diffraction elements, e.g. grating using gratings profiled to favour a specific order
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/306Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces for measuring evenness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/42Absorption spectrometry; Double beam spectrometry; Flicker spectrometry; Reflection spectrometry
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

This disclosure provides methods for measuring asymmetry of features, such as lines of a diffraction grating. On implementation provides a method of measuring asymmetries in microelectronic devices by directing light at an array of microelectronic features of a microelectronic device. The light illuminates a portion of the array that encompasses the entire length and width of a plurality of the microelectronic features. Light scattered back from the array is detected. One or more characteristics of the back-scattered light may be examined by examining data from complementary angles of reflection. This can be particularly useful for arrays of small periodic structures for which standard modeling techniques would be impractically complex or take inordinate time.

Description

The asymmetric measurement of line profile
The cross reference of related application
The application proposed on February 28th, 2002, name is called the part continuation application of the U.S. Patent application NO.10/086339 (publication number No.2002/0149782) of " using the asymmetric measurement of line profile of scatterometry ", and require on September 12nd, 2003 to propose, name is called the U.S. Provisional Patent Application Nos.60/502 of " the asymmetric measurement of line profile ", 444, propose with March 2 calendar year 2001, name is called the No.60/273 of " by using the asymmetric processing power of line profile of scatterometry ", 039 right of priority.The full content of these applications is incorporated into by reference at this.
Technical field
The present invention relates to the optical check of microelectronic component, particularly use the asymmetric measurement of line profile (line profile) of scatterometry.
Background technology
Discussion below noting is with reference to many authors' publication and year of publication, because the up-to-date publication date, some publication is not regarded as relevant prior art of the present invention.The discussion of these publications given here is for more complete understanding, can not regard these publications as and be admitting of prior art that patentability determines purpose.
The manufacturing of microelectronic component is a kind of program of complexity, and it has used the various equipment that relate to the various process step.At first, the image transfer that imprint lithography will be just manufactured is in the photochromics that is called photoresist.Image in the photoresist is in turn as the next mask that is called etched formation pattern process.Etching is to look like to transfer to technology in suitable material such as the polysilicon by its resist pattern.Then, the material of etching is too filled with some insulating material, and is if necessary flattened, and carries out whole technologies once more.
The whole technological process that equipment is carried out each step substantially should be symmetrical, that is, the correct transistor gate of making will have equal left and right sides sidewall and further feature, as, but be not limited to the left and right corner rounding that equates.If produce mistake in processing procedure, so required symmetry will suffer damage, and the result is, the integrality of equipment or functionally also may suffer damage.If asymmetry is very serious, equipment may not worked so.
The present invention relates to carry out symmetry/asymmetric measurement by scatterometry.Scatterometry is a kind of optical check technology that is applicable to very much on the microelectronic component symmetry or asymmetric measurement.By analyzing light, can carry out the measurement of line profile from the scattering of microelectronics character array.Particularly, with complementary angle, that is, be ideally suited for symmetry/asymmetric measurement from the scatterometer of spending-45 degree measurements perpendicular to position+45 on surface, because the reflectivity of line profile can change on these angles, though complementary angle is not that the detection symmetry is necessary.In order to improve the sensitivity of this effect, character array should place on the specific orientation, be that whole instructions and claims are referred to as general circular cone configuration, that is to say that wherein the wave vector of illumination beam can not remain parallel to the structure of the array plane of symmetry.
Prior art is used " traditional " scattering usually.These are the measurements that are suitable for surfaceness, defective and spot corrosion measurement etc.But the present invention is based on diffraction physics, and wherein the measurement among the present invention always produces with respect to periodic feature (as line/space grating).
Work on hand has been used the technology of resist and etching material center line profile measurement in the scatterometry.People's such as C.J.Raymond " Resist and etched line profile characterization usingscatterometry ", Integrated Circuit Metrology, Inspection and Process ControlXI, Proc.SPIE 3050 (1997) (uses the against corrosion and etching line contour feature of scatterometry, integrated circuit metrology, check and process control XI that Proc.SPIE 3050 (1997)).Embodiments of the invention provide the measuring technique (for example, unequal Sidewall angles) of non-symmetric line profile.
Description of drawings
The accompanying drawing of incorporating into and constituting this instructions part has shown one or more embodiment of the present invention, is used from and explains principle of the present invention together with describing one.The purpose of these accompanying drawings only is used to explain one or more preferred embodiment of the present invention, does not think to limit the present invention.In the accompanying drawings:
Fig. 1 is the block scheme of the scattered through angles instrument that uses in embodiments of the present invention.
Fig. 2 is the geometry of the scattered through angles mensuration that uses in the embodiment of the invention.
Fig. 3 (a) and (b) be respectively the direction of measurement of so-called tradition and circular cone scatterometry.
Fig. 4 (a)-(c) be respectively a symmetry with two asymmetric resist profiles.
Fig. 5 is the curve map corresponding to the angle character data of Fig. 4 (a)-(c) profile.
Fig. 6 is the curve map from the scattered through angles measurement features (signature) of metal resist wafer (reflection).
Fig. 7 is the curve map from the Sidewall angles result of the wafer 5 of resist on the metal sample collection.
Fig. 8 is the curve map from the scattered through angles measurement features of etched polycrystalline silicon wafer (reflection).
Fig. 9 (a) and (b) be respectively be used for etched polycrystalline silicon wafer scatterometry and xsect SEM between left and right sides Sidewall angles relatively.
Figure 10 is the curve map from the scattered through angles measurement features of 193nm resist wafer (reflection).
Figure 11 (a) and (b) be respectively to be used for the scatterometry of 193nm resist wafer and the left and right sides Sidewall angles between the xsect SEM relatively.
Figure 12 is used for the AFM of 193nm resist wafer and the comparison that scatterometry CD measures.
Figure 13 is the image that can be used in grating (grating-on-grating) profile on the overlapping out-of-alignment grating.
Figure 14 is the curve map of scattered through angles measurement features of profile that is used for using Figure 13 of tradition (non-circular cone) scanning.
Figure 15 is used to use the curve map of (not exclusive) scattered through angles measurement features of skew about tradition scanning.
Figure 16 is the curve map of scattered through angles measurement features of profile that is used to use Figure 14 of conical scanning.
Figure 17 is used to use the curve map of (unique) scattered through angles measurement features of skew about conical scanning.
Figure 18 is the asymmetric single line model that uses in the prior art, and wherein acute angle is equal to each other, and the obtuse angle also is equal to each other, thereby the xsect of each line only provides two different angles;
Figure 19 is the asymmetric single line model of the embodiment of the invention, and wherein two angles are right angles, and angle A is the obtuse angle, and angle B is an acute angle, thereby xsect provides three different angles;
Figure 20 is the asymmetric single line model of the embodiment of the invention, all four interior angle differences wherein, and angle C and F are acute angles, angle E and D are the obtuse angles.
Figure 21 is the line overlap non-symmetric line model of the embodiment of the invention, and its center line H is a rectangle, line G be with respect to sidewall aim at be skew and or the parallelogram of non-rectangle;
Figure 22 is the line overlap non-symmetric line model of the embodiment of the invention, and its center line H is a rectangle, and line I is aligned in a side with respect to sidewall and is offset, but at the opposite side non-migration, and the xsect of line I provides three different angles;
Figure 23 is the line overlap non-symmetric line model of the embodiment of the invention, and its center line I is being offset with respect to the sidewall aligning in a side with respect to line J, but at the opposite side non-migration, and the xsect of line I provides three different angles;
Figure 24 is the line overlap non-symmetric line model of the embodiment of the invention, and its center line I is offset aiming at respect to sidewall with respect to the both sides of line J, and the xsect of line I provides three different interior angles, and the xsect of line K provides four different interior angles;
Figure 25 is the view as model in the one embodiment of the invention, and wherein the first serial three-dimensional post is deposited on the top of second series post and with respect to second series post deflection on X and Y direction, thereby post produces skew or comprises ladder sign;
Figure 26 is the explanation as model in the one embodiment of the invention, wherein the first serial 3 d-line structure is deposited on the top of second series linear structure, and with respect to second series linear structure deflection on X and Y direction, thereby linear structure produces skew or comprises ladder sign;
Figure 27 is the explanation as model in the one embodiment of the invention, wherein the first serial 3 d-line structure is deposited on the top of second series linear structure and with respect to second series linear structure deflection on X and Y direction, and wherein the xsect of one of them linear structure is not a rectangle, and three different interior angles are provided at least;
Figure 28 is the curve map of the scattered through angles measurement features (reflecting on complementary angle) of the first serial rectangular, three-dimensional linear structure on as shown in figure 25 the deposition second series rectangular configuration top, wherein solid line is represented with respect to the not skew of first and second series, dotted line is represented the skew of 25nm, and dot-and-dash line is represented the skew of 50nm; With
Figure 29 is the curve of the scattered through angles measurement features (reflecting on complementary angle) of rod structure on the ovallized column (as shown in figure 26), and the first serial three-dimensional ovallized column is deposited on the top of second series analogous shape post, wherein solid line is represented with respect to the not skew of first and second series, dotted line is represented the skew of 25nm, and dot-and-dash line is represented the skew of 50nm.
Embodiment
General introduction
An aspect of of the present present invention provides the symmetry/asymmetric measuring method and the device of microelectronics character array.One embodiment of the present of invention provide a kind of method of measuring three-dimensional structure asymmetry in the microelectronic component.According to this method, light is directed to the microelectronics character array of microelectronic component.Rayed comprises the part of the array of whole length of a plurality of microelectronics features and width.Be selected from by one or more reflection angle from the light of array back scattering (backward scattering), one or more wavelength, or detect under the condition in their group that constitutes.This method also comprises at least one characteristic that comprises the operation inspection rear orientation light of checking the data that come from complementary reflection angle by execution.
The asymmetric measuring method of line profile in the microelectronic component according to another embodiment of the invention relates to the angle that incides array the microelectronics character array of photoconduction to microelectronic component.Detect with a angle from the backward scattered light of this array with the incident angle complementation.Detect at least one characteristic of light and comprise that the asymmetric model of single feature contour compares, single feature contour has upper surface, base portion and center line on its xsect.Center line extends between upper surface and base portion and perpendicular to base portion, and xsect is asymmetric with respect to center line.
The method of scatterometry
By analyzing the electromagnetic radiation that scatters from the microelectronics character array, can carry out the measurement of line profile.In some preferred embodiments, scatterometer is from perpendicular to the position on the surface angle in complementation, and for example ,+45 degree and-45 degree are measured; Verifiedly so be specially adapted to symmetry/asymmetric measurement, because the reflecting properties of line profile changes in these angles.In order to strengthen the sensitivity of these effects, the array of these features preferably is placed on the specific direction of usually said general conical structure.Although the scatterometer according to other embodiment is measured in non-complementary angle.
Scatterometry is measured and can be carried out in the angle of any complementation, and+/-45 degree are examples, but suitable paired complementary angular range from nearly 0 ° to nearly+/-90 °, for example, approximately+/-0.00001 ° to approximately+/-80 °; Useful embodiment approximately+/-0.00001 ° to approximately+/-47 ° complementary angle carries out scatterometry and measures.(can not with the measurement of angle reflectivity of 0 ° of incident angle complementation, so 0.00001 ° be to be chosen as nominal angle here arbitrarily; Any other nominal angle also can satisfy).Scatterometry is measured and can be carried out in several angles or serial angle.And, can comprise in the measurement of each angle the radiation of single wavelength (as laser) maybe can comprising radiation or the wide wavelength radiation (as white light source) formed by several wavelength.But the independent measurement radiation intensity is perhaps similar to oval symmetrical measurement, in tandem measured intensity and phase place.
Best electromagnetic radiation source will depend on the characteristic and the size of grating.But in order to promote clearness, following discussion is usually directed to the electromagnetic radiation as light.Do not consider employed light source or wherein measure the mode of using that suppose that array carries out deciding direction with common conical structure, relatively the data from complementary angle can show immediately whether asymmetry exists.Without any the needs of other analysis, if photo measure is identical, profile is symmetrical so.On the contrary, if photo measure is not saturating, profile is asymmetric so.Usually, the complementary angle of use is many more, and measurement sensitivity is just good more.Measure for these profile asymmetry, this makes scattered through angles instrument (those pass through angle scanning) more suitable than spectral dispersion instrument (those pass through length scanning).In certain embodiments, scatterometer can scan by angular range and wavelength coverage.
The application of complementary angle scatter measurement method of the present invention includes, but are not limited to:
---utilize the aligning of the wafer station of optical system, as at lithographic device (stepper or scanner) or in the imprint lithography process;
---utilize the aligning of the wafer of optical system, as at lithographic device (stepper or scanner) or in the imprint lithography process;
---what lens aberration existed in the lithographic device technological process determines;
---the universal diagnostic of the imaging performance of lithographic device technological process;
---the measurement of the temperature homogeneity of baking process/stand;
---the measurement of the thickness evenness of resist spin coater or rotation processing;
---the inhomogeneity measurement of developer technology/stand;
---the characteristic of etching machines or technology;
---the characteristic of planarization apparatus or technology;
---the characteristic of metallization equipment or technology; With
---the control of aforementioned arbitrary technology.
From ordinary meaning, a target of semiconductor processes is that making a kind of is the device (for example, transistor gate) of symmetry in essence.In fact, the device of manufacturing is asymmetric consciously is seldom.For this reason, lithography forms pattern process towards the symmetry adjustment, particularly with respect on the basis and equivalent sidewall that are in the line bottom.Equally, etch process also strives producing symmetrical feature, and in the case, the overwhelming majority is with respect to the line sidewall.In order to control any one treatment step, measuring technique just must can detect asymmetry so, preferably can measure the appearance (as unequal left and right sides sidewall) of any asymmetry.
Scatterometry is a kind of to analyze from the optical measurement of the light of cyclic array feature scattering.On the physical significance of strictness, in fact should still, on ordinary meaning,, be called scattering here owing to diffraction in order to discuss conveniently from the light of periodic samples " scattering ".When using light source irradiation, the reflecting properties of scattering/diffraction light depends on the structure and the component of these features itself at a series of periodic characteristics (usually said diffraction grating).Therefore, by analyzing scattering " feature ", people can determine the shape and size of diffraction grating.
In fact diffraction has produced many different " level time ", perhaps from the light beam of these feature scatterings.Semiconductor in the modern times is made in the geometric figure, and the cycle of these features is less, therefore only has an order of diffraction usually.This level time is referred to as " minute surface " or 0 grade, and is the light beam of frequent use in the scatterometry technology.Be exactly the incident angle that changes radiation source (normally laser) wherein by of general mode who uses the direct reflection level to analyze light scattering.As shown in Figure 1, at incident angle θ 1During variation, detector is just at angle θ nMove forward and backward diffraction power, and scattering " feature " is measured with energy measurement direct reflection level.As scattering signatures, usually said angle character is exactly this scattering signatures, and it comprises the information of relevant diffraction structure, as the thickness of grating and the width of grid stroke.When angle character process correct measurement, it can also comprise the information of the relevant any asymmetry that occurs in grid stroke.By measuring with complementary angle (with respect to the positive negative angle of normal), if can obtain line be asymmetric it be asymmetric.On the contrary, if line profile is actually symmetry, measured features also will be symmetrical so.Complementary angle is dispensable, still, if suitable theoretical diffraction model can be used for comparison, just can carry out " opposite " problem (face as follows).
Scatter measurement method is often described with two parts, is referred to as " forward " and " oppositely " problem usually.On the simplest meaning, forward problem is the measurement of scattering signatures, and oppositely problem is the analysis of feature so that significant data are provided.The scatterometer of many types is through investigation for many years, for example, C.J.Raymond, et al., " Metrology ofsubwavelength photoresist gratingsusing optical scatterometry; Journal of Vacuum Science and Technology B 13 (4), pp.1484-1495 (1995) (" using the metering of the inferior wavelength photoresistance grating of light scattering measurement " that people such as C.J.Raymond delivers on magazine " vacuum science and technology " (1995) 1481-1495 page or leaf B13 (4)); S.Coulombe, etal., Ellipsometric scatterometry for sub0.1 pm measurements, Integrated Circuit Metrology, Inspection andProcessControl XII, Proc.SPIE 3332 (1999) (" the oval scatterometry of measuring below the 0.1 μ m, integrated circuit metrology are checked and process control XII " that people such as S.Coulombe delivers in Proc.SPIE3332 (1999)); Z.R.Hatab, etal., Sixteen-megabitdynamic random access memory trench depth characterization usingtwo-dimensional diffraction analysis, Journal of Vacuum Science andTechnology B 13 (2), pp.174-182 (1995) (" using 16,000,000 dynamic RAM channel depth features of two-dimentional diffraction analysis " that people such as Z.R.Hatab delivers on magazine " vacuum science and technology " (1995) 174-182 page or leaf B13 (2)); And X.Ni, etal., Secularspectroscopic scatterometry in DUV lithography, ProcSPIE 3677, pp.159-168 (1999) (" the reflection beam splitting mirror scatterometry in the DUV lithography " that people such as X.Ni delivers in Proc.SPIE3677 159-168 page or leaf (1999)).Though extensive studies be have the angle or " 2-θ (because among Fig. 1 two θ variables showing) changes, and as noted earlier, incident angle changes to obtain scattering signatures.Such just scatterometer is preferred, but for the asymmetric measurement of line profile not necessarily.Should notice that the scanning optics among Fig. 1 allows the scattered through angles instrument to measure from normal incidence (0 degree) until the positive negative angle of about+/-47 degree.
Several diverse ways also have been used to probe into the solution of opposite problem.People such as foregoing C.J.Raymond (1995); R.H.Krukar, Ph.D.Dissertation, University ofNew Mexico (1993) (R.H.Krukar, PhD dissertation, University of New Mexico (1993)); J.Bischoff, etal., ProcSPIE 3332, pp.526-537 (1998) (people such as J.Bischoff, ProcSPIE 3332, pp526-537 (1998)); And I.J.Kallioniemi, etal., ProcSPIE 3743, pp.33-40 (1999) (people such as I.J.Kallioniemi, Proc SPIE 3743, pp33-40 (1999)).Because the photoresponse of diffraction grating can be carried out modelling strictly from Marx's Wei equation, therefore the most frequently used method is based on the analysis of model.These technology rely on measured scattering signatures and the feature that produces from the above-mentioned theory model are compared.Differential and integral model have been probed into.Because these diffraction models are intensive on calculating, thus, the recurrence performance generally can not use standard regression techniques at present because producing under the error condition, if but the less or tolerable of error just can use homing method.But generally, this model is formerly used to produce corresponding to some the row features such as the discrete iteration of the various grating parameters of the thickness of grid stroke and width.This stack features that produces when carrying out iteration in the scope of all parameters in some values is referred to as feature database.When measuring scattering signatures, it is compared can find immediate coupling with feature database.The Euclidean distance of standard is measured, as makes average variance (MSE) or root-mean-square deviation (RMSE) be used to discern immediate coupling.The parameter of the aspect of model the most consistent with measured features is considered to the parameter of this measurement features.Scatterometer in certain embodiments preferably includes the analysis software based on the error minimum value.
In the research formerly, scatterometry has been used for the measurement of critical dimension (CDs) and the profile characteristic of photoresist sample, people such as previous described C.J.Raymond (1995) and C.Baum, et al., " Resist line width and profile measurementusingscatterometry; " SEMATECH AEC-APC Conference, Vail, Colorado, (September 1999) (" using the resist line width of scatterometry and the measurement of profile " of people such as C.Baum, SEMATECH AEC-APC Conference, Vail, Calorado (in September, 1999)), and etching material such as polysilicon and metal, S.Bushman, etal., " ScatterometryMeasurements for Process Monitoring of Polysilicon Gate Etch; " Process, Equipment, and Materials Control in Integrated Circuit ManufacturingIII, Proc.SPIE 3213 (1997) (S, people's such as Bushman " scatterometry of the process monitoring of polysilicon grid etching is measured ", the integrated circuit of Proc.SPIE 3213 in 1997 is made process among the III, equipment and control of material); C.Baum, et al., " Scatterometry for post-etch polysilicon gatemetrology; " Integrated Circuit Metrology, Inspection and Process Control XIII, Proc.SPIE 3677, pp.148-158 (1999) (people's such as C.Baum " scatterometry of after etching polysilicon gate control utmost point metering ", Proc.SPIE 3677 integrated circuit meterings in 1999, inspection and process control XIII 148-158 page or leaf); And C.Raymond, et al., " Scatterometry for themeasurement of metal features; " Integrated Circuit Metrology, Inspection andProcess Control XIV, Proc.SPIE3998, pp.135-146 (2000) (people's such as C.Raymond " scatterometry that metallicity is measured ", the integrated circuit metering of Proc.SPIE 3998 in 2000, inspection and process control XIV 135-146 page or leaf).Because this technical development is rapid, do not have destructiveness, and demonstrate splendid accuracy, it measures other that uses in the main flow semiconductor fabrication is a noticeable selection scheme.Particularly, the check that asymmetry is measured is extremely stood in scatterometry, because as what will show, if any asymmetry occurs on grid stroke, scattered through angles " feature " can promptly show (under the situation of not carrying out opposite problem).
When considering whether expectation is symmetrical in the diffraction efficiency of measured direct reflection (zero level) scattering signatures, decomposing the input and output field with respect to the input border (xy-plane in the case) of grating problem is that S and P component are very easily.Fig. 2 represents the geometry with respect to these components of angle sweep direction (from the scanning of positive and negative angular area).Plane of incidence shown in the attention figure is a page itself, does not also make reference with respect to grating with respect to the orientation of plane of incidence.We can see from accompanying drawing:, exist to differ in the S polarization components when half of angular area moves to second half at light beam.This differs is exactly why asymmetric angle character can be from a reason of non-symmetric line profile generation.
Grating orientation with respect to plane of incidence is another kind of consideration in the asymmetric measurement of sample.Fig. 3 A and 3B show two kinds of orientations respectively, are called circular cone and traditional structure.From first principle, can show that the scanning that is parallel to grating vector (what is called shown in Fig. 3 A " standard " or " tradition " structure) only is (for example never to be coupled the situation of the S of whole electromagnetic field and P model, see, equation (48) of M.Moharam, et al., " Formulation for stable and efficientimplementation of the rigorous coupled-wave analysis of binary gratings; " J.Opt.Soc.Amer.A, Vol.12, pp.1068-1076 (May 1995)) (for example, see people's such as M.Moharam equation (48), " equation that accurate coupled waves stable and effectively realization scale-of-two grating is analyzed ", J.Opt.Soc.Amer.A, Vol.12, pp.1068-1076 (May nineteen ninety-five).For general circular cone scattering problem, if the input irradiation is that the coupling attribute of this problem is told people in complete P polarization state: people can observe S and the P component in output (all) field.Equally, if the input irradiation is in complete S polarization state, we can observe S and P component in output (all) field so.
The scattering problem is linear, so grasp the stack principle.If mixed polarization states is used for incoming wave, we can decompose input for S and P component so, deal with problems separately, then the output field that obtains are overlapped on the complex amplitude.All the S component of output fields be by since the component of the S of the input field of the attribute that is coupled fully of problem and P part constitute.Similar statement also is real to the P component of whole output fields.Be superimposed upon on the complex amplitude and take place, therefore come from the S of input field and the field component in the P S polarization state partly and present interference effect.This just means that the S of whole inputs field and the S and the width of cloth in the P component that the relative differences between the P component can be converted to whole output fields are poor.Consider this, can expect asymmetric in any situation output diffraction efficiency that coupling occurs.Be further noted that: in the conical scanning of strictness (wave vector of illumination beam remains parallel to the symmetrical structure plane), symmetrical structure can not produce coupling.Therefore, for this situation, people expect the symmetry in the measured diffraction efficiency.Only be presented under the situation of the general conical scanning (wave vector of illumination beam is not parallel to the symmetrical structure plane) in the input beam, can not expect asymmetric in measured S and the P diffraction efficiency at dissymmetrical structure or S and P component.
For the notion of the asymmetric grid stroke of introducing the scattering signatures that produces asymmetric measurement, consider the simple photoresist line profile shown in Fig. 4 (a)-(c).Fig. 4 (a) shows the ideal symmetrical profile that two wall angles equal 90 degree.In Fig. 4 (b), 80 degree have been changed at the You Bi angle, are opposite situations (left side 80 degree, right side are got back to 90 degree) and Fig. 4 (c) illustrates.Fig. 5 shows the scattered through angles feature by the complementary measurement of angle relevant with each profile.Just as shown in FIG., symmetrical profiles all produces symmetrical scattering signatures for two polarization.But asymmetric profile has shown in two polarization asymmetric significantly.In fact, as the asymmetric result of profile, these features are shown as crooked, or " deflection ".And, for the characteristic of 80/90 and 90/80 degree situation relatively show interesting result-side wall angle reverse produced feature oppositely.Physically, this oppositely will be with identical by rotation wafer 180 degree, with the positive negative region of this conversion scanning, so this result is self-congruent.These accompanying drawings also show the advantage that the scattered through angles that is used for determining asymmetric existence is measured, because people only can set up with the pure visual detection profile of feature being asymmetric.
Asymmetric model relatively
In other embodiments, by carrying out the scheme of opposite problem, for example, execution model compares, by just returning or relatively can determining asymmetric by the use storehouse.If " half side " (positive or negative) angle only occurs, for example, if perhaps system is that this may be favourable at the spectral dispersion instrument of fixed angle operation.
It relatively is some useful structures that Figure 19 to 24 shows model.Each accompanying drawing is the xsect of a feature, can be referred to as feature contour.In certain embodiments, these features can be the lines of diffraction grating, xsect can be substantially perpendicular to the longitudinal axis (not shown) of line.Some illustrated feature contours, for example, Figure 19 and 20 is single line profiles.Other, for example, Figure 21 and 22 is the overlapping or multiple dielectric layer structures that can be made up of two or more features.For example, Figure 21 can regard as and comprise the feature contour that overlaps the first single line profile G on the second line profile H; In Figure 21, the alternately overlapping symmetrical single line profile H of asymmetric single line profile I.
Each aspect of model profile of Figure 19-24 is asymmetric.At first see Figure 19, feature contour 100 comprises base portion 102, top 104 and left side wall 106, right side wall 108 respectively.Desirable symmetry can have the top 104 that is parallel to base portion 102, and base portion 102 and top 104 are with the parallel sidewall 106,108 of right angle intersection.In Figure 19, left side wall 106 is vertical, but right side wall 108 tilts.Therefore, feature contour 100 is with respect to extending between top 102 and the base portion 104 and being asymmetric perpendicular to the center line Z of base portion.In Figure 19, center line Z is from the most left point (sidewall 106) and the equidistant setting of the rightest point of feature (places of sidewall 108 engage base 102) of feature, but not perpendicular to base portion 102 and the feature contour center line about its symmetry.The single line profile 110 of Figure 21 also comprises base portion 112, is parallel to top 114 and two sidewalls 116,118 of base portion.Neither one sidewall 116 and 118 is vertical, but left side wall 116 with an angle tilt so that vertical, right side wall 118 with another angle tilt so that vertical.Therefore feature contour 110 is asymmetric about center line Z.
The multilayer feature can comprise one deck feature contour of symmetry, as the line H in Figure 21 and 22 and asymmetric one deck feature contour, as Figure 21 center line G and Figure 22 center line I.Figure 23 middle and lower part line profile J is not perfect rectangle, but symmetrical, will produce half of two symmetries perpendicular to the center line (not shown) at line base portion middle part.Although the symmetry of the feature contour of one deck structure among Figure 21-23, the full feature profile is asymmetric.In other embodiments, aspect of model profile can have two or more asymmetric individual layer feature contours.For example, Figure 24 shows its middle and upper part feature contour I and bottom feature contour K is asymmetric double-deck feature about the median vertical line (not shown).
Many aspect of model profiles of Figure 19 to 24 comprise at least three different angles.As a result, they can be the right angle at two angles in profile left side, but angle A be different mutually with B, and neither one is the right angle.Certain illustraton of model has four different angles as the line K of the multiple dielectric layer structure of Figure 20 and Figure 24 in the xsect of feature.In Figure 20, the angle that is comprised of each feature contour, that is, angle C, D, E is different mutually with F.In the overlapping or multiple dielectric layer structure, at least one of overlapping feature preferably has at least three different angles in two or more online cross sections at some.
In one embodiment of the invention, compare,, produce the theoretical library of single or multiple lift diffraction structure and corresponding simulation or theoretical diffracted signal with theoretic diffractive features based on theoretic single or multiple lift diffraction structure with measured diffractive features.This can use the distinct methods of any number to realize.In one approach, based on the actual library of the theoretical output signal of the parameter generating of the variable that is distributed.This storehouse can produce before the actual measurement of diffractive features, perhaps can produce in the process that makes measured diffractive features matching theory diffractive features.Therefore, just as used herein, theoretical library comprises that one or two is independent of measured diffractive features and the storehouse that produces and the storehouse that produces based on the calculating of geometric theory of measured undercutting sandwich construction " optimum infer " and the theoretical diffraction structure that obtains, and repeats argument structure that comparison changes with definite Optimum Matching.The signal that the storehouse can accurately present by insertion by other signal removal from reference settings carries out optimum and reduces the number of.The index in storehouse equally can be relevant with at least one index function by making each feature, produces based on relevant amplitude ranking index then.The formation of this typelib or generation, and best practice is known in the art.
In one approach, be used to calculate prediction optical signalling characteristic based on the strict theoretical model of Marx's Wei equation, as diffractive features as the diffraction structure of the function of diffraction structure parameters.In this process, select the trial value of one group of diffraction structure parameters, but and constitute the computing machine display model that comprises its optical material and geometric diffraction structure based on these values.Electromagnetic interaction between diffraction structure and the illumination radiation goes up simulation and can calculate the diffractive features of prediction through numeral.Multiple suitable any of optimal algorithm can be used for regulating diffraction structure parameter values, and repeats this process so that the minimum deviation between the diffractive features of measured and prediction obtains Optimum Matching with this.The disclosed patented claim No.US2002/0046008 of the U.S. discloses a kind of structure recognition data storehouse method, and the disclosed patented claim No.US2002/0038196 of the U.S. discloses another kind of method.Equally, the disclosed patented claim No.US2002/0135783 of the U.S. discloses many theoretical library methods, and is the same with the disclosed patented claim No.US2002/0038196 of the U.S..
Producing the storehouse from model pattern is known in the art, as many lists of references, disclosed the same with 2002/0165636 as the disclosed patented claim No.US 2002/0035455,2002/0112966,2002/0131040,2002/0131055 of the U.S..The early stage list of references of these methods comprises R.H.Krukar, S.S.H.Naqvi, J.R.McNeil, J.E.Franke, T.M.Niemczyk, and D.R.Hush, " Novel Diffraction Techniques for Metrology ofEtched Silicon Gratings; " OSA Annual Meeting Technical Digest, 1992 (Optical Society of America, Washington, D.C., 1992), Vol.23, p.204; And R.H.Krukar, S.M.Gaspar, and J.R.McNeil, " Wafer Examination and CriticalDimension Estimation Using Scattered Light; " Machine Vision Applications inCharacter Recognition and Industrial Inspection, Donald P.
D ' Amato, Wolf-Ekkehard Blanz, Byron E.Dom, Sargur N.Srihari, Editors, ProcSPIE, 1661, pp 323-332 (1992). (R.H.Krukar, S.S.H.Naqvi, J.R.McNell, J.E.Franke, T.M.Niemczyk and D.R.Hush " diffractive technology of new etching silicon grating measurement ", OSA annual meeting technical digest, 1992 (the optics association of the U.S., Washington D.C.s, 1992), roll up 23 the 204th pages; And R.H.Krukar, S.M.Gaspar and J.R.McNeil " use the wafer inspection and the critical dimension measuring and calculating of scattered light ", Machine vision applications in character recognition and the industrial detection, Donald P.D ' Amato, Wolf-Ekkehard Blanz, Byron E.Dom, Sargur N Srihari, Editors, Proc SPIE, 1661, the 323-332 pages or leaves (1992)).
Can use the method for other coupling that comprises real-time regretional analysis equally.These methods are known in the art, can be used for, determining as the displacement in single line or the multiple dielectric layer structure based on the model displacement " best suitable " theoretical diffracted signal, as diffractive features.In the technology that is described as iterative regression usually, the diffractive features of one or more simulation can liken measured diffractive features to, it is poor to produce an error signal with this diffractive features with another simulation, is calculated then, and is compared to measured diffractive features.This process that is to say recurrence through repeating or iteration, is reduced to particular value up to this error.A kind of method of iterative regression is a non-linear regression, can " in real time " or " having much to do " pattern arbitrarily carry out.Different iterative regression algorithm well-known to those skilled in the art can be by the explanation that relatively is used for measured diffractive features based on the diffractive features of model structure profile and simulation.
Except the parameter relevant with single or multiple lift pattern disclosed herein, other diffraction structure parameters that can be used in the theoretical library comprises and can be comprised the factor as the grating cycle by modeled any parameter, and the material parameter of structure comprises the parameter of its different layers; Structure is put the material parameter of substrate thereon, as the film refractive index below film thickness and the structure; With various weighted values or mean value, as CD at assigned address, the value that the related component by structure and substrate etc. is weighted.
In yet another embodiment, the short period structure can for example can be used this result by recurrence or model comparison by modelling.Just as used herein, term " short period structure " thus comprising length enough lacks the whole length and the width of two or more structures and is included in by the three-dimensional structure in the zone of the light source irradiation of used scatterometer.If this zone of the scatterometer of being expected irradiation is arranged in the wide order of magnitude of 40 μ m, for example, the short period structure less than the longitudinal length of 40 μ m (for example can have one, on direction) perpendicular to grating vector K, enough short with a lateral separation (for example, along the line grating vector K between the line grating adjacent lines distance) to comprise at least two features.Be contemplated to be, thus the length of short period structure less than half at least two of the width of irradiation area, preferably three or more short period structures is spaced from each other in irradiation area and is fit in the vertical.Preferably, the length of short period structure is enough short in to become the relevant parameter with respect to the incident irradiation.
In an exemplary embodiments, the line of each line grating model is defined as short-term rather than the single long line that some row are vertically aimed at.For example, each short-term is grown up and is about 5-20 μ m, the roomy 0.5-2 μ m that is about.Cycle between the parallel lines is approximately 0.5-2 μ m.If the incident of scatterometer irradiation covering diameter is approximately the border circular areas of 40 μ m, the whole length of many short-terms of so this structure and weak point degree will be held by light.
Figure 25-27 has schematically shown the short period structure of selecting embodiment according to the present invention.In Figure 25, each short period structure comprises character array, and each feature comprises first post or the hole in overlapping another post or hole.The axle (not shown) in first post or hole is offset the axle in second post or hole in each feature, produces the double-deck feature that comprises " ladder " shape.In a preferred embodiment, post is oval-shaped, and Shen Chang ellipse preferably is thus with respect to the complementary angle analysis scheme that offers the best.It is the line of periodic feature on directions X that this array can be arranged as a series of, but needs not to be periodic on the Y direction.In a useful model, this array is to have the regular array in periodic post or hole on the directions X and on the Y direction.In Figure 26, the first serial rectilinearity feature is positioned on the top with respect to second series rectilinearity feature, and on X and Y direction deflection, thereby as shown in Figure 25 structure be skew or comprise " ladder " feature.Figure 27 also comprises with respect to second series rectilinearity feature and being positioned on the top, and on X and Y direction the first serial rectilinearity feature of deflection.Different with Figure 25 and 26, the xsect of one of them rectilinearity feature is asymmetric, and at least three different interior angles are provided.In concrete enforcement shown in Figure 27, first and second features are asymmetric, and are similar with J to the line I of Figure 23.In a useful embodiment, the physical dimension in the lateral dimension (for the plane of device) is different, and is preferably different substantially.In Figure 26, for example, short-term is substantially than their wide (the Y direction of Figure 25) longer (on the directions X of Figure 25).Though circle and rectangular configuration have been shown among Figure 25-27, method according to other embodiments of the present invention can be used any three-dimensional structure, preferably repetitive structure or periodic structure.
Enforcements more of the present invention can be used the theoretical model based on the three-dimensional structure of short period array of structures.Though it is complicated calculating three-dimensional model, because there is a large amount of variablees in such structure, it can produce model, and uses this model to compare and analyze by the data that obtain in the actual three-dimensional structure of stating the scatterometry technology in the use.It can also expect such as three-dimensional model use to be used for various algorithms and the methodology that simplified model calculates.
In yet another embodiment, asymmetric in three-dimensional short period character array is to measure by measuring complementary angle (with respect to the positive negative angle of normal value), preferably measures by measurement complementary angle θ (with respect to the positive negative angle of normal value) scope.If three-dimensional structure is asymmetric, just can obtain asymmetric feature.On the contrary, if three-dimensional structure is actually symmetry, measured features also will be symmetrical so.
Practicality of the present invention in by the asymmetry of determining three-dimensional structure as comparison supplementary angles degree in scope has been shown among Figure 28 and 29.Figure 28 is the curve map that is positioned at the scattered through angles measurement features (reflecting) of the first serial rectangular, three-dimensional rectilinearity structure on the top of second series rectangular configuration of Figure 26 on complementary angle.In Figure 28, solid line represents do not have deviation with respect to overlapping single feature, and dotted line is represented the 25nm deviation of these single features, and dot-and-dash line is represented the deviation of 50nm.S polarization measurement and P polarization measurement are symmetrical about the 0 ° of angle that does not have deviation (solid line).For 25nm deviation (dotted line), each profile (as S data profile or P data profile) is a deflection with respect to 0 ° of angle, thereby each S data and the drawing of P data are asymmetric.Along with the asymmetric increase of three-dimensional structure, asymmetricly in the drawing that produces correspondingly increase, thus asymmetry (solid line) big when (dot-and-dash line) is than the 25nm deviation when the 50nm deviation.Figure 29 represents the curve map of the scattered through angles measurement features (reflecting) of the ellipse similar to Figure 25 " post is on the post " three-dimensional structure in complementary angular range, wherein the first serial ovallized column is positioned on the top of identical shaped second series post.Solid line among Figure 29 represents do not have deviation with respect to first and second series, and dotted line is represented the deviation of 25nm, and dot-and-dash line is represented the deviation of 50nm.As shown in Figure 28, the degree of the asymmetry in S data and P data is relevant with the asymmetric number of degrees in the three-dimensional structure.
Therefore, when complementary angle is taked the scatterometry measure of short period structure, need computing power according to the embodiment of the invention hardly.The Modeling Calculation degree of three-dimensional structure is stronger, and all rigid models by simple structure can not reasonably obtain in the time cycle easily with present calculation element and program.But, can be fairly simple and easy from calculating angle by checking the symmetry identification asymmetry of collected data in the embodiment of the invention of complementary measurement of angle.
Therefore, scatterometry is specially adapted to three-dimensional structural structure, and the scatterometry of the 0th grade of the while or the minute surface order of diffraction is sensitive to the alignment offset in the continuous three-dimensional structural sheet.Skew in the three-dimensional structure layer (also being referred to as to depart from) produces asymmetric line profile, and it can use the scatterometer on correct direction of measurement to measure.Just as shown in the figure, when producing deviation, characteristic change, this is the positive mark that is used for measuring usually sensitivity.In one embodiment, direction of measurement can carry out experience based on the particular community of the three-dimensional measurement (for example, whether the measurement of most critical is at X, on the direction of Y or Z) of most critical and determines.Therefore, the angle θ in the change scope (with the measurement of taking every kind of respective complementary angle under the situation), can also change angle Φ (anglec of rotation), and be identified for the best angle Φ of three-dimensional measurement.
The scatterometry technology of following case representation aspect according to the present invention has the asymmetric excellent sensitivity of measurement features, therefore can be used in and limits the process of wishing to obtain symmetrical result, as lithographic plate photography and etch process.With other measuring technique such as AFM and section S EM relatively show good consistance.
Industrial applicibility
The present invention can describe by following limiting examples further.
In order to assess the ability of using scatterometry to carry out asymmetric profile measurement, three kinds of different sample types (example 1-3) have been investigated.First sample set is made up of the wafer of three photoresist lines on the metal substrate.Second sample is the single-chip of the polysilicon Si of etching.The 3rd sample set also is the single-chip that is printed on the grid stroke on the 193nm photoresist.For each sample set, former scattering signatures passes through on the conical scanning direction, and obtain by positive negative angle execution measurement.Suitable scatterometry storehouse produces each sample set, and comprises the independently left and right sides variable in the sidewall, and other parameter such as CD and thickness.
Example 4 expression the present invention are used to measure the aligning of two successive layerss on the semiconductor wafer.
Photoresist line on the example 1-metal substrate
The live width of sample set is the 250nm of standard hereto.The composition that piles up from top to bottom is made up of the photoresist that the ARC on the TiN layer upward forms pattern, next is thick AlCu layer (it is effectively as substrate).
Show a large amount of asymmetric from the former feature of this sample set.Fig. 6 represents a feature from this data set, and negative half one stack (" reflection ") of a positive half-sum of angle scanning is on another top.Clearly as shown in the figure, two half be inequality.In fact, they according to reflectivity in some angle differences greater than 5%, the structure of feature is also different on some angles.Because measure and on the circular cone grating orientation, to carry out, Here it is the asymmetric mark of profile.
From the former feature of this data set Matching Model just in time.The side wall angle result that these wafers are measured as shown in Figure 7.Recall the independent variable in the side wall angle of the permission left and right sides, storehouse.Except scatterometry, can be in this is drawn as seen from the AFM data of same position.AFM and scatterometer result are presented at and have real difference in the Sidewall angles, and it is in the scope of 1-2 degree.Data admit that left wall angle is more precipitous than right wall angle.Scatterometry data presentation left and right sides angle moves forward and backward, that is, the whole width of line can not change, but cross wafer from a position to the another location " swing " 1-2 degree.This effect may belong to the correlativity between the wall angular dimensions of the left and right sides, and fixing but the inspection of modeled characteristic has disclosed when a wall angle left side, when another allowed to change, they were quite obviously different.
The etched polysilicon lines of example 2-
The live width scope of sample set is 150 to 300nm hereto.Pile up by the polysilicon that forms pattern (etching) on the oxide on the Si substrate and form.Shown asymmetric on a small quantity when in conical structure, measuring from the former feature of this sample set.Fig. 8 represents to have by " reflection " so that such feature of asymmetric just half feature and negative half feature to be described.
In order to compare, the wafer that is used for these scatterometries is cutd open xsect and is measured can determine the side wall angle of line with SEM.Fig. 9 represents the result of the left and right sides sidewall angular measurement of two kinds of technology of comparison.As shown in the figure, two kinds of instrument report sidewalls are to a certain degree asymmetric, and wherein the Zuo Bi angle is less usually.And the side wall angle correlativity between two kinds of technology is good, and shows the similar trend from a position to another position.
Example 3-193nm photoresist line
Last sample set of investigating is the single-chip that is printed on the 193nm photoresist line on BARC layer, polyethylene layer, oxide skin(coating) and the silicon substrate.Nominal characteristic dimension on this wafer is the 180nm line.
For this wafer, characteristic is only asymmetric slightly when measuring with the circular cone pattern.Figure 10 represents the S and the P polarization of one of them " reflection " time oneself feature on one's body.With compare from the feature of aforementioned sample view is asymmetric, this asymmetric relatively a little less than.
Delegation's left and right sides wall angular data of this wafer can be in Figure 11 as seen.Comprise that drawing in the drawings is the measurement of carrying out in same position with AFM.Two kinds of measuring techniques are very consistent aspect the double amplitude at wall angle.The more asymmetric measurement of AFM data presentation, but usually with consistent from the data of scatterometry instrument.The comparison of colleague's the CD measurement of passing through scatterometer and AFM acquisition can be in Figure 12 as seen mutually.As scheme to show that the consistance between AFM and the scatterometry instrument is splendid.Mean difference between these two kinds of technology is 2.43nm.
Example 4-successive layers is to locating tab assembly
For the final performance of the device of making, the aligning of two successive layerss is very crucial on the semiconductor wafer.This aligning (being also referred to as overlapping) is very important from there being instrument to carry out this task.The image of the specific alignment characteristics on these instruments are printed on every layer based on measurement.But, because more and more miniaturization of semi-conductor industry, therefore just exist a large amount of abilities around these instruments so that the doubt of necessary measurement scheme can be provided.
Scatterometry is a kind of technology that is highly suitable for overlapping measurement.By using the optical grating construction on the grating, the scatterometry of the 0th time or reflection, diffraction time is to the alignment offset sensitivity in the continuous grating layer.Skew in the grating layer (also being referred to as deviation) produces the non-symmetric line profile, can measure by use scatterometer on correct direction of measurement, and preferred (although not necessarily) has the ability of measurement supplementary angles (positive negative angle).
Figure 13 represents to can be used in the image of grating profile on the grating of overlapping measurement.Error in aiming at two successive layerss produces skew and the non-symmetric line profile between the grid stroke.Figure 14 represents going up the skew of the measurement of carrying out or the result of aliasing error sensitivity in conventional direction (seeing Fig. 3 (a)).Characteristic change when skew produces, it is the positive mark of general measure sensitivity.But, as shown in figure 15, for traditional scanning, identical amplitude+/-feature that produces when deviation is introduced is not unique.Therefore, traditional scanning is more unsatisfactory than conical scanning.
Repeat to produce feature shown in Figure 16 and 17 with conical scanning.As for traditional scanning, Figure 16 represent with the skew changing features, but Figure 17 represent+/-(left side/right side) skew between these variations be unique now.And attention is about the symmetry of zero degree.
Therefore, investigate the tradition of the level of display mirror (the 0th) and conical scanning to being offset sensitivity, but have only conical scanning to move the feature that provides unique with respect to a left side/right avertence.Assess overlapping existing scatter measurement method and relate to the 1st time and the more use of high order, so require specific measurement hardware to measure more high order.For example, see Sohail Naqvi, etal., " Diffractive techniques for lithographicprocess monitoring and control ", JVSTB 12 (6) is (moireinterferometric technique using higher orders) (people's such as Sohail Naqvi " diffractive technology of lithographic plate photography process-monitor and control ", JVSTB 12 (6) (in November, 1994)) (Nov.1994); And J.Bischoff, et al., " Light diffraction based overlay measurement ", Proc.SPIEVol.4344, pp.222-233 (2001) (15t order measurement of grating-in-grating) (people's such as J.Bischoff " based on the optical diffraction of overlapping measurement ", Proc.SPIE Vol 4344, PP222-233 (2001) (the 1st of grating the measurement in the grating)).
The example of front can repeat with similar effect by replacing of the present invention common or specific described reactant and/or the operating conditions of using in the example in front.
Though the present invention is described in detail with reference to these preferred embodiments, other embodiment also can realize identical effect.For a person skilled in the art, variation of the present invention and modification will be conspicuous, and appended what is claimed is is used to cover all such modification and equivalents.The full content of above-mentioned all lists of references, application, patent and publication is incorporated into by reference at this.

Claims (33)

1. measure the asymmetric method of three-dimensional structure in the microelectronic component for one kind, described method comprises the following steps:
To the microelectronics character array of microelectronic component, described rayed comprises the part of the array of the whole length of many microelectronics features and width with light direct beam;
From by one or more reflection angle, one or more wavelength, or detect under the condition of selecting in their group that constitutes from the backward scattered light of described array; With
Comprise inspection one or more characteristic by execution from the operation inspection rear orientation light of the data of reflection supplementary angles.
2. the method for claim 1, wherein direct projection step comprises the light of the single in fact wavelength of direct projection.
3. the method for claim 1, wherein direct projection step comprises the light of direct projection multi-wavelength.
4. the method for claim 1 checks that wherein step comprises the comparison light intensity.
5. the method for claim 1 checks that wherein step also comprises the comparison phase place.
6. the method for claim 1 checks that wherein step also comprises the ratio of comparison light amplitude and light phase.
7. as claim 1,2 or 3 described methods, wherein direct projection step comprises according to general circular cone configuration, with light direct beam on the microelectronics character array.
8. as claim 1,2 or 3 described methods, wherein direct projection and detection step are carried out by the scattered through angles instrument.
9. as claim 1,2 or 3 described methods, wherein direct projection and detection step are carried out by the spectral dispersion instrument.
10. as claim 1,2 or 3 described methods, check wherein that step comprises rear orientation light is decomposed into S and P component with respect to plane of incidence.
11., wherein detect step and comprise detection minute surface order diffraction light as claim 1,2 or 3 described methods.
12. as claim 1,2 or 3 described methods, also comprise such step: the result who use to check step detects asymmetric, described asymmetric be from by selecting in the asymmetric group that constitutes in the multilayer of the asymmetric and microelectronic component the individual layer of microelectronic component.
13. method as claimed in claim 12 also comprises step: if asymmetric in the array of display as a result of comparison step, the control manufacture process.
14. the asymmetric method of measuring in the microelectronic component of line profile, this method comprises the following steps:
With light with the incident angle direct projection of inciding array to the microelectronics character array of microelectronic component, described rayed comprises the part of the array of the whole length of many microelectronics features and width;
With with the angular detection of incident angle complementation from the backward scattered light of array; With
With one or more characteristic of detection light with comprise that the asymmetric model of single feature contour compares, described single feature contour has upper surface, base portion and extends between upper surface and base portion and perpendicular to base portion in xsect center line, wherein said cross section is asymmetric about center line.
15. method as claimed in claim 14, wherein the xsect of single feature contour comprises at least three different interior angles.
16. method as claimed in claim 14, wherein the xsect of single feature contour comprises at least four different interior angles.
17. method as claimed in claim 14, wherein single feature contour is first line, and described model comprises the second-line feature contour that overlaps on first line, and wherein first line is with second-line one of them comprises at least three different interior angles on the xsect of line at least.
18. method as claimed in claim 17 wherein has four different interior angles on first line and second-line one of them online xsect at least.
19. method as claimed in claim 17 wherein all comprises at least three different interior angles on the xsect of each in first line and second line of first line and second line.
20. method as claimed in claim 17, wherein first line has at least one sidewall, and described sidewall departs from second-line second sidewall.
21. method as claimed in claim 20, wherein first line has the first side wall of aiming at second-line the first side wall and departs from second sidewall of second-line second sidewall.
22. method as claimed in claim 14, wherein direct projection step comprises the light of the single in fact wavelength of direct projection.
23. method as claimed in claim 14, wherein direct projection step comprises the light of direct projection multi-wavelength.
24. method as claimed in claim 14, wherein comparison step comprises the comparison light intensity.
25. method as claimed in claim 14, wherein comparison step also comprises the comparison phase place.
26. method as claimed in claim 14, wherein comparison step also comprises the ratio of comparison light amplitude and light phase.
27. method as claimed in claim 14, wherein direct projection step comprise according to the configuration of general circular cone, with light direct beam on the microelectronics character array.
28. method as claimed in claim 14, wherein direct projection and detection step are carried out by the scattered through angles instrument.
29. method as claimed in claim 14, wherein direct projection and detection step are carried out by the spectral dispersion instrument.
30. method as claimed in claim 14, wherein comparison step comprises backward scattered photolysis for respect to the S and the P component of plane of incidence.
31. method as claimed in claim 14 wherein detects step and comprises detection minute surface order diffraction light.
32. method as claimed in claim 14, also comprise such step: it is asymmetric to use the result of comparison step to detect, described asymmetric be from by selecting in the asymmetric group that constitutes in the multilayer of the asymmetric and microelectronic component the individual layer of microelectronic component.
33. method as claimed in claim 32 also comprises step: if asymmetric in the array of display as a result of comparison step, the control manufacture process.
CNA200480033229XA 2003-09-12 2004-09-13 Line profile asymmetry measurement Pending CN1879004A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50244403P 2003-09-12 2003-09-12
US60/502,444 2003-09-12

Publications (1)

Publication Number Publication Date
CN1879004A true CN1879004A (en) 2006-12-13

Family

ID=34375259

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200480033229XA Pending CN1879004A (en) 2003-09-12 2004-09-13 Line profile asymmetry measurement

Country Status (5)

Country Link
EP (1) EP1678466A4 (en)
JP (1) JP2007505322A (en)
KR (1) KR101071654B1 (en)
CN (1) CN1879004A (en)
WO (1) WO2005028992A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103154664A (en) * 2010-10-08 2013-06-12 东京毅力科创株式会社 Method of determining an asymmetric property of a structure
CN104897078A (en) * 2015-05-19 2015-09-09 哈尔滨工业大学 Measuring method based on visible light reflection spectrum characteristics for ultra-precise lathe machining surface three-dimensional microstructure
CN105004286A (en) * 2015-05-19 2015-10-28 哈尔滨工业大学 Ultraprecise turning processing surface three-dimensional microscopic morphology measurement method based on laser beam diffraction spot characteristic
CN105091780A (en) * 2015-05-19 2015-11-25 哈尔滨工业大学 Ultra-precision turning surface three-dimensional microscopic morphology measuring device based on characteristic of diffraction light spot of laser beam
CN105180825A (en) * 2015-05-19 2015-12-23 哈尔滨工业大学 3D microscopic appearance measuring device of ultra-precise turning surface based on characteristic of visible-light reflection spectrum
CN105988128A (en) * 2015-03-20 2016-10-05 福特全球技术公司 Vehicle location accuracy
WO2022156249A1 (en) * 2021-01-21 2022-07-28 中国科学院微电子研究所 Method for reducing impact of phase grating asymmetry on position measurement precision

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060187466A1 (en) * 2005-02-18 2006-08-24 Timbre Technologies, Inc. Selecting unit cell configuration for repeating structures in optical metrology
NL1036018A1 (en) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
JP5264374B2 (en) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 Pattern shape inspection method and semiconductor device manufacturing method
US10215559B2 (en) * 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
CN1261736C (en) * 2001-03-02 2006-06-28 安格盛光电科技公司 Line Profile asymmetry measurement using sattero-metry
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
JP2003224057A (en) * 2002-01-30 2003-08-08 Hitachi Ltd Method of manufacturing semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103154664A (en) * 2010-10-08 2013-06-12 东京毅力科创株式会社 Method of determining an asymmetric property of a structure
US9239522B2 (en) 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
CN105988128A (en) * 2015-03-20 2016-10-05 福特全球技术公司 Vehicle location accuracy
CN104897078A (en) * 2015-05-19 2015-09-09 哈尔滨工业大学 Measuring method based on visible light reflection spectrum characteristics for ultra-precise lathe machining surface three-dimensional microstructure
CN105004286A (en) * 2015-05-19 2015-10-28 哈尔滨工业大学 Ultraprecise turning processing surface three-dimensional microscopic morphology measurement method based on laser beam diffraction spot characteristic
CN105091780A (en) * 2015-05-19 2015-11-25 哈尔滨工业大学 Ultra-precision turning surface three-dimensional microscopic morphology measuring device based on characteristic of diffraction light spot of laser beam
CN105180825A (en) * 2015-05-19 2015-12-23 哈尔滨工业大学 3D microscopic appearance measuring device of ultra-precise turning surface based on characteristic of visible-light reflection spectrum
WO2022156249A1 (en) * 2021-01-21 2022-07-28 中国科学院微电子研究所 Method for reducing impact of phase grating asymmetry on position measurement precision

Also Published As

Publication number Publication date
WO2005028992A3 (en) 2005-10-13
JP2007505322A (en) 2007-03-08
WO2005028992A2 (en) 2005-03-31
KR101071654B1 (en) 2011-10-11
KR20060116797A (en) 2006-11-15
EP1678466A4 (en) 2008-07-30
EP1678466A2 (en) 2006-07-12

Similar Documents

Publication Publication Date Title
CN1261736C (en) Line Profile asymmetry measurement using sattero-metry
US10107765B2 (en) Apparatus, techniques, and target designs for measuring semiconductor parameters
KR101071654B1 (en) Line Profile Asymmetry Measurement
US7639371B2 (en) Line profile asymmetry measurement
CN1226591C (en) Method to measure features with asymmetrical profile
TWI575334B (en) Inspection method, lithographic apparatus, mask and substrate
US9915879B2 (en) Substrate and patterning device for use in metrology, metrology method and device manufacturing method
TWI620004B (en) Method and system for pattern correction and related computer program product
US20140141536A1 (en) Method and System for Providing a Target Design Displaying High Sensitivity to Scanner Focus Change
TW201730514A (en) X-ray scatterometry metrology for high aspect ratio structures
CN1599886A (en) Determination of center of focus by cross-section analysis
US11353799B1 (en) System and method for error reduction for metrology measurements
TW202346792A (en) Estimating in-die overlay with tool induced shift correction
Raymond et al. Applications of angular scatterometry for the measurement of multiply periodic features
CN1238687C (en) Determination of center of focus by diffraction signature analysis
Reinig et al. Metrology of deep trench etched memory structures using 3D scatterometry
CN1771464A (en) Determination of center of focus by parameter variability analysis

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication