CN1847450A - Chemical vapor deposition method - Google Patents

Chemical vapor deposition method Download PDF

Info

Publication number
CN1847450A
CN1847450A CNA2006100745221A CN200610074522A CN1847450A CN 1847450 A CN1847450 A CN 1847450A CN A2006100745221 A CNA2006100745221 A CN A2006100745221A CN 200610074522 A CN200610074522 A CN 200610074522A CN 1847450 A CN1847450 A CN 1847450A
Authority
CN
China
Prior art keywords
shower nozzle
chamber
chemical vapor
reactant gases
source gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100745221A
Other languages
Chinese (zh)
Other versions
CN100540734C (en
Inventor
金宰湖
朴相俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lap Yi Cmi Holdings Ltd
Wonik IPS Co Ltd
Original Assignee
Integrated Process Systems Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Integrated Process Systems Ltd filed Critical Integrated Process Systems Ltd
Publication of CN1847450A publication Critical patent/CN1847450A/en
Application granted granted Critical
Publication of CN100540734C publication Critical patent/CN100540734C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The present invention relates to chemical vapor deposition apparatus. In the chemical vapor deposition apparatus, an RF power source connection portionconnected to an external RF power source is installed on an upper side of a chamber; an RF electrode plate is installed within the chamber to be spaced with a predetermined gap from an inner upper surface of the chamber and to be spaced with a predetermined gap from a showerhead disposed below the RF electrode plate; plasma is generated in a first buffer portion, which is defined by a gap between the RF electrode plate and an upper surface of the showerhead, by means of the electric power applied from the external RF power source to the RF electrode plate; the showerhead is divided into two sections in a vertical direction and a second buffer portion is defined by a space between the two sections; reactant gases are supplied to the first buffer portion in which the plasma is generated; and source gases are supplied to the second buffer portion.

Description

Chemical vapor depsotition equipment
The application is that application number is 02107463.1, the applying date is on March 19th, 2002, denomination of invention is divided an application for the application for a patent for invention of " chemical vapor depsotition equipment ".
Technical field
The present invention relates to a kind of chemical vapor deposition (CVD) equipment.The present invention be more particularly directed to a kind of radical (radical) of reactant gases that uses simultaneously by in shower nozzle, producing plasma body or utilizing shower nozzle that external plasma is ejected into and sequentially supply with the chemical vapor depsotition equipment of handling gas in the chamber.
Background technology
Usually, in order to obtain fabulous layer-stepping coating and film quality characteristic at low temperatures, source gas and reactant gases are sequentially supplied in the chemical vapor depsotition equipment.Here, simply describe can sequentially supplying with the conventional chemical vapor deposition apparatus of handling gas with reference to Figure 1A to Fig. 1 C.
Figure 1A is an a kind of synoptic diagram can sequentially supplying with the structural element of the conventional chemical vapor deposition apparatus of handling gas of expression.As shown in Figure 1A, this conventional chemical vapor deposition apparatus comprises that the bottom is provided with a chamber 101 of outlet 100, be installed on the top surface of chamber at least one source gas duct 102 with the interior middle body that enters chamber 101, at least one reactant gases conduit 103 and at least one clean air conduit 104, wherein be formed with the shower nozzle 106 of a plurality of jet holes 105 that are used for inject process gas and be used for supporting wafers or matrix 107 (back is called " matrix ") and, utilize the processing gas that sprays into by shower nozzle 106 can make thin film deposition on matrix 107 simultaneously as the well heater 108 of heating source.
In order to utilize conventional chemical vapor deposition apparatus on matrix 107, to form film with said structure, in one section preset time, spray into the source gas that comes from least one source gas duct 102 so that source gas is adsorbed by matrix 107, then in one section preset time, import clean air so that the source gas that remains in shower nozzle 106 and the chamber 101 is cleaned from least one clean air conduit 104 by shower nozzle 106.Then, by exporting 100 gas discharged.Then, the reactant gases that is imported by at least one reactant gases conduit in one section preset time is injected on the matrix 107 by shower nozzle 106, thereby, utilize reactant gases and be adsorbed on being reflected at that source gas in the matrix 107 is scheduled to and form film on the matrix.In addition, before spraying into source gas once more, the gaseous by-product that produced cleans and then they is discharged in reactant gases in shower nozzle 106 and the chamber 101 and the reaction to remaining in to utilize clean air in one section preset time.As mentioned above, repeat to spray into cleaning source gas and the process that sprays into cleaning activity gas and make thin film deposition on matrix 107.
But, use a kind of like this technology of conventional chemical vapor deposition apparatus to have the very low shortcoming of sedimentation rate, and when it is applied in the semi-conductive scale operation, can increase semi-conductive manufacturing cost.
Figure 1B is an a kind of synoptic diagram can sequentially supplying with the conventional apparatus for plasma chemical vapor deposition of handling gas of expression, and this equipment can overcome the shortcoming of the chemical vapor depsotition equipment shown in Figure 1A.Promptly, as shown in Figure 1B, this routine apparatus for plasma chemical vapor deposition has such structure, shower nozzle 106 is provided with the RF power supply connection portion 109 that can link to each other with external RF power supply 110, be used to make shower nozzle 106 to be installed in shower nozzle 106, thereby directly in chamber 101, produce plasma body with the insulated part 111 of RF power supply 110 electrical isolations that linked to each other with shower nozzle 106.
Promptly, although the apparatus for plasma chemical vapor deposition shown in Figure 1B has conventional processing gas sequentially feeding system, in the processing gas sequentially feeding system of described routine, repeat to spray into cleaning source gas and spray into process with cleaning activity gas in the mode identical with the chemical vapor depsotition equipment shown in Figure 1A, but this plasma body chemical vapor depsotition equipment can directly produce plasma body and the plasma body of reactant gases and the source gas that is adsorbed in the matrix 107 are reacted in chamber 101 after spraying into reactant gases, thereby higher speed of reaction can be provided under lower temperature.
Direct type plasma generation system shown in Figure 1B compares with the system shown in Figure 1A, can improve sedimentation rate under relatively low temperature slightly.But its existing shortcoming is that the base member and the circuit element that are formed on the matrix may can reduce productivity like this owing to the electric arc that initial stage produced, the ion bombardment and ion implantation and impaired in plasma generation.
Fig. 1 C represents that a kind of can sequentially the supply handle gas and use external plasma to produce the synoptic diagram of structural element of the conventional chemical vapor deposition apparatus of equipment.After in one section preset time, spraying into source gas, utilize clean air that the source gas that remains in shower nozzle 106 and the chamber 101 is cleaned and by the outlet 100 that is formed on chamber 101 1 sides they discharged by shower nozzle 106.After source gas is carried out such cleaning, produce equipment 112 by external plasma and be directly injected to the plasma body of reactant gases in the chamber 101 and spray into reactant gases.Then, utilize clean air that the gaseous by-product that is produced in reactant gases and the reaction is cleaned and then they discharged.
Have under the situation of chemical vapor depsotition equipment that external plasma produces equipment in use, can reduce slightly because plasma body and to being formed on the infringement that base member on the matrix and circuit element cause.But its existing shortcoming is owing to be introduced directly into the ununiformity of the plasma body in the chamber film is deposited on equably and has on the larger area matrix.
Summary of the invention
An object of the present invention is, provide a kind of radical (radical) of reactant gases that uses simultaneously by in shower nozzle, producing plasma body or utilizing shower nozzle that external plasma is ejected into and sequentially supply with the chemical vapor depsotition equipment of handling gas in the chamber, thereby avoid making the base member and the circuit element that are formed on the matrix impaired, even can guarantee also at low temperatures that faster sedimentation rate and deposition have the fabulous layer-stepping coating and the film of film quality characteristic.
Another object of the present invention is, a kind of chemical vapor depsotition equipment is provided, this equipment separates with the first part that will introduce and spray into source gas and introducing and the second section that sprays into reactant gases by with predetermined space shower nozzle being separated and shower nozzle being divided into two parts, thereby can prevent effectively that source gas and reactant gases from mixing in shower nozzle mutually.
In order to achieve the above object, according to the present invention, the invention provides a kind of chemical vapor depsotition equipment, this equipment comprises that the bottom is provided with the chamber of outlet; Be respectively applied for and supply to source gas duct, reactant gases conduit and clean air conduit in the described chamber handling gas; Well heater, described well heater is arranged in the chamber, is used for support substrate and is used as predetermined heating source, and thin film deposition is on described matrix; Shower nozzle, described shower nozzle are arranged on described well heater top, and described shower nozzle has a plurality of jet holes, is used for spraying equably the processing gas of supplying with by conduit; Described equipment is characterised in that: it is installed in the top of described chamber the RF power supply connection portion that can link to each other with the external RF power supply; Described shower nozzle is divided into first shower nozzle and second shower nozzle in vertical direction; Be used for the interior upper surface that last insulated part that the internal surface with described first shower nozzle and described chamber separates is installed in chamber; Be formed with first buffer portion between insulated part and described first shower nozzle on described; Interval between described first shower nozzle and second shower nozzle has formed second buffer portion; Electric energy utilize the RF bar that the upper surface of RF power supply connection portion and described first shower nozzle is linked together, so that can supply to described first shower nozzle from the external RF power supply; And following insulated part, this time insulated part is arranged on the inboard of described chamber, is used for the inboard of described first shower nozzle and described second shower nozzle and described chamber is separated; Wherein, reactant gases supplies to second buffer portion, utilizes the electric energy that is supplied on first shower nozzle by the RF power supply to make plasma generation in second buffer portion, and by second shower nozzle radical is ejected on the matrix; And wherein source gas is fed into first buffer portion and then is injected on the matrix by second shower nozzle, and does not contact with second buffer portion; Wherein said second shower nozzle is connected with described chamber, thereby is grounded.
Description of drawings
From below in conjunction with accompanying drawing to can more easily finding out above and other objects of the present invention, feature and advantage the description of the preferred embodiments of the present invention, in the accompanying drawings:
Figure 1A is an a kind of synoptic diagram can sequentially supplying with the structural element of the conventional chemical vapor deposition apparatus of handling gas of expression;
Figure 1B is an a kind of synoptic diagram can sequentially supplying with the structural element of the conventional apparatus for plasma chemical vapor deposition of handling gas of expression;
Fig. 1 C represents that a kind of can sequentially the supply handle gas and use external plasma to produce the synoptic diagram of structural element of the conventional apparatus for plasma chemical vapor deposition of equipment;
Fig. 2 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas of expression first embodiment of the invention;
Fig. 2 B is that a kind of utilization of expression produces the chart that plasma body is sequentially supplied with the method for the radical of handling gas and reactant gases in the shower nozzle of the chemical vapor depsotition equipment as shown in Fig. 2 A;
Fig. 2 C is that a kind of utilization of expression produces the chart that plasma body is sequentially supplied with the method for handling gas and radical in the shower nozzle of the chemical vapor depsotition equipment as shown in Fig. 2 A, and wherein clean air is in the state that is supplied to all the time;
Fig. 3 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas and produce plasma body in the top of the shower nozzle of separating with predetermined space of expression second embodiment of the invention;
Fig. 3 B is the rear view of expression as the rear surface of the shower nozzle of an integral part of chemical vapor depsotition equipment shown in Fig. 3 A;
Fig. 4 is that related a kind of of expression third embodiment of the invention can sequentially supply with and handle gas and use external plasma to produce the synoptic diagram of structural element of the chemical vapor depsotition equipment of equipment;
Fig. 5 is that related a kind of of expression fourth embodiment of the invention can sequentially supply with and handle gas and use external plasma to produce equipment so that plasma body is introduced in a synoptic diagram of the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical in the top of the shower nozzle of separating with predetermined space;
Fig. 6 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas and produce plasma body in the bottom of the shower nozzle of separating with predetermined space of expression fifth embodiment of the invention;
Fig. 6 B is the rear view of expression as the rear surface of the shower nozzle of an integral part of chemical vapor depsotition equipment shown in Fig. 6 A;
Fig. 7 and Fig. 8 are illustrated in the chart of sequentially supplying with the method for the radical of handling gas and reactant gases in the chemical vapor depsotition equipment involved in the present invention;
Fig. 9 and Figure 10 are illustrated in the chemical vapor depsotition equipment involved in the present invention sequentially to supply with the radical of handling gas and reactant gases, can make plasma body keep the more chart of the method for stable status simultaneously;
Figure 11 is illustrated in the chemical vapor depsotition equipment involved in the present invention sequentially to supply with the radical of handling gas and reactant gases, can make chamber keep the more chart of the method for pressure stable behind source gas that supply is difficult to be deposited at low temperatures and reactant gases simultaneously; And
Figure 12 is illustrated in the chemical vapor depsotition equipment involved in the present invention sequentially to supply with the radical of handling gas and reactant gases, be difficult to can make chamber keep more pressure stable under the plasma body low-energy state and behind source gas that is deposited at low temperatures and the reactant gases and make plasma body keep the more chart of the method for stable status in supply simultaneously.
Embodiment
Below with reference to accompanying drawings the related chemical vapor depsotition equipment of the preferred embodiment of the present invention is described in detail.
First embodiment
Fig. 2 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas of expression first embodiment of the invention.
As can be seen from the figure, this chemical vapor depsotition equipment involved in the present invention has such structure, promptly, utilization comprises spraying into cleaning source gas and spraying into the method for handling gas with the sequentially feeding of cleaning activity gas step and forms film, wherein reactant gases is sprayed under a such state, promptly produces plasma body after propelling them in shower nozzle.
As shown in Fig. 2 A, in this chemical vapor depsotition equipment involved in the present invention, top board 212 is installed on the top of chamber 201 and has constituted the part of chamber 201, and the RF power supply connection portion 209 that can link to each other with external RF power supply 210 is installed on the side of top board 212.RF power supply connection portion 209 links to each other with RF bar 211, and utilizes the RF bar insulated part 213 be formed on around the RF bar 211 to make RF bar 211 and top board 212 electrical isolations.
RF bar 211 links to each other with the RF battery lead plate 214 that is installed in top board 212 inboards, and utilizes this RF battery lead plate 214 can produce plasma body in shower nozzle 206.At this moment,, will go up insulated part 215 and be installed in RF battery lead plate 214 tops, promptly be installed between RF battery lead plate 214 and the top board 212 in order to make RF battery lead plate 214 electrical isolations.In addition, will descend insulated part 216 to be installed on the bottom of RF battery lead plate 214 in such a way, that is, utilize insulated part 216 down at RF battery lead plate 214 be arranged between the shower nozzle 206 below the RF battery lead plate 214 and limit buffer portion 217 with predetermined gap.That is, following insulated part 216 separates the RF battery lead plate 214 and the internal surface insulation of top board 212 simultaneously with predetermined gap and the shower nozzle 206 that is arranged on RF battery lead plate 214 belows.
Shower nozzle 206 is installed in the buffer portion below with the predetermined gap that is limited by following insulated part 216, and shower nozzle 206 is formed with a plurality of jet holes 205 of inject process gas equably that are used for.In addition, shower nozzle 206 is by being grounded with being connected of top board 212.In the chemical vapor depsotition equipment with said structure involved in the present invention, plasma generation is in the buffer portion 217 with predetermined gap, and described predetermined gap is to limit by being installed in the RF battery lead plate 214 on the top board 212, following insulated part 216 and shower nozzle 206.
Well heater 208 supporting wafers in chamber 201 or matrix 207 (below, be called " matrix ") provide predetermined heat for matrix simultaneously, film is actual to be formed on the described matrix, and chamber 201 is arranged on the below of top board 212 and the processing gas that is supplied to reacts to each other in described chamber.
At least one source gas duct 202, at least one reactant gases conduit 203 and at least one clean air conduit 204 are formed on the outside of top board 212 and communicate with the inside of top board 212.Be used for making top board 212 to keep homothermic well heater 218 to be embedded in top board 212 in addition.That is, utilize well heater 218 can make chamber 201 tops temperature on every side keep constant.
To the chemical vapor depsotition equipment involved in the present invention film forming method on matrix that utilization has a said structure be described in detail below.
In one period scheduled time, import source gas, and spray into source gas so that source gas is attracted on the matrix 207 by shower nozzle 206 by at least one the source gas duct 202 that is formed on top board 212 outsides.In one period scheduled time with after spraying into source gas like this, in one period scheduled time, utilize the clean air that imports by at least one the clean air conduit 204 that is installed in top board 212 upsides that the source gas that remains in shower nozzle 106 and the chamber 201 is cleaned, and they are discharged by the outlet 200 of installing on chamber 201 1 sides.
Then, the reactant gases that utilizes shower nozzle 106 to import by at least one the reactant gases conduit 203 that is installed in top board 212 outsides in one period scheduled time is ejected on the matrix 207, so that the reactant gases that is sprayed into carries out reduction reaction and form film on matrix 207 with the source gas that is adsorbed on the matrix 207.At this moment, by being supplied on the RF battery lead plate 214 with the reaction that produces plasma body and make the reactant gases that is sprayed into and be adsorbed on the source inter gas on the matrix 207 by the radical that shower nozzle 206 sprays into reactant gases in buffer portion 217 from RF power supply 210, further intensified electric energy.
After in one period scheduled time, spraying into the radical of reactant gases, in one period scheduled time, utilize the clean air that imports by clean air conduit 204 that the reactant gases that remains in shower nozzle 106 and the chamber 201 is cleaned and they are discharged.Like this, the present invention is by repeating to comprise that spraying into the process of handling gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make film be formed on wafer or the matrix.
Like this, chemical vapor depsotition equipment involved in the present invention can utilize the plasma bodys that are created in the buffer portion 217 that reactant gases and the reaction that is adsorbed on the source inter gas on wafer or the matrix are further intensified, thereby can guarantee faster sedimentation rate and form on matrix to have the fabulous layer-stepping coating and the film of film quality characteristic under lower temperature.In addition, according to chemical vapor depsotition equipment involved in the present invention, because plasma body is not directly exposed on chamber and the matrix, therefore can prevent to be formed on base member on the matrix and circuit element owing to issuable electric arc in the plasma generation process, ion bombardment with ion implantationly be subjected to concrete injury.
Fig. 2 B is that a kind of utilization of expression comprises and sprays into the method chart of generation plasma body in the shower nozzle of the chemical vapor depsotition equipment as Fig. 2 A as shown in simultaneously of handling gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step.
As Fig. 2 B (ii) as shown in, at first, in predetermined for some time, spray into clean air, thereby in shower nozzle and chamber, form atmosphere of inert gases by shower nozzle.Then, as shown in Fig. 2 B (i), in predetermined for some time, spray into source gas so that it can be attracted on wafer or the matrix.Then, as Fig. 2 B (ii) as shown in, in one period scheduled time, utilize clean air to clean and they are discharged to remaining in source gas in shower nozzle and the chamber.Then, as shown in Fig. 2 B (c) and Fig. 2 (d), in one period scheduled time, reactant gases is imported in the shower nozzle, simultaneously, in shower nozzle, produce plasma body so that the radical of reactant gases is injected on wafer or the matrix.After making film be formed on wafer or the matrix with pre-determined thickness by the radical that in one period scheduled time, sprays into reactant gases in such a way, the injection of stopped reaction gas and from the RF power supply to RF battery lead plate supply of electrical energy to stop to produce plasma body.Then, the gaseous by-product that produced cleans and they is discharged in reactant gases in shower nozzle and the chamber and the reaction to remaining in to utilize clean air.Thereby, can on wafer or matrix, form film with pre-determined thickness by repeating such process.
Fig. 2 C be expression a kind of with sequentially supply with to handle gas and in the shower nozzle of the chemical vapor depsotition equipment as Fig. 2 A as shown in the process of generation plasma body only sequentially supply source gas and reactant gases but continuously the mode of supplying clean gas prevent the chart of source gas and reactant gases blended method in shower nozzle and chamber.
As shown in Fig. 2 C,, can make the variation of chamber pressure reach minimum, thereby can improve the repeatability of this method when supplying clean gas continuously and only sequentially when supply source gas and reactant gases.
Second embodiment
Fig. 3 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas and produce plasma body in the top of the shower nozzle that is separated in a predetermined manner of expression second embodiment of the invention.
As can be seen from the figure, this chemical vapor depsotition equipment involved in the present invention has such structure, that is, utilize to comprise spraying into cleaning source gas and spraying into the method for handling gas with the sequentially feeding of cleaning activity gas step and form film; Separate and to prevent fully mixing between source gas and the reactant gases with the first part that will introduce and spray into source gas and introducing and the second section that sprays into reactant gases by shower nozzle being separated into two parts; And after spraying into reactant gases, by the introducing in shower nozzle with spray into and produce the radical that plasma body can spray reactant gases in the part of reactant gases.
As shown in Fig. 3 A, in this chemical vapor depsotition equipment involved in the present invention, top board 301 is installed on the top of chamber 300 and has constituted the part of chamber 300, and the RF power supply connection portion 303 that can link to each other with external RF power supply 302 is installed on the side of top board 301.RF power supply connection portion 303 links to each other with RF bar 304, and utilizes the RF bar insulated part 305 be formed on around the RF bar 304 to make RF bar 304 and top board 301 electrical isolations.
RF bar 304 links to each other with the RF battery lead plate 306 that is installed in top board 301 inboards, and utilizes this RF battery lead plate 306 can produce plasma body in shower nozzle.At this moment,, will go up insulated part 307 and be installed in RF battery lead plate 306 tops, promptly be installed between RF battery lead plate 306 and the top board 301 in order to make RF battery lead plate 306 electrical isolations.In addition, to descend insulated part 308 to be installed on the bottom of RF battery lead plate 306 in such a way, that is, utilize insulated part 308 down at RF battery lead plate 306 be arranged between first shower nozzle 309 below the RF battery lead plate 306 and limit first buffer portion 310 with predetermined gap.That is, following insulated part 308 separates the RF battery lead plate 306 and the internal surface insulation of top board 301 simultaneously with predetermined gap and first shower nozzle 309 that is arranged on RF battery lead plate 306 belows.
First shower nozzle 309 is installed in the buffer portion below with the predetermined gap that is limited by following insulated part 308, and first shower nozzle 309 is formed with a plurality of radical spray tubes 311 that are used for spraying equably the radical of reactant gases.In addition, first shower nozzle 309 is by being grounded with being connected of top board 301.
In the chemical vapor depsotition equipment with said structure involved in the present invention, plasma generation is in having first buffer portion 310 of predetermined gap, and described predetermined gap is to limit by being installed in the RF battery lead plate 306 on the top board 301, following insulated part 308 and first shower nozzle 309.
In addition, the base plate 312 with predetermined height is installed on the bottom of first shower nozzle 309, and base plate 312 has constituted the part of chamber 300.Second shower nozzle 313 is installed in the downside of base plate 312.That is, first shower nozzle 309 and second shower nozzle 313 be set up in opposed facing mode in vertical direction and have a predetermined gap that between them, is limited by base plate 312.Second shower nozzle 313 of She Zhiing is formed with a plurality of through holes 314 and a plurality of source gas jetting hole 315 of injection source gas equably that is used for by this way, and a plurality of radical spray tubes 311 that are formed in first shower nozzle 309 can pass described a plurality of through hole 314.When forming predetermined gap in the through hole 314 that is installed to second shower nozzle 313 by radical spray tube 311 and between first shower nozzle 309 and second shower nozzle 313 and make first shower nozzle 309 with said structure and second shower nozzle 313 be set at the appropriate location, define second buffer portion 316 with first shower nozzle 309.
In addition, at least one the reactant gases conduit 317 and the first clean air conduit 318 are installed in the outside of top board 301 and make these conduits pass described top board 301.Utilize the reactant gases conduit 317 and the first clean air conduit 318 that reactant gases and clean air are supplied to first buffer portion 310.In addition, at least one the source gas duct 319 and the second clean air conduit 320 are installed in the outside of base plate 312 and make these conduits pass described base plate 312.Utilize the source gas duct 319 and the second clean air conduit 320 that source gas and clean air are supplied to second buffer portion 316.
Well heater 323 supporting wafers in chamber 300 or matrix 322 (below, be called " matrix ") provide predetermined heat for matrix simultaneously, film is actual to be formed on the described matrix, and chamber 300 is arranged on the below of base plate 312 and the processing gas that is supplied to reacts to each other in described chamber.In addition, be used for making top board 301 to keep homothermic well heater 321 to be embedded in top board 301.That is, utilize well heater 321 can make chamber 300 tops temperature on every side keep constant.
Fig. 3 B is the rear view of expression as the rear surface of the shower nozzle of an integral part of chemical vapor depsotition equipment shown in Fig. 3 A.The hole 325 of a plurality of radical spray tubes 311 and source gas jetting hole 315 are that the form with a kind of grid is arranged on the rear surface of second shower nozzle 313.
To the chemical vapor depsotition equipment involved in the present invention film forming method on matrix that utilization has a said structure be described in detail below.
In one period scheduled time, source gas is imported in second buffer portion 316 and by the source gas jetting hole 315 that is formed in second shower nozzle 313 spray into source gas so that source gas is attracted on the matrix 322 by being installed in source gas duct 319 on the base plate 312.After in one period scheduled time, spraying into source gas by this way, in one period scheduled time, utilize the clean air that imports by the second clean air conduit 320 that the source gas that remains in second shower nozzle 313 and the chamber 300 is cleaned and by the outlet 324 of installing on chamber 300 1 sides they discharged.After discharging residual source gas by this way, reactant gases conduit 317 on the top board 301 supplies to reactant gases first buffer portion 310 and radical spray tube 311 in first shower nozzle 309 is ejected into reactant gases on the matrix 322 so that the reactant gases that is sprayed into carries out reduction reaction and form film on matrix 322 with the source gas that is adsorbed on the matrix 322 by being formed on by being installed in one period scheduled time.At this moment, by being supplied on the RF battery lead plate 306 with the reaction that produces plasma body and make the reactant gases that is sprayed into and be adsorbed on the source inter gas on the matrix 322 by the radical that the radical spray tube 311 the through hole 314 that is installed in second shower nozzle 313 sprays into reactant gases in first buffer portion 310 from RF power supply 302, further intensified electric energy.
After in one period scheduled time, spraying into the radical of reactant gases, in one period scheduled time, utilize the clean air that imports by the first clean air conduit 318 that the reactant gases that remains in first shower nozzle 309 and the chamber 300 is cleaned and they are discharged.Like this, the present invention is by importing source gas and reactant gases dividually and repeating to comprise that spraying into the process of handling gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make the film with pre-determined thickness be formed on wafer or the matrix.
Like this, the radical that chemical vapor depsotition equipment involved in the present invention can utilize the reactant gases that sprays into by radical spray tube 311 further intensifies reactant gases and the reaction that is adsorbed on the source inter gas on wafer or the matrix, thereby can guarantee faster sedimentation rate and form on matrix to have the fabulous layer-stepping coating and the film of film quality characteristic.In addition, according to chemical vapor depsotition equipment involved in the present invention, because plasma body and second shower nozzle 313 that sprays into source gas are separated, therefore react with the plasma body of reactant gases in shower nozzle even can prevent the source gas still do not removed fully by the step of utilizing clean air to clean fully, thereby avoid particulate to produce and destroy the layer-stepping coating.In addition, according to chemical vapor depsotition equipment involved in the present invention, because plasma body is not directly exposed on chamber and the matrix, therefore can prevent to be formed on base member on wafer or the matrix and circuit element owing to issuable electric arc in the plasma generation process, ion bombardment with ion implantationly be subjected to concrete injury.
The 3rd embodiment
Fig. 4 is that related a kind of of expression third embodiment of the invention can sequentially supply with and handle gas and use external plasma to produce the synoptic diagram of structural element of the chemical vapor depsotition equipment of equipment.
As shown in this Fig, this chemical vapor depsotition equipment involved in the present invention comprises that the bottom is provided with outlet 400 chamber 401, wherein is formed with the shower nozzle 406 of a plurality of jet holes 405 that are used for inject process gas and be used for supporting wafers or matrix 407 (back is called " matrix ") and simultaneously as the well heater 408 of heating source, utilizes the processing gas that sprays into by shower nozzle 406 can make thin film deposition on matrix 407.
Top board 409 is installed on the top of chamber 401 and has constituted the part of chamber 401.External plasma generation equipment 410 links to each other with chamber 401 and is interconnected in the outside of top board 409.At least one reactant gases conduit 403 and at least one clean air conduit (the second clean air conduit) 404 are installed on the side that external plasma produces equipment 410 and enter into external plasma and produce equipment 410, thereby can produce the radicals of plasma body and reactant gases after plasma generation is externally in the plasma production device 410.
Externally plasma body that produces in the plasma production device 410 and radical are introduced in the utilization buffer portion 412 that the gap limited between top board 409 and shower nozzle 406 by plasma body conduit 411 formed and that enter into the part of top board 409.At this moment, because externally therefore the plasma body of generation and radical can should be installed insulated part 413 and reach buffer portion 412 with the generation that stops that preventing plasma body and radical until them owing to the phenomenon that produces recombine stop to produce when colliding with conductor in the plasma production device 410 in plasma body conduit 411.
In addition, at least one source gas duct 402 and at least one clean air conduit (the first clean air conduit) 414 communicate with a part on plasma body conduit 411 1 sides.In addition, be used for making top board 409 to keep homothermic well heater 415 to be embedded in top board 409.Utilize well heater 415 can make chamber 401 tops temperature on every side keep constant.
That is, chemical vapor depsotition equipment involved in the present invention can utilize and comprise spraying into the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step and handle the method for gas and form the film with pre-determined thickness by utilizing conduit and external plasma generation equipment to supply with the mode of handling gas on wafer or matrix.
To the chemical vapor depsotition equipment involved in the present invention film forming method on matrix that utilization has a said structure be described in detail below.
At first, in predetermined for some time, clean air is imported to by buffer portion that predetermined gap limited top board 409 in 412 and the shower nozzle 406 that is arranged on below the buffer portion 412, thereby in shower nozzle 406 and chamber 401, form atmosphere of inert gases by being installed in the second clean air conduit, 404 shower nozzles on the side that external plasma produces equipment 410.Then, in predetermined for some time, introduce source gas, so that it can be attracted on the matrix 407 by the source gas duct that is installed in the part place on plasma body conduit 411 1 sides and enters into plasma body conduit 411.Then, in one period scheduled time, utilize the first clean air conduit, 414 supplying clean gases that the source gas that remains in shower nozzle 406 and chamber 401 is cleaned, and they are discharged by being installed in the part place on plasma body conduit 411 1 sides and entering into plasma body conduit 411.
Then, in one period scheduled time, reactant gases is imported in the external plasma generation equipment 410 by the reactant gases conduit 403 that is installed on external plasma generation equipment 410 1 sides.Simultaneously, in one period scheduled time, produce generation plasma body in the equipment 410 so that the plasma body of reactant gases is introduced in the buffer portion 412 by plasma body conduit 411, and be ejected on the matrix 407 by the radical of shower nozzle 406 with reactant gases at external plasma.After making film be formed on the matrix 407 with pre-determined thickness by the radical that in one period scheduled time, sprays into reactant gases in such a way, the importing of stopped reaction gas and stop at external plasma and produce in the equipment 410 and produce plasma body.Then, utilize the clean air by the second clean air conduit to clean and they are discharged to remaining in the gaseous by-product that is produced in reactant gases in shower nozzle 406 and the chamber 401 and the reaction.Thereby, can on wafer or matrix, form film with pre-determined thickness by repeating such process.
The 4th embodiment
Fig. 5 is that related a kind of of expression fourth embodiment of the invention can sequentially supply with and handle gas and use external plasma to produce equipment so that plasma body is introduced in a synoptic diagram of the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical in the top of the shower nozzle that is separated with predetermined form.
As shown in this Fig, in chemical vapor depsotition equipment involved in the present invention, top board 501 is installed on the top of chamber 500 and has constituted the part of chamber 500.External plasma generation equipment 503 links to each other with chamber 500 and is interconnected in the outside of top board 501.At least one the reactant gases conduit 517 and the first clean air conduit 518 are installed on the side that external plasma produces equipment 503 and enter into external plasma and produce equipment 513, thereby can produce the radicals of plasma body and reactant gases after plasma generation is externally in the plasma production device 503.
Externally plasma body that produces in the plasma production device 503 and radical are introduced in the utilization buffer portion 510 that the gap limited between the top board 501 and first shower nozzle 509 by plasma body conduit 504 formed and that enter into the part of top board 501.At this moment, because externally therefore the plasma body of generation and radical can should be installed insulated part 505 and reach buffer portion 510 with the generation that stops that preventing plasma body and radical until them owing to the phenomenon that produces recombine stop to produce when colliding with conductor in the plasma production device 503 in plasma body conduit 504.
First shower nozzle 509 is installed in first buffer portion, 510 belows and is formed with a plurality of radical spray tubes 511 that are used for spraying equably the radical of reactant gases.
In addition, the base plate 512 with predetermined height is installed on the bottom of first shower nozzle 509, and base plate 512 has constituted the part of chamber 500.Second shower nozzle 513 is installed in the downside of base plate 512.That is, first shower nozzle 509 and second shower nozzle 513 be set up in opposed facing mode in vertical direction and have a predetermined gap that between them, is limited by base plate 512.Second shower nozzle 513 of She Zhiing is formed with a plurality of through holes 514 and a plurality of source gas jetting hole 515 of injection source gas equably that is used for by this way, and a plurality of radical spray tubes 511 that are formed in first shower nozzle 509 can pass described a plurality of through hole 514.When forming predetermined gap in the through hole 514 that is installed to second shower nozzle 513 by radical spray tube 511 and between first shower nozzle 509 and second shower nozzle 513 and make first shower nozzle 509 with said structure and second shower nozzle 513 be set at the appropriate location, define second buffer portion 516 with first shower nozzle 509.In addition, second shower nozzle 513 is by being grounded with being connected of base plate 512.
In addition, at least one the source gas duct 519 and the second clean air conduit 520 are installed in the outside of base plate 512 and make these conduits pass described base plate 512.Utilize the source gas duct 519 and the second clean air conduit 520 that source gas and clean air are supplied to second buffer portion 516.
Well heater 523 supporting wafers in chamber 500 or matrix 522 (below, be called " matrix ") provide predetermined heat for matrix simultaneously, film is actual to be formed on the described matrix, and chamber 500 is arranged on the below of base plate 512 and the processing gas that is supplied to reacts to each other in described chamber.In addition, be used for making top board 501 to keep homothermic well heater 521 to be embedded in top board 501.That is, utilize well heater 521 can make chamber 500 tops temperature on every side keep constant.
To the chemical vapor depsotition equipment involved in the present invention film forming method on matrix that utilization has a said structure be described in detail below.
In one period scheduled time, source gas is imported in second buffer portion 516 and by the source gas jetting hole 515 that is formed in second shower nozzle 513 spray into source gas so that source gas is attracted on the matrix 522 by being installed in source gas duct 519 on the base plate 512.After in one period scheduled time, spraying into source gas by this way, in one period scheduled time, utilize the clean air that imports by the second clean air conduit 520 that the source gas that remains in second shower nozzle 513 and the chamber 500 is cleaned and by the outlet 524 of installing on chamber 500 1 sides they discharged.
Then, in one period scheduled time, reactant gases is imported in the external plasma generation equipment 503 by the reactant gases conduit 517 that is installed on external plasma generation equipment 503 1 sides.Simultaneously, in one period scheduled time, produce and produce plasma body in the equipment 503 so that the plasma body of reactant gases is introduced in by plasma body conduit 504 in first buffer portion 510 and by the radical of first shower nozzle 509 with reactant gases is ejected on the matrix 522 at external plasma.
After in one period scheduled time, spraying into the radical of reactant gases, in one period scheduled time, utilize the clean air that imports by the first clean air conduit 518 that the reactant gases that remains in first shower nozzle 509 and the chamber 500 is cleaned and they are discharged.Like this, the present invention is by importing source gas and reactant gases dividually and repeating to comprise that spraying into the process of handling gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make the film with pre-determined thickness be formed on wafer or the matrix.
The 5th embodiment
Fig. 6 A is the related a kind of synoptic diagram can sequentially supplying with the structural element of auxiliary (radical assisted) chemical vapor depsotition equipment of radical of handling gas and produce plasma body in the bottom of the shower nozzle of separating with predetermined space of expression fifth embodiment of the invention.
As shown in this Fig, in this chemical vapor depsotition equipment involved in the present invention, top board 601 is installed on the top of chamber 600 and has constituted the part of chamber 600, and the RF power supply connection portion 603 that can link to each other with external RF power supply 602 is installed on the side of top board 601.RF power supply connection portion 603 links to each other with RF bar 604, and utilizes the RF bar insulated part 605 be formed on around the RF bar 604 to make RF bar 604 and top board 601 electrical isolations.
RF bar 604 links to each other with first shower nozzle 609 that is installed in top board 601 inboards.At this moment, in order to make first shower nozzle 609 and top board 601 electrical isolations, will go up top that insulated part 607 is installed in first shower nozzle 609 with predetermined gap and closely contact with the internal surface of top board 601.By being arranged in such a way first shower nozzle 609 and last insulated part 607, defining first buffer portion 610 with predetermined gap.
In addition, the following insulated part 608 with predetermined height is installed on the bottom of first shower nozzle 309, and following insulated part 608 has constituted the part of chamber 600.Base plate 612 is installed in down the outside of insulated part 608.Then, make second shower nozzle 613 be installed in down insulated part 608 and base plate 612 the below so that first shower nozzle 309 and second shower nozzle 313 be set up in opposed facing mode and between them, have a predetermined gap.Like this, has second buffer portion 616 of predetermined gap by first shower nozzle 609 and 613 qualifications of second shower nozzle.At this moment, second shower nozzle 613 is by being grounded with being connected of base plate 612.
In the chemical vapor depsotition equipment with said structure involved in the present invention, plasma generation is in having second buffer portion 616 of predetermined gap, and described predetermined gap is limited by first shower nozzle 609, following insulated part 608 and second shower nozzle 613.
Have and a plurality ofly be used for distributing equably the intermediate plate 606 of the jet hole of the source gas that is imported into to be installed in first buffer portion 610.The supporting pin 626 that utilization is arranged on intermediate plate 606 belows is fixed on intermediate plate 606 on first shower nozzle 609.In addition, first shower nozzle 609 is formed with a plurality of source gas injection tubes 611 of injection source gas equably that are used for.
Second shower nozzle 613 is formed with a plurality of through holes 614, and the source gas injection tube 611 that is formed in first shower nozzle 609 can pass described a plurality of through hole 614.Second shower nozzle 613 also is formed with a plurality of radical jet holes 615 of second buffer portion 616 by the radical of the reactant gases that plasma body produced that are used for being injected in equably.When forming predetermined gap in the through hole 614 that is installed to second shower nozzle 613 by source gas injection tube 611 and between first shower nozzle 609 and second shower nozzle 613 and make first shower nozzle 609 with said structure and second shower nozzle 613 be set at the appropriate location, define second buffer portion 616 with first shower nozzle 609.
In addition, at least one the source gas duct 619 and the first clean air conduit 618 are installed in the outside of top board 601 and make these conduits pass described top board 601.Utilize the source gas duct 619 and the first clean air conduit 618 that reactant gases and clean air are supplied to first buffer portion 610.In addition, at least one the reactant gases conduit 617 and the second clean air conduit 620 are installed in another outside of top board 601 and make these conduits pass described top board 601.Reactant gases and the clean air that imports by the reactant gases conduit 617 that is mounted by this way and the second clean air conduit 620 is assigned with by the circular reactant gases buffer portion 627 that is formed in first shower nozzle 609, then is directed to reaction gas inlet 629 by reaction gas distribution hole 628.Reactant gases and the clean air that is imported into by reaction gas inlet 629 flows in second buffer portion 616.
Well heater 623 supporting wafers in chamber 600 or matrix 622 (below, be called " matrix ") provide predetermined heat for matrix simultaneously, film is actual to be formed on the described matrix, and chamber 600 is arranged on the below of base plate 612 and the processing gas that is supplied to reacts to each other in described chamber.In addition, be used for making top board 601 to keep homothermic well heater 621 to be embedded in top board 601.That is, utilize well heater 621 can make chamber 600 tops temperature on every side keep constant.
Fig. 6 B is the rear view of expression as the rear surface of the shower nozzle of an integral part of chemical vapor depsotition equipment shown in Fig. 6 A.The hole 625 of multiple source gas injection tube 611 and radical jet hole 615 are that the form with a kind of grid is arranged on the rear surface of second shower nozzle 613.
To the chemical vapor depsotition equipment involved in the present invention film forming method on matrix that utilization has a said structure be described in detail below.
In one period scheduled time, source gas is imported in first buffer portion 610 and by the source gas injection pore 611 that is formed in first shower nozzle 609 spray into source gas so that source gas is attracted on the matrix 622 by being installed in source gas duct 619 on the top board 601.After in one period scheduled time, spraying into source gas by this way, in one period scheduled time, utilize the clean air that imports by the first clean air conduit 618 that the source gas that remains in first shower nozzle 609 and the chamber 600 is cleaned and by the outlet 624 of installing on chamber 600 1 sides they discharged.After discharging residual source gas by this way, reactant gases conduit 617 on the top board 601 supplies to reactant gases second buffer portion 616 and radical jet hole 615 in second shower nozzle 613 is ejected into reactant gases on the matrix 622 so that the reactant gases that is sprayed into carries out reduction reaction and form film on matrix 622 with the source gas that is adsorbed on the matrix 622 by being formed on by being installed in one period scheduled time.At this moment, by being supplied on first shower nozzle 609 with the reaction that produces plasma body and make the reactant gases that is sprayed into and be adsorbed on the source inter gas on the matrix 622 by the radical that the radical jet hole 615 that is installed in second shower nozzle 613 sprays into reactant gases in second buffer portion 616 from RF power supply 602, further intensified electric energy.
After in one period scheduled time, spraying into the radical of reactant gases, in one period scheduled time, utilize the clean air that imports by the second clean air conduit 620 that the reactant gases that remains in second shower nozzle 613 and the chamber 600 is cleaned and they are discharged.Like this, the present invention is by importing source gas and reactant gases dividually and repeating to comprise that spraying into the process of handling gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make the film with pre-determined thickness be formed on wafer or the matrix.
To the method for processing gas of sequentially feeding in the related chemical vapor depsotition equipment of the present invention second to the 5th embodiment with said structure and radical be described in detail below.
Fig. 7 and Fig. 8 are illustrated in to comprise in the chemical vapor depsotition equipment involved in the present invention and spray into the chart of handling the method for gas with the sequentially feeding of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step.
As shown in Figure 7, in predetermined for some time, spray into clean air, thereby in shower nozzle and chamber, form atmosphere of inert gases by first and second shower nozzles.Then, in predetermined for some time, spray into source gas so that it can be attracted on wafer or the matrix.Then, in one period scheduled time, utilize clean air that the source gas that remains in shower nozzle and the chamber is cleaned and they is discharged, then, in one period scheduled time, reactant gases is imported in the shower nozzle, simultaneously, in shower nozzle, produce plasma body so that the radical of reactant gases is injected on wafer or the matrix.
At the radical that in one period scheduled time, sprays into reactant gases and after making film be formed on wafer or the matrix with pre-determined thickness, the injection of stopped reaction gas and from RF power supply (or external plasma produces power supply of equipment) supply of electrical energy to stop to produce plasma body.Then, the gaseous by-product that produced cleans and they is discharged in reactant gases in shower nozzle and the chamber and the reaction to remaining in to utilize clean air.Thereby, can on wafer or matrix, form film with pre-determined thickness by repeating such process.At this moment, as shown in Figure 8, can make cleaning source gas and reactant gases required time reach minimum by importing first and second clean airs continuously.In addition, the variation of the pressure by making chamber reaches the repeatability that minimum can improve this method.
Fig. 9 and Figure 10 are illustrated in to comprise in the chemical vapor depsotition equipment involved in the present invention that the sequentially feeding processing gas that sprays into cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make plasma body keep the more chart of the method for stable status simultaneously.
As shown in Figure 9, be to keep although in shower nozzle, produce the state of plasma body by the electric energy of supplying with from low pressure RF power supply (or external plasma produces the low-voltage power supply of equipment), plasma body is under the very low state of a kind of energy.Like this, the plasma body Be Controlled and because and the recombine phenomenon that collision produced between the inwall of radical jet hole and the generation of plasma body is stopped, so radical can be controlled and can not be directed in the chamber.Utilize a kind of like this mode that can make plasma state remain in the shower nozzle and radical not imported in the chamber behind the radical of sequentially feeding reactant gases, can keep more stable plasma state, can not influence reaction process simultaneously.In addition, as shown in Figure 10, can make cleaning source gas and reactant gases required time reach minimum by importing first and second clean airs continuously.In addition, the variation of the pressure by making chamber reaches the repeatability that minimum can improve this method.
Figure 11 is illustrated in to comprise in the chemical vapor depsotition equipment involved in the present invention that spraying into the radical while of handling gas and reactant gases with sequentially supplying with of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step can make chamber keep the more chart of the method for pressure stable in supply source gas and reactant gases process.
As shown in Figure 11, can in the process of the subzero treatment that source gas can not react with reactant gases, make the variation of chamber pressure reach minimum by importing reactant gases continuously.Like this, can further improve the repeatability of this method.
A key character in determining sequentially to supply with the sedimentation rate of the chemical vapor depsotition equipment of handling gas is the absorption ratio of source gas with respect to wafer or matrix.In order to improve the absorption ratio of source gas, in addition under the state that does not produce plasma body also supply response gas.Like this, can improve the absorption ratio of source gas.Can stop supply source gas and cleaning source gas, produce plasma body and then utilize the film forming method of radical of reactant gases to improve depositing of thin film speed by the source gas that utilizes reactant gases and be adsorbed on wafer and the matrix.
Figure 12 is illustrated in to comprise in the chemical vapor depsotition equipment involved in the present invention spraying into the radical that sequentially supply with to handle gas and reactant gases of cleaning source gas, the radical that sprays into reactant gases and cleaning activity gas step and can not make chamber keep more pressure stable usually under lower temperature and in the process of source gas that is deposited under the plasma body low-energy state and reactant gases and make plasma body keep the more chart of the method for stable status supplying with simultaneously.
As shown in Figure 12, in the process that source gas can be not at low temperatures react with reactant gases, and the state that produces plasma body in shower nozzle is the process that keeps by the electric energy of supplying with from low pressure RF power supply (or external plasma produces low-voltage power supply of equipment), imports reactant gases continuously.But plasma body is in the very low state of a kind of energy.Like this, plasma body Be Controlled and because and the recombine phenomenon that collision produced between the inwall of radical jet hole and the generation of plasma body is stopped.Like this, the radical of reactant gases can not be directed in the chamber.Even importing continuously reactant gases and utilizing low-voltage power supply in shower nozzle, to produce under the state of plasma body, also more stably holding chamber chamber pressure and plasma state and can not influence reaction process behind sequentially feeding processing gas.
Describe in detail as top, chemical vapor depsotition equipment involved in the present invention can improve low deposition rate, low deposition rate is sequentially to supply with a problem of the conventional chemical vapor deposition apparatus of handling gas, and can prevent generation such as plasma arc, ion bombardment, problem such as ion implantation.In addition, it can prevent to import may be included in utilize chemical gaseous phase depositing process a large amount of particulates or the impurity (such as C, H, Cl, Br, I and O) in the sedimentary film.
In addition, according to chemical vapor depsotition equipment involved in the present invention, the advantage that it had is can form high-quality film by subzero treatment, thereby can improve the repeatability of this method.Another advantage that it had is can guarantee the characteristic of fabulous layer-stepping coating and high sedimentation rate, thereby can improve the productivity of product.
Although the front is described with reference to the ins and outs of accompanying drawing to chemical vapor depsotition equipment involved in the present invention, they only are that the preferred embodiments of the present invention are not limitation of the invention.
In addition, to those skilled in the art, it is conspicuous on the basis that does not break away from protection scope of the present invention the present invention being carried out various modifications and variations.

Claims (6)

1. chemical vapor depsotition equipment, this equipment comprises that the bottom is provided with the chamber of outlet; Be respectively applied for and supply to source gas duct, reactant gases conduit and clean air conduit in the described chamber handling gas; Well heater, described well heater is arranged in the chamber, is used for support substrate and is used as predetermined heating source, and thin film deposition is on described matrix; Shower nozzle, described shower nozzle are arranged on described well heater top, and described shower nozzle has a plurality of jet holes, is used for spraying equably the processing gas of supplying with by conduit; Described equipment is characterised in that:
It is installed in the top of described chamber the RF power supply connection portion that can link to each other with the external RF power supply;
Described shower nozzle is divided into first shower nozzle and second shower nozzle in vertical direction;
Be used for the interior upper surface that last insulated part that the internal surface with described first shower nozzle and described chamber separates is installed in chamber;
Be formed with first buffer portion between insulated part and described first shower nozzle on described;
Interval between described first shower nozzle and second shower nozzle has formed second buffer portion;
Electric energy utilize the RF bar that the upper surface of RF power supply connection portion and described first shower nozzle is linked together, so that can supply to described first shower nozzle from the external RF power supply; And
Following insulated part, this time insulated part is arranged on the inboard of described chamber, is used for the inboard of described first shower nozzle and described second shower nozzle and described chamber is separated;
Wherein, reactant gases supplies to second buffer portion, utilizes the electric energy that is supplied on first shower nozzle by the RF power supply to make plasma generation in second buffer portion, and by second shower nozzle radical is ejected on the matrix; And
Wherein source gas is fed into first buffer portion and then is injected on the matrix by second shower nozzle, and does not contact with second buffer portion;
Wherein said second shower nozzle is connected with described chamber, thereby is grounded.
2. chemical vapor depsotition equipment as claimed in claim 1, it is characterized in that, described first shower nozzle has a plurality of spray tubes of injection source gas equably that are used for, and described second shower nozzle has a plurality of through holes and a plurality of jet hole that is used for spraying equably radical, and a plurality of spray tubes pass described through hole; The spray tube of described first shower nozzle is installed in the through hole of described second shower nozzle.
3. chemical vapor depsotition equipment as claimed in claim 1, it is characterized in that, at least one source gas duct and at least one clean air conduit are installed in a side of described first buffer portion and are interconnected with described first buffer portion, and at least one reactant gases conduit and at least one clean air conduit are installed in a side of described second buffer portion and are interconnected with second buffer portion.
4. chemical vapor depsotition equipment as claimed in claim 1 is characterized in that, described first buffer portion is provided with a plate, and described plate has a plurality of jet holes that are used for distributing equably the source gas that is imported into.
5. chemical vapor depsotition equipment as claimed in claim 2 is characterized in that, described conduit spray tube and jet hole are to be provided with the form of grid.
6. chemical vapor depsotition equipment as claimed in claim 1 is characterized in that, is used to make the top of described chamber to keep homothermic one well heater to be installed in the top of described chamber.
CNB2006100745221A 2001-03-19 2002-03-19 Chemical vapor depsotition equipment Expired - Lifetime CN100540734C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR13995/2001 2001-03-19
KR13996/2001 2001-03-19
KR10-2001-0013995A KR100423953B1 (en) 2001-03-19 2001-03-19 Chemical Vapor Deposition Apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB021074631A Division CN1302152C (en) 2001-03-19 2002-03-19 Chemical vapor depositing apparatus

Publications (2)

Publication Number Publication Date
CN1847450A true CN1847450A (en) 2006-10-18
CN100540734C CN100540734C (en) 2009-09-16

Family

ID=27697823

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100745221A Expired - Lifetime CN100540734C (en) 2001-03-19 2002-03-19 Chemical vapor depsotition equipment

Country Status (2)

Country Link
KR (1) KR100423953B1 (en)
CN (1) CN100540734C (en)

Cited By (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100500951C (en) * 2007-02-07 2009-06-17 吉林大学 Device and method for high-speed growth of diamond single-crystal
CN102477547A (en) * 2010-11-25 2012-05-30 财团法人工业技术研究院 Plasma processing apparatus
CN103109357A (en) * 2010-10-19 2013-05-15 应用材料公司 Quartz showerhead for nanocure uv chamber
CN103243312A (en) * 2013-05-30 2013-08-14 光垒光电科技(上海)有限公司 Shower head and vapor deposition equipment
CN103329251A (en) * 2011-01-18 2013-09-25 应用材料公司 Semiconductor processing system and methods using capacitively coupled plasma
CN103938272A (en) * 2014-04-03 2014-07-23 清华大学 Plasma assisted epitaxial growth device and method
CN104395987A (en) * 2012-06-29 2015-03-04 周星工程股份有限公司 Apparatus for treating substrate and method for treating substrate
CN104981895A (en) * 2013-02-08 2015-10-14 应用材料公司 Semiconductor processing systems having multiple plasma configurations
CN107366014A (en) * 2016-04-19 2017-11-21 纽富来科技股份有限公司 Shower nozzle, epitaxially growing equipment and method of vapor-phase growing
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN109891565A (en) * 2016-11-09 2019-06-14 朗姆研究公司 Active spray head
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN110079789A (en) * 2008-12-04 2019-08-02 威科仪器有限公司 Air inlet element and its manufacturing method for chemical vapor deposition
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN115323353A (en) * 2021-05-10 2022-11-11 沈境植 Substrate processing apparatus having power and gas supply structure with multiple nozzles
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101358863B1 (en) * 2007-12-28 2014-02-06 주성엔지니어링(주) Apparatus for forming a thin film and method of forming a thin film using the same
KR101280240B1 (en) * 2011-10-07 2013-07-05 주식회사 테스 Substrate processing apparatus
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
KR102431354B1 (en) * 2017-07-11 2022-08-11 삼성디스플레이 주식회사 Chemical vapor deposition device and method of manufacturing display device using the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151411A (en) * 1992-11-04 1994-05-31 Hitachi Electron Eng Co Ltd Plasma cvd device
KR0138989Y1 (en) * 1995-04-01 1999-04-15 황철주 Plasma low pressure chemical vapor depositing apparatus of single wafer type
KR960037002A (en) * 1995-04-04 1996-11-19 김상호 Source gas constant temperature shower device
KR100243446B1 (en) * 1997-07-19 2000-02-01 김상호 Showerhead apparatus having plasma generating portions
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus

Cited By (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100500951C (en) * 2007-02-07 2009-06-17 吉林大学 Device and method for high-speed growth of diamond single-crystal
CN110079789A (en) * 2008-12-04 2019-08-02 威科仪器有限公司 Air inlet element and its manufacturing method for chemical vapor deposition
CN103109357B (en) * 2010-10-19 2016-08-24 应用材料公司 Quartzy sprinkler for UV nano cure chamber
CN103109357A (en) * 2010-10-19 2013-05-15 应用材料公司 Quartz showerhead for nanocure uv chamber
CN102477547A (en) * 2010-11-25 2012-05-30 财团法人工业技术研究院 Plasma processing apparatus
CN102477547B (en) * 2010-11-25 2014-12-10 财团法人工业技术研究院 Plasma processing apparatus
CN103329251A (en) * 2011-01-18 2013-09-25 应用材料公司 Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
CN104395987A (en) * 2012-06-29 2015-03-04 周星工程股份有限公司 Apparatus for treating substrate and method for treating substrate
US10233542B2 (en) 2012-06-29 2019-03-19 Jusung Engineering Co., Ltd. Apparatus for treating substrate and method for treating substrate
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104981895A (en) * 2013-02-08 2015-10-14 应用材料公司 Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103243312A (en) * 2013-05-30 2013-08-14 光垒光电科技(上海)有限公司 Shower head and vapor deposition equipment
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
CN103938272A (en) * 2014-04-03 2014-07-23 清华大学 Plasma assisted epitaxial growth device and method
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107366014A (en) * 2016-04-19 2017-11-21 纽富来科技股份有限公司 Shower nozzle, epitaxially growing equipment and method of vapor-phase growing
CN107366014B (en) * 2016-04-19 2022-05-27 纽富来科技股份有限公司 Shower head, vapor phase growth apparatus, and vapor phase growth method
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN109891565B (en) * 2016-11-09 2024-04-26 朗姆研究公司 Active spray head
CN109891565A (en) * 2016-11-09 2019-06-14 朗姆研究公司 Active spray head
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN115323353A (en) * 2021-05-10 2022-11-11 沈境植 Substrate processing apparatus having power and gas supply structure with multiple nozzles

Also Published As

Publication number Publication date
KR20020074242A (en) 2002-09-30
KR100423953B1 (en) 2004-03-24
CN100540734C (en) 2009-09-16

Similar Documents

Publication Publication Date Title
CN1302152C (en) Chemical vapor depositing apparatus
CN1847450A (en) Chemical vapor deposition method
CN1861837A (en) CVD device for deposit spathic silicon
JP5457037B2 (en) Inert gas injection into the edge of the substrate
US7655111B2 (en) Plasma processing apparatus and plasma processing method
TWI427669B (en) Apparatus for treating large area substrate using hollow cathode plasma
CN1748294A (en) Semiconductor manufacturing device
CN1861839A (en) Catalyst enhanced chemical vapor deposition apparatus and deposition method using the same
CN1617309A (en) Flat panel display manufacturing apparatus
KR101485140B1 (en) Plasma processing apparatus
CN1791972A (en) Plasma processing device
CN1323660A (en) Sheat meterial surface treatment device
CN1949086A (en) Substrate processing method and substrate processing apparatus
CN1913741A (en) Control method of plasma treatment device and plasma treatment device
CN1638026A (en) Substrate processing apparatus and cleaning method therefor
CN1511339A (en) Ultraviolet ray assisted processing deivce for semiconductor processing
KR20080105617A (en) Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
CN1796008A (en) Substrate treatment equipment and treatment method thereof
KR101650795B1 (en) Plasma film forming apparatus
KR101123829B1 (en) Substrate treating apparatus
CN1891358A (en) Substrate cleaning system and substrate cleaning method
KR101037189B1 (en) Shadow frame for plasma enhanced chemical vapor deposition apparatus
CN1382831A (en) Etching method and etching appts.
CN1898783A (en) Plasma processing apparatus
KR101091086B1 (en) A gas injector and an apparatus having it

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: YUANYI IPS CO., LTD.

Free format text: FORMER OWNER: INTEGRATED PROCESS SYSTEMS

Effective date: 20120111

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120111

Address after: Gyeonggi Do, South Korea

Patentee after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi Do, South Korea

Patentee before: IPS LTD.

C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP03 Change of name, title or address

Address after: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee after: Lap Yi Cmi Holdings Ltd.

Address before: Gyeonggi Do, South Korea

Patentee before: WONIK IPS Co.,Ltd.

TR01 Transfer of patent right

Effective date of registration: 20160728

Address after: South Korea Gyeonggi Do Ping Ze Zhenwei Zhenwei group produced 75 road surface

Patentee after: WONIK IPS Co.,Ltd.

Address before: Gyeonggi Do Korea Pyeongtaek paint 78-40 (jije Dong strange street)

Patentee before: Lap Yi Cmi Holdings Ltd.

CX01 Expiry of patent term

Granted publication date: 20090916

CX01 Expiry of patent term