CN1447981B - 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 - Google Patents

微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 Download PDF

Info

Publication number
CN1447981B
CN1447981B CN018145213A CN01814521A CN1447981B CN 1447981 B CN1447981 B CN 1447981B CN 018145213 A CN018145213 A CN 018145213A CN 01814521 A CN01814521 A CN 01814521A CN 1447981 B CN1447981 B CN 1447981B
Authority
CN
China
Prior art keywords
layer
organosilicate
etching
integrated circuit
hardstanding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN018145213A
Other languages
English (en)
Other versions
CN1447981A (zh
Inventor
E·O·谢弗二世
K·E·霍华德
J·J·M·韦特卢斯
J·E·黑茨内尔
P·H·汤森三世
L·K·米尔斯
S·冈巴尔-费特内尔
L·R·威尔逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Global Technologies LLC
Original Assignee
Dow Global Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Global Technologies LLC filed Critical Dow Global Technologies LLC
Publication of CN1447981A publication Critical patent/CN1447981A/zh
Application granted granted Critical
Publication of CN1447981B publication Critical patent/CN1447981B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)

Abstract

本发明为一种方法,包括提供一种底材,在底材上形成第一层,其中第一层具有小于3.0的介电常数且含有一种有机聚合物,在第一层上涂施有机硅酸盐树脂,除去一部分有机硅酸盐树脂以暴露第一层的一部分,然后除去第一层的暴露部分。本发明同时也是一种集成电路制品,包括一个含有晶体管的活性底材和一个含有金属线路模式的电内连接结构,至少部分地被有机聚合物材料构成的层或区域分开,有机聚合物材料具有小于3.0的介电常数,并进一步包括在至少一层有机聚合物材料层之上的一层有机硅酸盐树脂。

Description

微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂
本发明涉及一种具有有机聚合电介质材料的微电子装置的制造以及,特别是涉及该装置制造中有机硅酸盐树脂作为硬面层(hardmask)(或蚀刻销(etchstop))的使用。 
微电子制造业正朝着更小规模装置的方向发展以求降低能量消耗和更快的装置速度。在导体线路日益纤细和紧密的同时,对于这些导体之间的电介质的需求更加迫切。正在研究新型材料,其较之传统使用的电介质材料二氧化硅具有较低的介电常数。在这些电介质材料中,将会达到增长的验受标准的是具有低于大约3.0的介电常数的旋转涂布(spin-on)有机聚合物。聚芳撑,包括聚芳撑醚和SiLKTM半导体电介质(来自Dow化学公司(The Dow Chemical Company)),是被考虑的主要有机聚合电介质。 
回顾一下使用这些新型电介质材料的微电子装置的制造。例如,参见“材料研究会(MRS)公告(Material Research Sosciety(MRS)Bulletin),1997年10月,第22卷,NO.10”。到今天为止,聚芳撑电介质在传统方式中通常是通过使用无机硬面层在电介质材料上成型图样来形成图案的。特别是,聚芳撑电介质在无机硬面层的汽相淀积后被涂敷到底材并硫化。按照标准的形成图案做法,在无机硬面层中成型图样,例如,光致抗蚀剂(即,软面层)的应用,通过软面层暴露并显影之后,将图案从软面层转型为硬面层同时移除软面层。典型的硬面层蚀刻是使用基于氟化物的化学试剂。底层聚芳撑电介质即可成样。淀积环境必须精密监控以保证硬面层和聚芳撑薄膜间足够的粘合。 
在本申请要求的最初优先权日之后,公开了专利出版物,其中同时论述了微电子装置制造中电介质材料、蚀刻销和硬面层的不同方式及实施方案。
WO01/18861(2001年3月15日)中,在陈述了已知的作为邻近蚀刻销的层和电介质材料应该具有充分的不同蚀刻选择性的概念后,该申请人指出无机层(定义为不含碳原子)应该作为通路水平(via level)和金属间电介质金属水平使用和在无机层之间应该使用有机低介电常数材料作为蚀刻销材料。 
WO00/75979(2000年12月14日)中,指出一种结构,该结构具有有机聚合物的第一介电层和一个在第一层之上的第二介电层,该层是用相对复杂合成方式制成的有机氢化硅氧烷。 
另外,美国专利6,218,078(2001年4月17日,申请日为1997年9月24日)指出在低介电常数聚合物(仅涉及苯并环丁烯)上使用旋转涂布硬面层(仅涉及氢硅倍半环氧乙烷(hydrogensilsesquioxane))。 
最后,美国专利6,218,317(2001年4月17日,申请日为1999年4月19日)指出在聚合层间电介质(ILD)材料之上使用甲基化氧化硬面层。该专利涉及旋转涂布硬面层和ILD的益处。 
本发明者已经发现一种减少无机硬面层汽相淀积要求的改进方式。依赖于使用特殊的集成线路图,这种减少或消除将会降低成本和提高性能并且由于取消了对于硬面层汽相淀积而要使晶片脱离旋转轨道的要求,当硬面层为嵌入式硬面层(或蚀刻销)时,由于有机硅酸盐较标准无机硬面层的介电常数更低,因而潜在地降低了装置中的有效介电常数,且当硬面层为可光定义(photodefinable)时,潜在进行了附加的工艺改进。 
因此,根据本发明第一个实施方案为一种方法,包括 
提供一种底材, 
在底材上形成第一层,其中第一层具有小于3.0的介电常数且含有一种有机聚合物, 
在第一层上应用有机硅酸盐树脂, 
移除一部分有机硅酸盐树脂以暴露第一层的一部分,并 
移除第一层的暴露部分。优选地,有机硅酸盐树脂选自基于联乙烯基硅氧烷二苯并环丁烯类型单体的低聚物和聚合物或水解烷氧基或 酸基硅烷。 
随意地,有机硅酸盐树脂可在第一层成像后除去。根据第二种选择,第二层低介电常数有机聚合物被应用于有机硅酸盐层上。在这种结构中,有机硅酸盐作为埋入的蚀刻销在双波纹集成线路图中发挥精确控制沟槽深度的作用。埋入的蚀刻销通过平板印刷术移除一些区域,其中通路将刺入第一层有机聚合物介电层。在涂布第二层有机聚合物之前或之后,埋入的蚀刻销层的蚀刻依赖于选用的集成线路图的类型而发生。 
本发明同时也是一种集成电路制品,包括一个含有晶体管的活性底材和一个含有金属线路模式的电连接结构,金属线路至少部分地被层或区域分开,层或区域具有小于3.0的介电常数,并含有一种有机聚合物,其中该制品进一步包括在至少一层有机聚合物材料之上的一层有机硅酸盐树脂。 
而且,本发明者发现并非所有的有机硅酸盐都与作为中间层电介质的各种有机聚合物电介质等同相容。特别地,在同基于第尔斯-阿尔德化学反应(Diels Alder chemistry)的低电介质有机芳烃聚合物或另外具有不饱和烯的物质一起使用时,下列分子式不论作为硬面层、蚀刻销、或甚至是附着力促进层都是非常有益的。因此,由第三个实施方案本发明为一种组合物,含有水解的或部分水解的反应产物: 
(a)具有至少一个含不饱和乙烯基的烷氧基或酸基硅烷,该乙烯基键连到硅原子上 
(b)具有至少一个含芳环的基的烷氧基或酸基硅烷,该基键连到硅原子上,且 
(c)随意地具有至少一个C1-C6烷基官能团的烷氧基或酸基硅烷,该官能团键连到硅原子上。 
由第四个实施方案,本发明为一种制品,其含有第一层薄膜和与其直接接触的第二层薄膜,该第一层薄膜含有本发明所述组合物的硫化产物,该第二层薄膜包括含有芳族基团和非芳族碳碳键的有机聚合物。 
图1和图2为表示使用本发明的硬面层材料的示范集成线路图的横截面。 
第一层为一种具有低介电常数的材料,主要形成于一种有机聚合 物,至少拼凑为第一层的大部分。此处,“有机聚合物”表示一种聚合物,在聚合物链的骨架上主要为碳,但也可以包括杂原子,如氧(例如,聚芳撑醚)或氮(例如,参见Hybrid微电子学国际学会(InternationalSociety for Hybrid Microelectronics),1992,薄膜多片模量(Thin FilmMultichip Modules),第104-122页中描述的聚酰亚胺)。有机聚合物的骨架上可以含有少量的Si,但优选为在骨架上不含或本质上不含Si。第一层可以有孔。这些孔可能有助于进一步降低材料的介电常数。该层也可以含有粘合促进剂(包括含有Si的粘合促进剂)、涂布助剂、和/或形成孔之后留下的材料。在第一层中存在的这些附加成分的量优选为相对少量的,例如,低于10wt.%,优选为低于1wt.%,最优选为低于0.1wt.%。 
优选地,第一层为多孔或非多孔聚芳撑。聚芳撑的实例包括SiLK半导体电介质、如EP0755957B1中描述的聚(芳撑醚)(例如,AirProducts的PAETM树脂)、联合信号公司(Allied Signal Corp.)制造的6/5/99和/或FlareTM树脂(见N.H.Hendricks和K.S.Y Liu,Polym.Prepr.(Am.Chem.Soc.,Div.Polym.Chem.)1996,37(1),P.150-1;以及J.S.Drage,等人,Material Res.Soc.,Symp.Proc.(1997),第476卷,(低介电常数材料III),第121-128页)和美国专利5,115,082、5,155,175、5,179,188和5,874,516以及PCT WO91/09081、WO97/01593和EP0755957-81中描述的那些)。也可选择地,如WO97/10193中披露的,第一层可用交联聚亚苯基形成。 
然而,最优选地,聚芳撑为美国专利5,965,679中公开的其中之一,此处引作参考。优选的聚芳撑为环戊二烯酮官能和乙炔官能化合物的反应产物。聚合物优选为如下通用分子式的低聚体的硫化或交联产物: 
[A]w[B]z[EG]v
其中A的结构为:
B的结构为: 
其中EG是具有一种或多种如下结构的端基: 
EG= 
其中R1和R2独立地是氢或一个未取代或惰性取代的芳族部分,Ar1,Ar2和Ar3独立地是一个未取代的芳族部分或惰性取代的芳族部分,M是键,y是一个3或更大的整数,p是在所给基体中未反应的乙炔基的数目,r是一个小于在所给基体中已反应的乙炔基数目的数,并 且p+r=y-1,z是一个1到1000之间的整数;w是一个0到1000之间的整数,v是一个2或更大的整数。 
此类低聚物和共聚物可以通过双环戊二烯酮、一种含有三个或多个乙炔基部分的芳族乙炔和任选地一种含有两个芳族乙炔基部分的多官能化合物一起反应制备而得。这样的反应可用具有如下分子式的化合物的反应来表示: 
(a)一种分子式如下的双环戊二烯酮: 
Figure S01814521319950316D000061
(b)一种分子式如下的多官能乙炔基: 
(c)任选地,一种分子式如下的二乙炔基: 
其中R1,R2,Ar1,Ar2,Ar3和y如前所定义。 
芳族部分的定义包括苯基,聚芳烃和熔融的芳族部分。“惰性取代”的意思是取代基团对环戊二烯酮和乙炔的聚合反应,本质上是惰性的;并且在具有环境物种例如水的微电子装置中,取代基团在使用硫化聚合物的条件下不会轻易地反应。此类取代基包括,例如F,Cl,Br,-CF3,-OCH3,-O-Ph和1到8个碳原子的烷基和3到大约8个碳原子的环烷基。例如,那些未取代或惰性取代的芳族部分包括: 
Figure S01814521319950316D000072
Figure S01814521319950316D000073
其中Z可以是:-O-,-S-,亚烷基,-CF2,-CH2-,-O-CF2-,全氟烃基,全氟代烷氧基, 
Figure S01814521319950316D000081
Figure S01814521319950316D000082
Figure S01814521319950316D000083
其中每一R3独立地是-H,-CH3,-CH2CH3,-(CH2)2CH3或Ph。Ph是苯基。 
有机聚合物的第二优选类为具有如下分子式化合物的反应产物: 
Figure S01814521319950316D000084
其中,每一个Ar都是一个芳基基团或惰性取代芳基基团,并且,每一Ar包含至少一个芳环;每一个R都独立地是氢,烷基,芳基或惰性取代烷基或芳基基团;L是一个共价键或一个将一个Ar与至少另一个Ar连接的基团;n和m是至少为2的整数;q是一个至少为1的整数,并且其中在至少一个芳环上的至少两个乙炔基基团对于另外一个是邻位排列的。优选这些聚合物具有下列分子式: 
Figure S01814521319950316D000085
有机硅酸盐树脂可以为取代的烷氧基硅烷或取代的酸基硅烷(参见,例如,美国专利5,994,489和WO00/11096)的水解或部分水解的反应产物或这些硅烷的硫化产物。优选地,在制造工序中应用水解的反应产物然后硫化。 
烷氧基或酸基硅烷的水解产生了未水解的、部分水解的、完全水 解的和低聚的烷氧基硅烷或酸基硅烷的混合物。当水解的或部分水解的烷氧基硅烷或酸基硅烷同另外的烷氧基硅烷或酸基硅烷反应产生水、醇或酸和一个Si-O-Si键的时候发生低聚合。此处用到的,术语“水解的烷氧基硅烷”或“水解的酸基硅烷”包括任何程度的水解,部分的或完全的,以及低聚的。水解前的取代的烷氧基或酸基硅烷优选为以下分子式: 
其中,R为C1-C6亚烷基、C1-C6亚烃基、亚芳基或一个直接键(directbond);Y为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨基乙基-氨基、3-氨基、-SiZ2OR′或-OR′;R′在每次出现时为一个独立的C1-C6烷基或C2-C6酰基;Z为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-20芳基或-OR′。术语“亚烷基”指的是一种附属在相同碳原子上的脂肪族烃基。术语“亚烃基”指的是一种符合分子式-(CnH2n)-的基。术语“芳基”指的是一种芳族基,“芳族”是如莫里森(Morrison)和博伊德(Boyd)在有机化学(OrganicChemistry)3rd Ed.,1973中描述的,定义为含有(4n+2)个电子。术语“亚芳基”指的是一种具有两个附属点的芳基。术语“烷基”涉及饱和脂肪族基团,如甲基、乙基等。“链烯基”涉及含有至少一个双键的烷基,如乙烯、丁烯等。“炔基”涉及含有至少一个碳-碳三键的烷基。“酰基”涉及一种具有-C(O)R结构的基团(例如,一个C2酰基即为-C(O)CH3)。“酸基”涉及一种具有-OC(O)R结构的基团。在前描述的基团也可以含有其它的取代基,如卤素、烷基、芳基和杂基,如醚、肟基、酯、酰胺;或酸或碱部分,例如,羧基的、环氧的、氨基的、磺酸基的或巯基的,只要烷氧基硅烷同涂层组合物的其它组分相容。优选地,所用的硅烷为硅烷的混合物。硅烷可以为烷氧基硅烷、酸基硅烷、三烷氧基硅烷、三乙酸基硅烷、二烷氧基硅烷、二乙酸基硅烷、四烷氧基硅烷或四乙酸基硅烷。一些直接附着到硅原子上的有机基团的实例可 以为苯基、甲基、乙基、乙丙烯酰氧丙基(ethacryloxypropyl)、氨丙基、3-氨基乙基氨丙基、乙烯基、苄基、双环庚烯基、环己烯基乙基、环己基、环戊二烯基丙基、7-辛-1-烯基、苯乙基、烯丙基或乙酸基。硅烷优选通过无溶剂工序水解或部分水解。硅烷保持有机部分甚至在固化之后一些有机基仍然直接键连到硅原子上。为了在硬面层或蚀刻销层中平衡所需特性,可以使用硅烷的混合物。例如,申请人已经发现将芳基烷氧基或芳基酸基硅烷(例如,苯基三甲氧基硅烷)与具有不饱和碳-碳键基团(例如,链烯基或alkyidenyl部分如乙烯基或苯基乙炔基)的烷氧基硅烷或酸基硅烷结合使用,将会为优选的有机聚合物电介质材料提供优良的润湿、涂覆和粘合性能,特别是那些具有附加碳-碳不饱和键的芳族聚合物。芳族取代硅烷的存在同样提高了单个硅烷体系中的湿度灵敏性和介电常数。而且,已经发现将烷基烷氧基硅烷或烷基酸基硅烷(例如,甲基三甲氧基硅烷或乙基三甲氧基硅烷)与芳基和不饱和取代的硅烷结合使用,能够进一步提高所得的薄膜的湿度保持力/排除力和降低介电常数。而且,一烷氧基、一酸基、二烷氧基、二酸基、三烷氧基、三酸基、四烷氧基硅烷或四酸基硅烷的混合物可以以混合物的状态使用并能够增强蚀刻选择性,分支调节性等等。 
这些硅烷混合物的水解反应产物是本发明的一个实施方案。特别地,优选下列组合物,该组合物是混合物的水解或部分水解的产物,该混合物包括 
(a)50-95摩尔%的硅烷,具有分子式 
其中Ra为C1-C6亚烷基、C1-C6亚烃基、亚芳基或一个直接键;Ya为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨基乙基-氨基、3-氨基、-SiZa2ORa′或-ORa′;Ra′在每次出现时为一个独立的C1-C6烷基或C2-C6酰基;Za为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-20芳基或-ORa′,只要至少一个Za或Ra-Ya结合起来含有一个非芳族碳碳不饱和键。
(b)5-40摩尔% 
其中Rb为C1-C6亚烷基、C1-C6亚烃基、亚芳基或一个直接键;Yb为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨基乙基-氨基、3-氨基、-SiZb2ORb′或-ORb′;Rb′在每次出现时为一个独立的C1-C6烷基或C2-C6酰基;Zb为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-20芳基或-ORb′,只要至少一个Zb或Rb-Yb结合起来含有一个芳环。 
(c)0-45摩尔% 
其中Rc为C1-C6亚烷基、C1-C6亚烃基、亚芳基或一个直接键;Yc为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨基乙基-氨基、3-氨基、-SiZc2ORc′或-ORc′;Rc′在每次出现时为一个独立的C1-C6烷基或C2-C6酰基;Zc为C1-C6烷基、C2-C6链烯基、C2-6炔基、C6-20芳基或-ORc′,只要至少一个Zc或Rc-Yc结合起来含有一个链烯基。摩尔百分比基于存在的硅烷(a)、(b)和(c)的总摩尔数。 
这些基于混合物的有机硅烷在微电子装置的制造中可以用作硬面层、蚀刻销或粘合促进剂,特别是那些可能具有不饱和碳碳的优选有机电介质聚合物层。 
水解有机硅烷组合物的加工可以通过调节来获得所需性能,例如控制分子量,聚合物体系结构(例如,嵌段共聚物,无规共聚物等等)。当使用了有机硅烷的结合物且该有机硅烷的其中之一较其它的反应性明显时,发明人已经发现在水解反应进行过程中优选不断地加入更多的反应性种类。这确保了两种类型硅烷的残留物更均一地分布在反应生成的低聚体或聚合物中。调节加入速率以提供反应生成的聚合物中 所需的硅烷残留物的混合物。此处,“不断地加入”意味着反应性硅烷的加料不是一次加入而是按几个均分部分加入,或者更优选以理想的加成速率逐渐注入或加入。另外,在水解反应中不断地加入水同样有助于分子量的控制。水解时加入的水的用量也同样重要。如果使用过少的水,可能引起胶凝。如果使用过多的水,可能引起相分离。对于优选的组合物,每摩尔硅烷可加入1-3摩尔水,更优选每摩尔硅烷加入1.5-2.5摩尔水。 
其它适宜的有机硅酸盐树脂是基于苯并环丁烯化学的树脂。优选的有机硅氧烷是由如下分子式的单体制成的: 
Figure S01814521319950316D000121
其中 
每个R3独立地为1-6碳原子的烷基、三甲代甲硅烷基、甲氧基或氯;优选R3为氢; 
每个R4独立地为二价的、烯键不饱和有机基,优选为1-6碳原子的链烯基,最优选为-CH2=CH2-; 
每个R5独立地为氢、1-6碳原子的烷基、环烷基、芳烷基或苯基;优选R5为甲基; 
每个R6独立地为氢、1-6碳原子的烷基、氯或氰,优选氢; 
n为不小于1的整数; 
每个q为0至3的整数。 
优选的桥接二苯并环丁烯单体的有机硅氧烷可以通过已披露的方法制备,例如,美国专利4,812,588;5,136,069;5,138,081和WO94/25903。 
适宜的低聚苯并环丁烯基硅氧烷可购自Dow化学公司,商品名CYCLOTENETM。这些材料本身具有约为2.65的低介电常数的优点,因此,可被放置于微电子装置中作为嵌入式硬面层而对装置的性能没有明显的有害影响。前述的水解硅烷同样具有低于4的介电常数,这 就是目前通常使用的二氧化硅的介电常数的值。氮化硅同样被普遍使用且具有约为7的介电常数。硅烷同样是高度热稳定的,且因此能够承受严格的制备工艺条件。 
烷基或芳基取代的硅倍半环氧乙烷(silsesquioxanes)同样可以作为有机硅酸盐树脂使用。 
底材优选为电活性底材,包括,优选为半导体材料,如硅片、绝缘体上的硅、或镓/砷化物。优选地,该底材包括晶体管。底材可以包括在前应用的金属连接层和/或电绝缘材料。如以上讨论的或其它已知的电介质,这些电绝缘材料可以为以上讨论的有机聚合物或其它已知介电质,如二氧化硅、氟化的二氧化硅、氮化硅、硅倍半环氧乙烷等等。在前应用的金属连接层可以具有凸起的部分,在这种情况下,有机聚合物或其前体必须能够填满这些部分之间的空隙。 
有机聚合电介质可通过任何已知能够达到所需厚度的方式应用于底材。优选地,有机聚合物的未硫化聚合物或低聚体是由旋转速率为500至5000rpm的溶剂体系旋转涂布的。有机聚合物层的厚度优选为小于5000nm,更优选约为50至2000nm。适宜的溶剂包括1,3,5-三甲基苯,吡啶,三乙胺,N-甲基吡咯烷酮(NMP),甲基苯甲酸酯,乙基苯甲酸酯,丁基苯甲酸酯,环戊酮,环己酮,环庚酮,环辛酮,环己基吡咯烷酮和醚或羟基醚(如二苄醚,二甘醇二甲醚,三甘醇二甲醚,二甘醇乙基醚,二甘醇甲基醚,双丙甘醇甲基醚,双丙甘醇二甲基醚,丙二醇苯醚,丙二醇甲基醚,三丙二醇甲基醚),甲苯,二甲苯,苯,双丙甘醇一甲基醚乙酸酯,二氯苯,异丙二醇碳酸酯,萘,二苯醚,丁内酯,二甲基乙酰胺,二甲基甲酰胺和上述物质的混合物。 
然后从涂层除去残余液体,低聚体,或未硫化聚合物优选通过加热硫化。优选地,最初的加热步骤是在大气压的氮气下在加热板上进行的,接下来在加热板或炉中高温硫化。优选的聚芳撑的硫化温度,在U.S.5,965,679中披露的范围为50℃至600℃,更优选为100至450℃,持续0.1至60分钟。在制造多孔的第一层时,需要提供特殊工序以燃烧或除去活性成孔原(porogen)。例如,见WO00/31183。 
在应用(应用步骤可以包括一个烘焙步骤以除去残余溶剂)和随意地,硫化第一层之后,应用有机硅酸盐材料。任何已知的涂布工序 都可以使用,例如单体汽相淀积、旋转涂布、浸渍涂布、喷涂等等。然而,优选低聚体或低分子量的聚合物溶液的旋涂转布。有机硅酸盐层的厚度优选大于50埃(5nm),更优选大于100埃。优选地,对于顶部硬面层来说层厚约小于1000埃,对于嵌入式面层来说层厚约小于500埃。根据第一个实施方案,有机硅酸盐材料的硫化优选在50至500℃,更优选为100至400℃,持续0.1至60分钟。准确的温度将依赖于所选的有机硅酸盐材料。在有机硅酸盐材料上应用光致抗蚀剂。根据传统方式成像并显影光致抗蚀剂,以便除去硬面层上暴露图样的那一部分光致抗蚀剂。然后可以将有机硅酸盐硬面层蚀刻,以便暴露第一层电介质的一部分。有机硅酸盐硬面层的蚀刻可以采用任何方式,例如湿蚀刻(例如,电气化学的、光电化学的或断路蚀刻(open circuitetching))或干蚀刻(例如,蒸汽、等离子、激光、电子束、离子)技术,如Etching in Microsystems,Michael Kohler,Wiley-VCH中所述。光致抗蚀剂可以在蚀刻期间或在一个单独的除去步骤中除去。第一电介质层的暴露部分然后可以通过如干蚀刻或湿蚀刻的方式蚀刻以形成沟槽、通路或其它所需特征。如果需要,第二有机聚合物层可以在形成图案的有机硅酸盐层上涂施并硫化。任何类型的第二硬面层,优选仍为有机硅酸盐,可以涂施在第二层有机聚合物上并根据标准工序形成图案。有机聚合物然后可被蚀刻在嵌入式硬面层或蚀刻销上,在该处图样在嵌入式硬面层中是敞开的,并向下贯穿第一层有机聚合物。 
根据第二个实施方案,可硫化的有机硅酸盐配方(例如,b-步骤的或部分硫化的低聚物或水解的或部分水解的有机硅烷)包括一种光活性剂,如可进一步引发可硫化的聚合物硫化或交联的光引发剂。该化合物的实例包括过氧化物、偶氮化合物和苯偶姻衍生物。光活化剂,基于有机硅酸盐的可旋转涂布的配方BCB可从Dow化学公司买到,商品名CYCLOTENE4000系列。二者择一地,该体系为包含一种光活性化合物和一种单独溶解抑制剂的正体系,或优选为溶解抑制剂,其本身是光活性的。适宜的光敏性溶解抑制剂组合物/化合物的非限制性实例三羟基苯甲酮的磺酰酯(例如,THBP)和枯基苯酚。在此例中,在应用了有机硅酸盐并除去多余溶剂之后,有机硅酸盐硬面层被暴露于激活辐射波长,并进一步在有机聚合物层上显影留下硬面层图样。 结果,光活性剂的使用能够导致或正或负的曝光性能。正意味着在曝光时光引发剂交联,负意味着光引发剂在曝光时断裂了键。工艺上已为人们所知的适宜显影剂包括烃、乙二醇、乙二醇醚、取代的芳香溶剂和它们的混合物。然后将硬面层如第一个实施方案硫化。硫化后,将暴露的有机聚合物层如第一个实施方案蚀刻。 
根据第三个实施方案,在第一有机聚合物电介质层上涂施后,将有机硅酸盐材料硫化,优选在50至500℃,更优选为100至400℃,持续0.1至60分钟。准确的温度将依赖于所选的有机硅酸盐材料。然后第二有机聚合物电介质层可以前述的任何涂布方式应用,并完成有机聚合物层的全部或部分硫化。可以使用各种双波纹工序(dualdamascene process),用内含有机硅酸盐层作为蚀刻销来构成沟槽和通路结构以控制沟槽的均匀、深度和/或形状。然后可以将有机硅酸盐硬面层蚀刻以暴露第一层电介质的一部分。有机硅酸盐硬面层的蚀刻可以采用任何方式,例如湿蚀刻(例如,电化学的、光电化学的或断路蚀刻)或干蚀刻(例如,蒸汽、等离子、激光、电子束、离子)技术,如Etching in Microsystems,Michael Kohler,Wiley-VCH中所述。第一电介质层的暴露部分然后可以通过如干湿蚀或湿蚀刻的方式蚀刻以形成通路或其它所需特性。 
可以使用的一些双波纹工序的实例包括如下: 
方案1:使用非牺牲性的硬面层作为嵌入式硬面层,并使用一个单顶硬面层,在通路水平上借助图样。在此方案中,通路水平电介质在应用了嵌入式硬面层和后续涂施及光致抗蚀剂成样之后淀积。铜扩散阻挡层可以应用在电介质层下,此处扩散阻挡层也可以在工序中适当的点被蚀刻来构成对金属通路的连接。该集成过程可以有下列选择来继续:选择1:仅蚀刻硬面层;选择2:完全蚀刻硬面层并部分蚀刻电介质;选择3:完全蚀刻硬面层和电介质;选择4:完全蚀刻硬面层、电介质和扩散阻挡层。光致抗蚀剂被除去且沟槽水平电介质在顶部硬面层和光致抗蚀剂应用及成样之后应用。然后该结构被蚀刻向下到底材水平中,其中通路通道已经形成,或向下到嵌入式硬面层中,其中硬面层还未预先形成图案。 
方案2:在方案2中,下列层按顺序被应用于底材:扩散阻挡层、 通路水平电介质、嵌入式硬面层、沟槽水平电介质、沟槽硬面层和已成样的光致抗蚀剂。然后沟槽硬面层被蚀刻为所需沟槽外形。然后涂施通路成样的光致抗蚀剂且通路蚀刻根据下列选择进行:选择1:通路外形仅蚀刻从沟槽电介质到嵌入式硬面层的顶部,接着蚀刻嵌入式硬面层,然后蚀刻沟槽和通路;选择2:通路外形蚀刻从沟槽电介质到嵌入式硬面层,接着蚀刻沟槽和通路;选择3:通路外形蚀刻一直到底材,接着蚀刻剩下的沟槽外形。 
方案3:在此方案中,下列层按顺序被涂施于底材:扩散阻挡层、通路水平电介质、嵌入式硬面层、沟槽水平电介质、沟槽硬面层和已成样的光致抗蚀剂。然后沟槽硬面层被蚀刻为所需通路外形。下一个蚀刻步骤可以继续将通路外形向下成型到叠层中任何所需层。然后蚀刻顶部硬面层为沟槽外形并完成剩下的沟槽和通路的蚀刻。 
方案4同方案2类似,除了使用一个双顶硬面层。 
方案5同方案3类似,除了使用一个双顶硬面层。 
方案6-8同方案1-3类似,但分别使用了光定义的嵌入式硬面层,如本专利文献中所介绍的。不需要光致抗蚀剂形成嵌入式硬面层。 
方案9和10同方案4和5类似,但所有硬面层是可光定义的。 
方案11未使用嵌入式硬面层而使用了双顶硬面层和计时蚀刻形成通路和沟槽。沟槽或通路外形都可以第一个形成。 
方案12同方案11相似但双顶硬面层中至少一个使用了光定义的硬面层。 
方案13-24同方案1-12相同,但除去顶部硬面层而不是留在叠层中。 
在方案1-24中,在通路和沟槽形成之后发生金属化,可以包括使用现有技术已知的阻挡层材料。 
在本发明中使用1-24方案时,硬面层中至少一个是如此处定义的有机硅酸盐且一个电介质层是有机聚合物。使用有机硅酸盐硬面层和有机聚合电介质程序上的变化被认为在本发明的范围之内。例如,在有机聚合电介质中蚀刻一个图样之后,可以通过已知工序加入金属连接。例如,将铜和衬垫材料内连接,例如,钽、钛、钽基合金、钛基合金和钨基合金可以通过物理蒸汽、热、化学的或等离子支持的汽相 淀积应用。铜颗粒层也可以通过物理、热、等离子支持的蒸汽、无电镀或电镀的淀积应用后,电镀金属铜。如果内连接体系接下来在足够高的温度(大于200℃)下被退火,基于有机硅酸盐硬面层的苯并环丁烯(BCB)将开始降解且易于用弱酸清除。二者择一地,钨塞可由已知方式在通路中形成。 
提高平坦化(planarization)和/或除去表面层或特征的化学机械抛光法(Chemical Mechanical Polishing)也可以根据已知方式使用。除去光致抗蚀剂和其它残留层的洗涤步骤也可以如已知方式使用。 
注意由于有机硅酸盐硬面层本身具有低介电常数,它将便于用作嵌入式硬面层,且未被从制品中除去,但最好另外有内连接/电介质层应用在其之上。二者择一地,有机硅酸盐硬面层可以通过任何已知工序除去,例如,氧/溶剂处理、热降解加溶剂洗涤等等。 
使有机硅酸盐树脂用作有机聚合物电介质硬面层的一个重要因素在于有机硅酸盐树脂是相对抗用于蚀刻的有机聚合物电介质的化学物品的。蚀刻选择性可被定义为有机聚合物电介质的厚度除以在暴露于相同的蚀刻化学物品时除去的有机硅酸盐的厚度。根据本发明,蚀刻选择性优选为至少是3,更优选为至少是5。对于水解硅烷,蚀刻选择性可以更高-在大于10等级上,优选大于20。蚀刻选择性可以通过实施处理工序被提高,例如,暴露在氟化的等离子下,和基于有机硅酸盐的BCB上的光或电子束辐射。 
在以一些的特殊实例形式考察时,本发明的一些优势变得更加明显。 
一种方法是使用一种非牺牲性的(即,不是除去而是使层成为装置上永久的一部分)、非光定义的有机硅酸盐。这允许用低介电常数旋转涂布淀积的硬面层代替汽相淀积的硬面层。仍然应用标准成样惯例。装置中性能的提高是由于多层电介质叠层的组合介电常数的降低来获得的。本发明中旋转涂布硬面层的相对介电常数为3.2左右,优选为3.0和1.8之间,且同标准的汽相硬面层相比,它们具有在9和3.0之间的相对介电常数。其次,成本的降低是由于旋转涂布电介质本身更低的拥有成本(cost-of-ownership)获得的。 
第二种方法是使用一种牺牲性的(即,除去该层)、非光定义的有 机硅酸盐树脂。仍然应用标准成样惯例。在成样步骤后,一种专门的处理步骤包括,例如,氧和溶剂处理被用于除去牺牲性的旋转涂布硬面层。由于除去了此层,就能够获得最低的可能的介电常数。产量和可靠性的提高是由于多层构造中界面数目的降低来获得的。 
第三种方法是使用一种非牺牲性的、光定义的有机硅酸盐。除第一种方法中指出的优势外,由于工艺所致缺陷的可能性的降低,可以预见产量上的提高。同样,对于光致抗蚀剂和软面层的需要也降低或消除了。 
第四种方法是使用一种牺牲性的、光定义的有机硅酸盐。在第二种方法中提出的优势仍然适用,另外增加了由于工艺所致缺陷的可能性的降低而获得的产量提高的附加优势,以及由于消除了对光致抗蚀剂或软面层的需要而获得的工序简单化的附加优势。 
本发明方法上的其它变化通过下列程序作为示例。 
参见图1,在底材10上,涂敷聚芳撑20,例如,SiLKTM半导体电介质,然后硫化。在硫化的聚芳撑20上涂敷有机硅酸盐30,然后再硫化。在有机硅酸盐30上,汽相淀积无机硬面层40。光致抗蚀剂被涂敷在无机硬面层40上并形成图案和显影,然后蚀刻硬面层40并洗涤除去剩余的光致抗蚀剂以显露无机硬面层40中的沟槽图案41。涂施第二层光致抗蚀剂,暴露并显影,然后蚀刻有机硅酸盐30。剩余的光致抗蚀剂再次被除去以显露有机硅酸盐30的通路图案31。参见图1d,在有机聚合物中蚀刻通路21,接着将蚀刻化学物品改变为蚀刻有机硅酸盐的化学物品并使用计时蚀刻形成沟槽22。硬面层40可以通过传统的除去方式在此时被除去。在淀积衬垫50、铜颗粒和电镀的铜60到底材上之前,可以使用后蚀刻清洁。铜60可以如1f所示进行化学机械抛光以平坦化。然后铜可在高温下退火且有机硅酸盐树脂将易于用弱酸净化除去。如需要,帽层70可被淀积并重复这些步骤以便提供其它层。 
参见图2,在底材10上,涂敷聚芳撑20,然后硫化。光活性有机硅酸盐32,例如,CYCLOTENE4022光定义的BCB树脂,被涂施并除去溶剂。光活性有机硅酸盐暴露于活性波长辐射下是成影象的,且未暴露部分被除去以形成通路图样33。然后硫化剩余的有机硅酸盐32。涂施第二光活性有机硅酸盐34,暴露并显影以形成沟槽图样35,接着 硫化有机硅酸盐34。可使用无氟气体蚀刻通路21,接着用氟化气体蚀刻以除去有机硅酸盐硬面层34并形成沟槽22。然后如在图1所述,构成金属化步骤。 
如在前所述,本发明的工序可以用于双波纹制造。例如,底材为减式或双波纹内连接结构。涂施汽相淀积无机薄膜(SixOy、SixOyNz、SixNy、SixCy、SixOyCz),接着涂施有机聚合物(例如,SiLK树脂)薄膜,将其烘焙并硫化。可以选择涂施嵌入式蚀刻销层(例如,汽相淀积层或更优选为本专利文献所述有机硅酸盐薄膜,它可随意地为光定义的)。嵌入式面层使用传统技术成样。接着,涂施第二有机聚合物薄膜,将其烘焙并硫化。在第二有机聚合物薄膜顶部,施行双硬面层策略,其中一层薄膜为有机硅酸盐薄膜且另一层为无机SixOy、SixOyNz、SixNy、SixCy、SixOyCz或金属膜(例如,Ta、TaN、Ti、TiN、TiSiN、TaSiN、WN、WSiN)。这里同样采用四种涂施方法,光定义的、非光定义的、牺牲性的和非牺牲性的有机硅酸盐层。 
将用于通路和沟槽的抗蚀图或暴露并显影的有机硅酸盐图样转移到有机聚合物薄膜中的顺序可以按照通路优先和沟槽水平、沟槽水平上通路的完全或部分蚀刻优先、沟槽水平的沟槽优先、沟槽水平上沟槽的完全或部分蚀刻、或通路优先,或通路水平上完全或部分蚀刻,依赖于使用的嵌入式硬面层。一旦图样转移到SiLK薄膜,应用传统方式进行金属化。 
本发明应用于减式制造方式以及波纹方式。对于减式方式,底材由传统技术生产的形成图案的金属特征组成。在填缝形式中,需要有机聚合物树脂(例如,SiLK H半导体电介质)并通过任何适用技术沉积,经过烘焙和硫化步骤造成溶剂的蒸发和有机聚合物薄膜的稠化。有机聚合物薄膜可随意地被机械化工抛光或蚀刻(使用抗蚀刻回蚀(resist etch back)、聚合物回蚀或任何其它相关方法)来获得完全平坦化了的薄膜。最后一步可以延迟。在有机聚合物薄膜上,可通过上述方式淀积有机硅酸盐(OS)薄膜。同样的,有机硅酸盐可以完全如下所描述为光定义的、非光定义的、牺牲性的和非牺牲性的: 
选择a:有机硅酸盐薄膜是非牺牲性和非光定义的。 
在OS薄膜上涂施光致抗蚀图且通过干燥蚀刻技术将其转化为OS 薄膜。接下来,通过在前所述的的传统方法,使用成样了的薄膜作为模板将有机聚合物薄膜成样。 
选择b:有机硅酸盐薄膜是牺牲性和非光定义的。 
在OS薄膜上涂施光致抗蚀图且通过干燥蚀刻技术将其转化为OS薄膜。接下来,通过在前所述的传统方法,使用成样了的薄膜作为模板将有机聚合物薄膜成样。在有机聚合物薄膜形成图案后,通过在酸中消溶或干燥蚀刻或化学机械抛光除去OS薄膜。 
选择c:有机硅酸盐薄膜是非牺牲性和光定义的。 
如前所述,OS薄膜被暴露并显影,通过干燥蚀刻技术将此图样转化为OS薄膜。接下来,通过在前所述的传统方法,使用成样了的薄膜作为模板将有机聚合物薄膜成样。 
选择d:有机硅酸盐薄膜是牺牲性和光定义的。 
如前所述,OS薄膜被暴露并显影,通过干燥蚀刻技术将此图样转化为OS薄膜。接下来,通过在前所述的传统方法,使用成样了的薄膜作为模板将有机聚合物薄膜成样。在有机聚合物薄膜形成图案后,通过在酸中消溶或干燥蚀刻或化学机械抛光除去OS薄膜。 
如果忽略之前的完全平坦化步骤,现将此步骤引入到流程中。在加工工序中推荐使用此步骤但并非必需的。一旦图样被转移成有机聚合物薄膜,可应用传统的填塞技术制造连接。 
实施例 
实施例1-单水平双波纹结构的制造 
SiLK-I半导体树脂被旋转涂布到200mm硅底材上以形成约1微米厚的层。涂层在加热板上325℃下1.5分钟并在炉中400℃下30分钟硫化。接下来,将CYCLOTENE4022-35光定义的BCB树脂旋转涂布到SiLK-I树脂层上。BCB在SiLK-I涂层上形成均一的优质涂层且没有任何润湿缺陷。然后将BCB层暴露在UV光源下以使其成样,使用推荐的光定义步骤对CYCLOTENE4022-35BCB树脂进行显影和硫化。 
然后使用基于氮/氧的等离子将定义的图样蚀刻在SiLK-I涂层中。蚀刻后,在SiLK-I涂层上剩余的BCB硬面层显示了相当好的蚀刻选择性。接下来,使用等离子汽相淀积对晶片进行金属化。首先,在溅射 淀积钛的薄层(200A)之后溅射淀积一厚层铜薄膜。此时,模拟典型的铜退火将晶片在400℃退火1小时。最后,使用柔软的磨光布料(棉)和1,3,5-三甲基苯溶剂除去BCB硬面层表面上剩余的铜和BCB硬面层。结果是除去了顶部的BCB/Ti/铜层但在定义了的特征部分内留下了铜。 
实施例2
CYCLOTENE7200树脂配方通过1,3,5-三甲基苯稀释为不同的固体含量水平。然后将其旋转涂布到已固化的7000埃(7000A)厚的SiLK-I树脂薄膜上。然后使用标准的推荐工序光定义BCB。然后使用氮/氧等离子蚀刻该部分。结果如表I所示,说明了此非最优化蚀刻工序的蚀刻选择性为8∶1。 
表I:Cyclotene(甲基环戊烯醇酮)树脂对SiLK树脂的蚀刻选择性 
  
BCB溶液中的固体%  蚀刻前硬面层厚度,埃 蚀刻后硬面层厚度,埃 硬面层蚀刻量埃       最大蚀刻选择性  
10 928 0 928 7.5∶1
15 3025 2150 875 8.0∶1
20 8618 6550 2068 3.4∶1
30 26680 25532 1148 6.1∶1
实施例3
将乙烯基三乙酸基硅烷(VTAS)分别以占3.5wt.%和10.0wt.%加入到Dowanol PMA中。通过按照1摩尔VTAS加入1摩尔水的量加水水解VTAS。接下来,用两种溶液旋转涂布两个裸露的硅片并在氮气保护层下340℃烘焙1分钟。VTAS层的厚度在烘焙后对于3.5%和10%的溶液分别约为24.5nm和132nm。 
分开地,另外的晶片是通过用SiLK-I550电介质树脂旋转涂布100mm硅片并在400℃下硫化30分钟制成的。使用表面光度测定法,SiLK层的厚度约为450nm。然后将备用的晶片用低粘性胶带覆盖,致使仅暴露一半晶片。然后旋转涂布VTAS/PMA溶液,除去低粘性胶带,并将晶片在加热板上氮气环境下340℃放置1分钟。结果得到半涂布的晶片,一个使用3.5%的溶液,另一个使用10%的溶液。 
然后将这些晶片在氮-氧等离子下暴露1分钟。在等离子处理后, 晶片没有VTAS覆盖层的那一半被完全蚀刻。然而,有VTAS硬面层的SiLK树脂完全没有被蚀刻。结果,VTAS硬面层对于SiLK树脂的蚀刻选择性大于18∶1(450nm或完全除去了SiLK树脂/没有完全除去的24.5nm VTAS)。 
实施例4
将另外的晶片用SiLK-I550树脂涂布并按照实施例3进行硫化。然后将这些晶片用10%的VTAS溶剂涂布并在氮气下340℃烘焙1分钟。然后第二层SiLK-I树脂被旋转涂布。该涂层具有合格的质量。然后将晶片在400℃下30分钟硫化5次。在晶片上没有观察到起泡、剥皮或裂纹,因此证明了涂施此材料充分的热稳定性。 
实施例5
通过将3.92g乙烯基三乙酸基硅烷(VTAS)和1.13g苯基三甲氧基硅烷(PTMS)加入到95.15g DowanolTMPMA中制备有机硅烷溶液。基于全部硅烷含量的等摩尔量的水被加入到该混合物中并将溶液摇动一整夜。用0.1um过滤器过滤溶液。 
将约为3mL的所得溶液以750rpm涂施在200mm硅片上。在溶液分配之后立即将晶片以10000rpm/秒加速至3000rpm并干燥30秒。干燥后,将晶片在加热板上180℃烘焙60秒。硅烷薄膜的厚度为152埃。 
将约为3mL的SiLKTMI半导体电介质溶液(150nm公称薄膜厚度)以60rpm涂施在上述制备的硅烷涂布了的晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气层下320℃进一步聚合90秒。在用加热板烘焙后,用肉眼评定晶片的缺陷。观察到极微的缺陷。SiLK电介质薄膜的厚度约为1400埃(1400A)。堆叠在硅片上的硅烷/SiLK叠层的粘合力被测为0.27MPa-m1/2。 
接下来的测试,包括蚀刻选择性,是在用与上述制备方式相似的方法制备出的晶片上进行的,且在实例例6中,显示了在涂施接下来的上层电介质时,下面的有机硅酸盐薄膜可能会被损坏或部分地除去。避免这种问题的不同方式包括上层电介质旋转配方的溶剂选择、提高有机硅酸盐层交联或均一性的硅烷单体的选择、提高层均一性的有机硅酸盐材料的制造方式(例如,见实施例10)。
实施例6
将4.9g0.001N HCL加入到15.3g Dowanol PMA中,制备有机硅烷溶液。将PMA混合物置于冰浴器中并在搅拌时加入1.7g PTMS。然后将18.3g VTAS缓慢加入到溶液中。将硅烷溶液摇动60分钟然后通过将39.47g该溶液加入到93.30g Dowanol PMA中将其稀释为14.8wt.%的有机硅烷。将溶液摇动5分钟然后允许将其平衡。通过将16.90g14.8%的备用溶液加入到33.11g Dowanol PMA中使溶液进一步稀释为10wt.%的有机硅烷。 
将约为3mL上述制备的硅烷溶液以750rpm涂施在200mm硅片表面上。在分配了溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥30秒。干燥后,将晶片在加热板上180℃烘焙60秒。硅烷薄膜的厚度为310埃(310A)。 
将约为3mL的SiLKI半导体电介质溶液(100nm公称薄膜厚度)以60rpm涂施在上述制备的硅烷涂布了的晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气保护层下320℃进一步聚合90秒。在用加热板烘焙后,肉眼评定晶片的缺陷。观察到极微的缺陷。SiLK电介质薄膜的厚度约为1080埃(1080A)。 
实施例7
如实施例5制备有机硅酸盐溶液,除了在溶液中不加入PTMS。仅使用VTAS作为硅烷成分。公称的有机硅烷浓度(100%VTAS)为4.5wt.%。 
将约为3mL上述制备的硅烷溶液以750rpm涂施在200mm硅片表面上。在分配了溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥30秒。干燥后,将晶片在加热板上180℃烘焙60秒。硅烷薄膜的厚度为240埃(240A)。 
将约为3mL的SiLKTMI半导体电介质溶液(100nm公称薄膜厚度)以60rpm涂施在上述制备的硅烷涂布了的晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气保护层下320℃进一步聚合90秒。在用加热板烘焙后,肉眼评定晶片的缺陷。可以观察到大量的薄膜缺陷, 特别是反润湿区域和薄膜收缩区域。SiLK薄膜的厚度约为1170埃。 
然后如上所述制备一系列晶片,除了SiLK电介质薄膜厚度在1170A至10400A之间变化以外。用目视等级来表征SiLK电介质薄膜的质量特性,其中用10表示非常差的薄膜质量(大量的反润湿和/或收缩)和用1表示完美的薄膜质量。下表给出了薄膜质量和SiLK薄膜厚度。包括了实施例5和实施例6所述晶片作为比较。这证明了对于薄保护涂层薄膜来说,该涂层与底层的相容性较厚保护涂层薄膜表现得更加敏感。 
  
晶片标识符 有机硅烷薄膜厚度,埃     SiLK薄膜厚度,埃             薄膜质量
A 240 1170 10
B 240 1440 10
C 240 2560 5
D 240 3760 2
E 240 5700 2
F 240 10400 2
实施例5 150 1440 2
实施例6 310 1080 2
实施例8
如实施例7制备有机硅烷溶液,除了最终有机硅烷浓度为2.5wt.%。 
如实施例7所述制备一系列晶片。下表总结了薄膜质量和这些晶片的厚度。包括了实施例5和实施例6所述晶片作为比较。 
  
晶片标识符 有机硅烷薄膜厚度,A      SiLK薄膜厚度,A              薄膜质量
A′ 120-140 1170 10
B′ 120-140 1440 10
C′ 120-140 2560 1
D′ 120-140 3760 1
E′ 120-140 5700 1
F′ 120-140 10400 1
实施例5 150 1440 2
[0158]   
实施例6 310 1080 2
实施例9
这是一个制造埋入的蚀刻销层的实施例。 
将约为3mL来自Dow化学公司的AP4000粘合剂促进剂以750rpm涂施在200mm硅片上。在分配了溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥30秒。干燥后,将晶片在加热板上180℃烘焙60秒。硅烷薄膜的厚度为152A。将约为3mL的SiLK I半导体电介质溶液(400nm公称薄膜厚度)以60rpm涂施在上述制备的用粘合剂促进剂涂布了的晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气保护层下320℃进一步聚合90秒。然后在真空下400℃硫化涂布晶片30分钟。 
将约为3mL实施例6中制备的有机硅烷溶液以750rpm涂施在硫化了的200mm硅片表面上。在分配了溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥30秒。干燥后,将晶片在加热板上180℃烘焙60秒。将约为3mL的SiLK I半导体电介质溶液(100nm公称薄膜厚度)以60rpm涂施在晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气保护层下320℃进一步聚合90秒。在用加热板烘焙后,肉眼评定晶片的缺陷。观察不到缺陷。 
实施例10
这个实施例证明了将不同硅烷结合使用的优势。 
将0.58g1N醋酸加入到3.19g苯基三甲氧基硅烷(PTMS)中制备有机硅烷溶液。将PTMS混合物置于水浴中。并在搅拌PTMS溶液的同时不断地加入21.13g VTAS和3.28g去离子水。将硅烷溶液搅动60分钟然后通过将26.24g溶液加入到133.98g Dowanol PMA中将其稀释为14.1wt.%的有机硅烷。将备用溶液摇动5分钟然后允许将其平衡。通过将11.66g14.1%的备用溶液加入到29.78g Dowanol PMA中使溶液进一步稀释为4.2wt.%的有机硅烷。 
将约为3mL上述制备的稀释了的硅烷溶液以750rpm涂施在200mm硅片表面上。在分配了溶液之后立即将晶片以10000rpm/秒加 速至3000rpm并干燥30秒。干燥后,将晶片在加热板上250℃烘焙60秒。硅烷薄膜的厚度为913埃。 
将约为3mL的SiLKI半导体电介质溶液(100nm公称薄膜厚度)以60rpm涂施在上述制备的用硅烷涂布了的晶片上。在分配了低聚物溶液之后立即将晶片以10000rpm/秒加速至3000rpm并干燥45秒。干燥后,将低聚物在加热板上氮气保护层下320℃进一步聚合90秒。在用加热板烘焙后,肉眼评定晶片的缺陷。观察到极微的缺陷。SiLK电介质薄膜的厚度约为1080埃。

Claims (14)

1.一种制造集成电路制品的方法,包括
提供一种底材,
在底材上形成第一层,其中第一层具有小于3.0的介电常数且含有一种为聚芳撑的有机聚合物,
在第一层上涂施有机硅酸盐树脂,移除一部分有机硅酸盐树脂以暴露第一层的一部分,并移除第一层的已暴露部分,其中所述有机硅酸盐树脂是基于联乙烯基硅氧烷-二-苯并环丁烯单体的低聚物或聚合物、水解的烷氧基硅烷的硫化产物、水解的酸基硅烷的硫化产物,或水解的烷氧基硅烷和水解的酸基硅烷的结合物的硫化产物。
2.如权利要求1所述的制造集成电路制品的方法,其中第一层的所述部分是通过蚀刻移除的。
3.如权利要求1所述的制造集成电路制品的方法,其中除去一部分有机硅酸盐的步骤包括,在有机硅酸盐上涂施光致抗蚀剂,将光致抗蚀剂的一部分暴露在活性辐射下,将光致抗蚀剂显影以显露一部分有机硅酸盐并蚀刻该有机硅酸盐。
4.如权利要求2或3所述的制造集成电路制品的方法,其中蚀刻步骤包括RIE类型的等离子蚀刻,使用氧、氮、氦、氩或其混合物。
5.如权利要求1所述的制造集成电路制品的方法,进一步包括至少在第一层被除去的一些区域内涂施传导性金属。
6.如权利要求1所述的制造集成电路制品的方法,进一步包括在有机硅酸盐树脂上加上具有低于3.0的介电常数的第二层,在第二层上形成组成图案的硬面层并蚀刻第二层。
7.如权利要求6所述的制造集成电路制品的方法,其中蚀刻包括穿过第二层蚀刻到有机硅酸盐材料,且,该有机硅酸盐已被预先除去,蚀刻到第一层。
8.如权利要求6所述的制造集成电路制品的方法,其中在除去有机硅酸盐层的一部分的步骤之前涂施第二层并蚀刻。
9.如权利要求1所述的制造集成电路制品的方法,其中该底材包括含有晶体管的活性底材。
10.如权利要求1所述的制造集成电路制品的方法,其中有机聚合物是环戊二烯酮官能化合物和乙炔官能化合物的反应产物。
11.如权利要求1所述的制造集成电路制品的方法,其中第一层是多孔的。
12.如权利要求1所述的制造集成电路制品的方法,其中有机硅酸盐树脂是可光定义的。
13.如权利要求12所述的制造集成电路制品的方法,其中除去有机硅酸盐树脂的一部分的步骤包括,将有机硅酸盐暴露在活性波长辐射下以引起聚合反应,在该处有机硅酸盐的未暴露部分用适宜的显影剂除去。
14.一种集成电路制品,通过权利要求1-13中的任一项所述方法制备。
CN018145213A 2000-08-21 2001-08-20 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂 Expired - Fee Related CN1447981B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US22617000P 2000-08-21 2000-08-21
US60/226,170 2000-08-21
US28431701P 2001-04-17 2001-04-17
US60/284,317 2001-04-17
PCT/US2001/025977 WO2002016477A2 (en) 2000-08-21 2001-08-20 Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices

Publications (2)

Publication Number Publication Date
CN1447981A CN1447981A (zh) 2003-10-08
CN1447981B true CN1447981B (zh) 2013-08-07

Family

ID=26920274

Family Applications (1)

Application Number Title Priority Date Filing Date
CN018145213A Expired - Fee Related CN1447981B (zh) 2000-08-21 2001-08-20 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂

Country Status (7)

Country Link
US (2) US7115531B2 (zh)
EP (2) EP1314193A2 (zh)
JP (1) JP5350571B2 (zh)
KR (1) KR100795714B1 (zh)
CN (1) CN1447981B (zh)
TW (1) TWI271413B (zh)
WO (1) WO2002016477A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI656146B (zh) * 2016-12-05 2019-04-11 美商羅門哈斯電子材料有限公司 用於底層之芳族樹脂

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
CN1302532C (zh) * 2000-09-13 2007-02-28 希普利公司 电子组件制造方法
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
JP2002299337A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法および半導体装置
US6839808B2 (en) * 2001-07-06 2005-01-04 Juniper Networks, Inc. Processing cluster having multiple compute engines and shared tier one caches
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
DE60217247T2 (de) * 2001-09-28 2007-10-04 Jsr Corp. Gestapelte Schicht, isolierender Film und Substrate für Halbleiter
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
WO2003085724A1 (en) 2002-04-02 2003-10-16 Dow Global Technologies Inc. Tri-layer masking architecture for patterning dual damascene interconnects
US6806182B2 (en) * 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP2004153015A (ja) * 2002-10-30 2004-05-27 Fujitsu Ltd 半導体装置及びその製造方法
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
CN100334695C (zh) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 一种含硅低介电常数材料炉子固化工艺
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
US6900137B2 (en) * 2003-03-28 2005-05-31 International Business Machines Corporation Dry etch process to edit copper lines
TW200505966A (en) * 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
WO2004090018A1 (en) * 2003-04-02 2004-10-21 Dow Global Technologies Inc. Multifunctional substituted monomers and polyarylene compositions therefrom
US7164197B2 (en) 2003-06-19 2007-01-16 3M Innovative Properties Company Dielectric composite material
JP4513956B2 (ja) * 2003-07-30 2010-07-28 日本電気株式会社 有機高分子膜及びその製造方法
US20050059788A1 (en) * 2003-07-30 2005-03-17 Sumitomo Chemical Company, Limited Organic polymer film and method for producing the same
US20050087490A1 (en) * 2003-10-28 2005-04-28 International Business Machines Corporation Process for removing impurities from low dielectric constant films disposed on semiconductor devices
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US20070015082A1 (en) 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
US7326442B2 (en) * 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
KR100703559B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 듀얼다마신 구조를 가지는 반도체 소자 및 그 제조방법
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
KR100737155B1 (ko) * 2006-08-28 2007-07-06 동부일렉트로닉스 주식회사 반도체 소자의 고주파 인덕터 제조 방법
KR100796047B1 (ko) * 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
JP4451457B2 (ja) * 2007-02-26 2010-04-14 富士通株式会社 絶縁膜材料及びその製造方法、多層配線及びその製造方法、並びに、半導体装置の製造方法
US8642246B2 (en) * 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2009016672A (ja) * 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR100901759B1 (ko) * 2007-09-12 2009-06-11 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한반도체 집적회로 디바이스의 제조방법 및 반도체 집적회로디바이스
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP5413185B2 (ja) * 2008-12-25 2014-02-12 Jsr株式会社 ネガ型感放射線性組成物、硬化パターン形成方法および硬化パターン
KR101266291B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로디바이스의 제조방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8598465B2 (en) * 2011-01-27 2013-12-03 Northrop Grumman Systems Corporation Hermetic circuit ring for BCB WSA circuits
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
JP6316829B2 (ja) 2012-10-22 2018-04-25 デルスパー リミテッド パートナーシップ 架橋化有機ポリマー組成物
SG11201505815TA (en) 2013-01-28 2015-08-28 Delsper LP Anti-extrusion compositions for sealing and wear components
SG11201507318WA (en) 2013-03-15 2015-10-29 Delsper LP Cross-linked organic polymers for use as elastomers
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US10677747B2 (en) 2015-02-17 2020-06-09 Honeywell International Inc. Humidity sensor
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP6600480B2 (ja) 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
KR101926023B1 (ko) * 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
EP3244201B1 (en) 2016-05-13 2021-10-27 Honeywell International Inc. Fet based humidity sensor with barrier layer protecting gate dielectric
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11175581B2 (en) * 2016-12-05 2021-11-16 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10894848B2 (en) * 2016-12-14 2021-01-19 Rohm And Haas Electronic Materials Llc Polyarylene resins
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11042093B2 (en) * 2017-11-15 2021-06-22 Rohm And Haas Electronic Materials Llc Gap-filling method
KR102113659B1 (ko) * 2017-11-28 2020-05-21 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11584956B2 (en) 2018-12-21 2023-02-21 Microsoft Technology Licensing, Llc Selectively controllable cleavable linkers
US11773422B2 (en) 2019-08-16 2023-10-03 Microsoft Technology Licensing, Llc Regulation of polymerase using cofactor oxidation states
US11896945B2 (en) * 2019-10-09 2024-02-13 Microsoft Technology Licensing, Llc High surface area coatings for solid-phase synthesis

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043953A (en) * 1975-05-02 1977-08-23 Ppg Industries, Inc. Ambient temperature, moisture-curable acrylic-silane coating compositions having improved potlife
DE4218495A1 (de) * 1991-06-06 1992-12-10 Mitsubishi Electric Corp Halbleiter-vorrichtung und verfahren zur herstellung dieser halbleiter-vorrichtung
CN1165161A (zh) * 1995-09-21 1997-11-19 旭硝子株式会社 低介电树脂组合物
EP0466025B1 (en) * 1990-07-06 1999-03-10 Nippon Telegraph And Telephone Corporation Resist material, method for the production of the same and process of forming resist patterns using the same
CN1257529A (zh) * 1997-07-15 2000-06-21 旭化成工业株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3457224A (en) * 1968-09-26 1969-07-22 Owens Illinois Inc Method for preparing organopolysiloxanes using vanadium chelate hydrolysis catalysts
US3474070A (en) * 1969-01-10 1969-10-21 Owens Illinois Inc Methods for preparing organopolysiloxanes using ferric-containing catalysts
US3491054A (en) * 1969-03-28 1970-01-20 Owens Illinois Inc Methods for preparing organo-polysiloxanes using aluminum hydrolysis catalysts
US3837897A (en) * 1972-05-04 1974-09-24 Owens Corning Fiberglass Corp Glass fiber reinforced elastomers
JPS56151731A (en) * 1980-04-25 1981-11-24 Japan Synthetic Rubber Co Ltd Preparation of silicone resin
JPS60110726A (ja) * 1983-11-18 1985-06-17 Mitsubishi Electric Corp シリコ−ン化合物の製法
US4596733A (en) * 1983-12-30 1986-06-24 International Business Machines Corporation Electroerosion recording material with polyorganosiloxane overlayer
JPS61247756A (ja) * 1985-04-26 1986-11-05 Toray Silicone Co Ltd 室温硬化性オルガノポリシロキサン組成物
US4812588A (en) * 1987-12-14 1989-03-14 The Dow Chemical Company Polyorganosiloxane-bridged bisbenzocyclobutene monomers
JP2606321B2 (ja) * 1988-10-06 1997-04-30 富士通株式会社 感光性耐熱樹脂組成物と半導体装置の製造方法
US5155175A (en) * 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
JP2718231B2 (ja) * 1990-01-10 1998-02-25 三菱電機株式会社 高純度末端ヒドロキシフェニルラダーシロキサンプレポリマーの製造方法および高純度末端ヒドロキシフェニルラダーポリシロキサンの製造方法
US5115082A (en) * 1990-04-17 1992-05-19 Raychem Corporation Fluorinated poly(arylene ether)
US5179188A (en) * 1990-04-17 1993-01-12 Raychem Corporation Crosslinkable fluorinated aromatic ether composition
JPH04338958A (ja) * 1990-07-06 1992-11-26 Nippon Telegr & Teleph Corp <Ntt> レジスト材料、その製造方法およびこれを用いたパターン形成方法
US5136069A (en) * 1991-03-28 1992-08-04 The Dow Chemical Company Process for preparing vinylically-unsaturated compounds (II)
US5138081A (en) * 1991-04-30 1992-08-11 The Dow Chemical Company Process for purifying vinylically-unsaturated organosilicon compounds
DE4125201C1 (zh) * 1991-07-30 1992-10-01 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
JP3132885B2 (ja) 1992-03-19 2001-02-05 富士通株式会社 レジスト組成物及びそれを用いるパターン形成方法
US5854302A (en) * 1993-04-29 1998-12-29 The Dow Chemical Company Partially polymerized divinylsiloxane linked bisbenzocyclobutene resins and methods for making said resins
JP3713719B2 (ja) * 1993-12-08 2005-11-09 チッソ株式会社 ポリシロキサンの製造方法
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
JPH07331172A (ja) * 1994-06-09 1995-12-19 Toray Ind Inc カラーフィルタ保護膜形成用塗液組成物
US6149855A (en) * 1994-07-28 2000-11-21 Watson; Louis L. Method of manufacturing a building material from volcanic magma
JPH08100035A (ja) * 1994-09-28 1996-04-16 Showa Denko Kk 水性エマルジョンおよびその製造方法
US5668210A (en) * 1994-10-24 1997-09-16 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
US5994489A (en) * 1994-10-24 1999-11-30 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
JPH07331173A (ja) * 1995-02-21 1995-12-19 Toray Ind Inc 光学材料形成用塗液組成物および光学材料
JP3347936B2 (ja) * 1995-03-16 2002-11-20 信越化学工業株式会社 光硬化性オルガノポリシロキサン組成物
US5986045A (en) 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5874516A (en) * 1995-07-13 1999-02-23 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ethers)
CN1103329C (zh) 1995-09-12 2003-03-19 陶氏化学公司 乙炔基取代的芳族化合物,其合成,聚合物及其用途
JP3179340B2 (ja) * 1996-05-27 2001-06-25 大日本塗料株式会社 オルガノポリシロキサンの製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5965679A (en) * 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
US5854126A (en) 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
US6218497B1 (en) * 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6143855A (en) * 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
JPH10298254A (ja) * 1997-04-23 1998-11-10 Mitsubishi Rayon Co Ltd 硬化性組成物およびその製造方法、並びに歯科用修復材料
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6218078B1 (en) * 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5984489A (en) * 1998-02-04 1999-11-16 Rubenstein; Adam Ornamental lighting for trees
US6218020B1 (en) * 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6962727B2 (en) * 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US6177199B1 (en) * 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6140445A (en) * 1998-04-17 2000-10-31 Crompton Corporation Silane functional oligomer
DE19817785A1 (de) * 1998-04-21 1999-10-28 Inst Neue Mat Gemein Gmbh Feste, aufschmelzbare und thermisch härtbare Masse, deren Herstellung und deren Verwendung
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6184284B1 (en) * 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
WO2000018847A1 (fr) 1998-09-25 2000-04-06 Catalysts & Chemicals Industries Co., Ltd. Fluide de revetement permettant de former une pellicule protectrice a base de silice dotee d'une faible permittivite et substrat recouvert d'une pellicule protectrice de faible permittivite
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
IL143207A0 (en) * 1998-11-24 2002-04-21 Dow Chemical Co A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
WO2000040637A1 (en) * 1999-01-08 2000-07-13 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US20030089987A1 (en) 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
JP4096138B2 (ja) * 1999-04-12 2008-06-04 Jsr株式会社 レジスト下層膜用組成物の製造方法
US6218317B1 (en) * 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
KR20020018681A (ko) * 1999-06-18 2002-03-08 니혼 야마무라 글라스 가부시키가이샤 실리콘올리고머용액의 제조방법 및 그 용액으로 형성된오르가노폴리실록산막
JP2001019724A (ja) * 1999-07-06 2001-01-23 Jsr Corp 膜形成用組成物、電子材料、膜の形成方法及び膜
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6498399B2 (en) 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6232424B1 (en) * 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
NZ515377A (en) * 2000-02-08 2004-04-30 Adsil Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
KR20000063142A (ko) * 2000-02-17 2000-11-06 이응찬 폴리오르가노실세스키옥산 제조용 출발물질,폴리오르가노실세스키옥산 및 폴리오르가노실세스키옥산제조방법
EP1311250A4 (en) * 2000-02-28 2005-01-19 Adsil Lc NONAQUEOUS COMPOSITION FOR COATINGS MADE OF SILANES AND METAL ALCOHOLATE
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3679972B2 (ja) * 2000-04-04 2005-08-03 三菱電機株式会社 高純度シリコーンラダーポリマーの製造方法
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043953A (en) * 1975-05-02 1977-08-23 Ppg Industries, Inc. Ambient temperature, moisture-curable acrylic-silane coating compositions having improved potlife
EP0466025B1 (en) * 1990-07-06 1999-03-10 Nippon Telegraph And Telephone Corporation Resist material, method for the production of the same and process of forming resist patterns using the same
DE4218495A1 (de) * 1991-06-06 1992-12-10 Mitsubishi Electric Corp Halbleiter-vorrichtung und verfahren zur herstellung dieser halbleiter-vorrichtung
CN1165161A (zh) * 1995-09-21 1997-11-19 旭硝子株式会社 低介电树脂组合物
CN1257529A (zh) * 1997-07-15 2000-06-21 旭化成工业株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI656146B (zh) * 2016-12-05 2019-04-11 美商羅門哈斯電子材料有限公司 用於底層之芳族樹脂

Also Published As

Publication number Publication date
US7268200B2 (en) 2007-09-11
TWI271413B (en) 2007-01-21
EP1314193A2 (en) 2003-05-28
KR100795714B1 (ko) 2008-01-21
EP1837902A3 (en) 2008-04-02
KR20040030400A (ko) 2004-04-09
WO2002016477A3 (en) 2002-12-27
US20020052125A1 (en) 2002-05-02
US20060063393A1 (en) 2006-03-23
EP1837902B1 (en) 2017-05-24
US7115531B2 (en) 2006-10-03
EP1837902A2 (en) 2007-09-26
JP5350571B2 (ja) 2013-11-27
CN1447981A (zh) 2003-10-08
WO2002016477A2 (en) 2002-02-28
JP2004506797A (ja) 2004-03-04

Similar Documents

Publication Publication Date Title
CN1447981B (zh) 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂
CN100375265C (zh) 用于图形化双波纹互连的三层掩膜结构
US6509259B1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
KR101197526B1 (ko) 마이크로전자 장치에 사용하기 위한 유기 실리케이트 수지조성물
KR100538750B1 (ko) 상호 접속 구조체 및 이종 로우-k 유전체
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
EP1346407A2 (en) Method for eliminating reaction between photoresist and organosilicate glass
US6858528B2 (en) Composite sacrificial material
US20130001781A1 (en) STRUCTURES AND METHODS FOR PHOTO-PATTERNABLE LOW-k (PPLK) INTEGRATION
US20090014880A1 (en) Dual damascene process flow enabling minimal ulk film modification and enhanced stack integrity
CA2368265A1 (en) Electron beam process during damascene processing
KR20020020910A (ko) 집적회로내에 자체 정렬된 구리 확산 장벽 제조방법
US20200219765A1 (en) Interconnect structures containing patternable low-k dielectrics and anti-reflective coatings and method of fabricating the same
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
JP3632684B2 (ja) 半導体素子及び半導体パッケージ
JPH06271772A (ja) シルセスキオキサンポリマー組成物
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20031008

CI01 Publication of corrected invention patent application

Correction item: Rejection of patent application

Correct: Dismiss

False: Reject

Number: 31

Volume: 26

ERR Gazette correction

Free format text: CORRECT: PATENT APPLICATION REJECTION AFTER PUBLICATION; FROM: REJECTION TO: REJECTION OF REVOCATION

C14 Grant of patent or utility model
GR01 Patent grant
CI01 Publication of corrected invention patent application

Correction item: Priority information

Correct: 60/226,170 2000.08.21 US|60/284,317 2001.04.17 US

Number: 32

Volume: 29

CI03 Correction of invention patent

Correction item: Priority information

Correct: 60/226,170 2000.08.21 US|60/284,317 2001.04.17 US

Number: 32

Page: The title page

Volume: 29

ERR Gazette correction

Free format text: CORRECT: PRIORITY INFORMATION; FROM: NONE TO: 60/226,170 2000.08.21 US;60/284,317 2001.04.17 US

RECT Rectification
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130807

Termination date: 20200820