CN1189898A - Differential interferometer system and lithographic step-and-scan appts. provided with such a system - Google Patents

Differential interferometer system and lithographic step-and-scan appts. provided with such a system Download PDF

Info

Publication number
CN1189898A
CN1189898A CN97190435A CN97190435A CN1189898A CN 1189898 A CN1189898 A CN 1189898A CN 97190435 A CN97190435 A CN 97190435A CN 97190435 A CN97190435 A CN 97190435A CN 1189898 A CN1189898 A CN 1189898A
Authority
CN
China
Prior art keywords
interferometer
substrate
mask
reverberator
measuring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN97190435A
Other languages
Chinese (zh)
Other versions
CN1133866C (en
Inventor
J·E·范德维尔夫
P·迪尔克森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of CN1189898A publication Critical patent/CN1189898A/en
Application granted granted Critical
Publication of CN1133866C publication Critical patent/CN1133866C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02007Two or more frequencies or sources used for interferometric measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70408Interferometric lithography; Holographic lithography; Self-imaging lithography, e.g. utilizing the Talbot effect
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Abstract

A differential interferometer system for measuring the mutual positions and movements of a first object (WH) and a second object (MH). The system comprises a first interferometer unit (1, 2, 3, 4) having a first measuring reflector (RW) and a second interferometer unit (5, 6, 7, 8) having a second measuring reflector (RM). Since a measuring beam (bm) passes through both the first and the second interferometer unit and is reflected by both the first and the second measuring reflector, and since the measuring beam and the reference beam (br) traverse the same path at least between the two interferometer units, accurate measurements can be preformed very rapidly. The interferometer system may be used to great advantage in a step-and-scan-lithographic projection apparatus.

Description

Differential interferometer system and the lithography substep scanister of being furnished with this system
The present invention relates to a kind of interferometer system, be used to measure first and second objects along the mutual alignment of at least one direction with move, at least for one of all possible mutual direction of motion, said system comprises:
First interferometer component that is associated with first object is equipped with first beam splitter, first measurement reverberator and many first reverberators, and
Second interferometer component that is associated with second object is equipped with second beam splitter, second measurement reverberator and many second reverberators.
The invention still further relates to according to substep scanning (step-and-scan) principle and mask pattern is projected to the flat plate printing apparatus of using on the substrate.
Be referred to as the substep scanister and can be used to particularly make this device of integrated circuit (or IC), can be from especially recognizing in the United States Patent (USP) 5,194,893.
Owing to need to increase the quantity of electronic component among the IC,, must must form thereon in each zone of substrate (IC basal plane or matrix are otherwise known as) of IC by the projector equipment imaging so be referred to as the more and more littler details of live width.In addition, the area that increases IC also is desirable so that the number of elements of each IC also can increase in this way.For projection lens set, thereby this means the necessary resolution that improves, and must increase image field together with its numerical aperture.
For the projecting lens group system, between these two kinds of inconsistent requirements, seek optimum, may be very difficult and high cost at all times.For example, for the step device that is referred to as wafer stepper, having the projection lens set of 22 millimeters of numerical aperture 0.6 and image fields, is to make for the IC that manufactures the 64Mbit type.By this projection lens set can be 0.35 μ m in on-chip live width by imaging.Projection lens set is this still can be accomplished and not too difficult limitation of operating, and reaches in fact at that time.If there is littler details to intend,, in other words,, can only sacrifice the image field size so if projection lens set must provide even bigger numerical aperture if promptly there is littler line width to intend being formed on the substrate by imaging.
By become the substep scanister by the substep projection arrangement, the method for breaking away from this predicament is possible, as United States Patent (USP) 5,194, as described in 893.In the substep projection arrangement, whole mask patterns once in service be exposed and the IC zone of imaging at substrate on.Then row further, promptly substrate moves with respect to projection lens set and mask pattern,, and resembles the second time of this mask pattern and is formed in this zone among the image field of this projection lens set of mask pattern opposite until second IC location.One step of advancing subsequently is to make the 3rd IC zone again by imaging, is the 4th equally, till resembling of this mask pattern is formed in all IC zones.In the substep scanister, same progressively moving is performed, but only have at every turn the sub-fraction mask pattern by imaging on the corresponding topical zone of substrate.By allowing the part imaging that links to each other successively of this mask pattern on the regional area that links to each other successively in this IC zone, just can on the IC zone, obtain resembling of whole mask pattern.For this purpose, in the mask pattern zone, the projected light beam that utilization has small bore (for example rectangle or arch) exposes to mask pattern, and mask stage and substrate worktable move on the direction of scanning with respect to projection lens set and projected light beam in opposite direction, the movement velocity of substrate worktable be mask stage speed M doubly.M is the multiplying power of mask pattern imaging.Habitual value as M is generally 1/4, yet other value (for example 1) in other words also is possible.
There is full-size in the cross section of projected light beam crossing on the direction of direction of scanning.This size can equal the width of mask pattern, so that the imaging in the single pass motion of this pattern.Yet or alternatively also is possible, allow above-mentioned be of a size of the mask pattern size half or even littler.In this case, whole mask pattern two or more times opposite scanning motion in imaging.Should guarantee to allow the motion of mask and substrate very accurately synchronous so, promptly the speed V of mask should always equal substrate speed M doubly.
Compare with the substep projection arrangement, mask pattern is wherein accurately aimed at respect to on-chip IC zone, projection lens set wherein must accurately focus on substrate, and the substrate worktable of substep must accurately be checked, speed condition in the substep scanning projection device must be measured extraly, in other words, no matter substrate and mask pattern its transfixion whether toward each other when scanned imagery.On this based measurement, the speed of one of two worktable just can with the velocity adaptive of another worktable.
At United States Patent (USP) 5,194, in the disclosed projection arrangement, two interferometer systems are utilized to check speed condition in 893.The measurement reverberator of first interferometer system is fixed on the substrate worktable, so that substrate worktable moving on direction of scanning (directions X after this is otherwise known as) can utilize this system to measure.The measurement reverberator of second interferometer system is fixed on the mask stage, so that this worktable can utilize this system to measure along moving of direction of scanning.Be added in electronic processing device for example above the microcomputer from the output signal of two interferometer systems, wherein said signal subtracts each other each other and is processed to be the control signal that worktable topworks or drive unit are used.
Because the speed of worktable is very high, this is owing to need substrate to have the bigger speed of giving desired by this device, so interferometer signal has very high frequency or bit rate.When these high-frequency signals of contrast, the speed of handling electronic equipment has become limiting factor.So time delay, the moment of promptly measuring and measurement result become elapsed time between the useful moment, will play a big part.In the closed servo loop that the topworks that is used by measuring system and worktable or drive unit constitute, the difference of time delay in the electronic signal process will cause undesirable departing between mask stage and the substrate worktable.In addition, therefore these worktable will have the maximal rate that is restricted.
The invention provides the novel measuring principle that a kind of step-scan projection arrangement is used, can avoid the problems referred to above.This new principle has many aspects, can be by respectively or be used in combination.
According to first aspect present invention, this interferometer system is characterised in that: at work, measuring beam is not only by first but also by second interferometer component, and not only by first but also reflected at least once by second measurement reverberator; First and second interferometer components have common radiation-sensitive detector; The reference beam that is associated with measuring beam, will with measuring beam between first and second interferometer components by identical distance.
The position signalling of two worktable no longer compares with electronics method now or subtracts each other each other, but compares with optical means, and is among interferometer system itself.For the promptly closed servo loop of controlled system, the frequency of interferometer signal will be always irrelevant with the speed of worktable, so that this speed may no longer be restrictive factor.
Should attentively be, the interferometer system that has first and second measurement reverberators and first and second beam splitters, at Japanese patent application 3-199, be described in 905 the english abstract, use therein measuring beam is by first and second beam splitters.Yet in this system known per, only one of the measured catoptron reflection of this measuring beam, and another measures the mirror reflects reference beam.In addition, this system known per is not used for measuring two objects along the moving mutually an of direction, but is used for the relative displacement of measured X worktable with respect to the Y worktable.
The embodiment of the differential interferometer system of particularly suitable in flat plate printing apparatus, wherein mask pattern is by imaging with the scale that reduced, it is further characterized in that: measuring beam is by the number of times of the measurement reverberator reflection related with first object, with measuring beam by the ratio of the number of times of the measurement reverberator related reflection with second object, equal second object speed and first object speed it
This interferometer system is more desirable to be further characterized in that: second interferometer component is adaptive by a kind of like this mode, make measuring beam from first interferometer component, before it turns back to first interferometer component, in second interferometer component, be reflected m+1 time, and m is the even number greater than 2.
This means can avoid the inclination of first object or rotation to influence interferometer signal.
The invention still further relates to and be used for mask pattern by substep scanning theory multiple imaging at on-chip device, this device comprises the mask clamper that is placed on the mask stage, is placed in the substrate holder on the substrate worktable, and is placed in the optical projection system between mask stage and the substrate worktable.This device is characterised in that: with the interferometer system of foregoing description, be used to measure the mask of formation first and second objects and the mutual alignment of substrate.
Application of the present invention is not confined to the substep scanning projection device.It can be used in some situation and the device usually, wherein has two main bodys to move relative to each other rapidly and very accurately.
If mask pattern with enlargement ratio M by on the substrate of imaging in this projection arrangement, its further feature may be so: the number of times of the measurement reverberator reflection that measuring beam is associated with substrate, with measuring beam by the ratio of the number of times of the measurement reverberator that is associated with mask reflection, equal 1/M.
According to a further aspect of the invention, this device is further characterized in that: measurement reverberator that is associated with substrate and the measurement reverberator that is associated with mask are to be made of the mirrored sides of substrate holder and mask clamper respectively.
Mirrored sides is understood to mean this side and itself reflects, and perhaps reverberator is fixed on this side.
Because it is rigidly connected with substrate and mask measuring reverberator, so the motion of these elements itself is to measure reliably directly thereby also, comprising the motion of not considering in the known devices, and by substrate worktable element moves relative to each other and the mask stage element moves relative to each other and produces result.
Can be constructed the means of measuring substrate linear displacement, rotation and inclination about interferometer system, after this be referred to as the substrate interferometer, with and how to be installed in the lithographic projection device, with reference to the United States Patent (USP) 4 of describing twin shaft interferometer system and triaxial interference instrument system respectively, 251,160 and 4,737,283.Substrate interferometer system additional embodiments is described in the European Patent Application No. 0498499.
According to device of the present invention, its further more desirable being characterised in that: this interferometer system comprises the interferometer component that the optical projection system that is used on a kind of position measuring the optical projection system position is used; Optical projection system then is equipped with additional measurement reverberator on above-mentioned position.
The position of this optical projection system subsequently just can be measured, and the possibility of system tilts irrelevant therewith.
The most preferred embodiment that this device is other, it is further characterized in that: this optical projection system is being pressed close to the mask clamper and is being pressed close to be equipped with two other on two positions of substrate holder respectively and measure reverberator, and above-mentioned reference beam is then by these two measurement reverberators reflections.
These and some other aspect of the present invention is understood clear from the explanation that will do with reference to the embodiment that describes subsequently.
In the accompanying drawings:
Fig. 1 and Fig. 2 represent two kinds of embodiment according to interferometer system of the present invention, are used for the device of enlargement ratio M=1/4;
Fig. 3 and 4 expressions are used for the device of enlargement ratio M=1 according to two kinds of embodiment of interferometer system of the present invention;
Fig. 5 represents in the existing device and the difference between two kinds of interferometries in apparatus of the present invention;
Fig. 6 represent mask and substrate in this device, aim at after therebetween mutual alignment;
The alternative of embodiment in Fig. 7 presentation graphs 2;
The embodiment of the special-purpose interferometer of substrate holder inclination usefulness is measured in Fig. 8 and 9 expressions;
Figure 10 represents that projection lens can not make the calculating of the point of image biasing around its inclination;
Figure 11 represents the interferometer system of independent measurement projection lens position;
Figure 12 represents a kind of differential interferometer system, and wherein the measurement to the projection lens position is integrated on a point;
Figure 13 represents a kind of differential interferometer system, and wherein the measurement to the projection lens position is integrated on two points;
The mutual biasing of measuring beam and reference beam when Figure 14 represents the substrate holder rotation;
Figure 15 and 16 expressions can be placed near the mask interferometer so that eliminate the dedicated reflector parts of this biasing influence;
Figure 17 represents a kind of differential interferometer system embodiment with projection lens position measurement, and wherein the influence of substrate holder rotation or inclination is eliminated;
Figure 18 represents to use mask interferometer subsystem in this embodiment;
Figure 19 represents a kind of differential interferometer system embodiment, and wherein the influence of substrate holder rotation or inclination is eliminated;
Figure 20 to 22 expression is used in the various embodiment of the mask interferometer subsystem among Figure 19 embodiment;
Other two kinds of embodiment of Figure 23 and 24 expression differential interferometer systems, wherein the influence of substrate holder rotation or inclination is eliminated;
Figure 25 represents that when using or not using bias compensation substrate holder rotates or tilts for the influence of interferometer signal, and
Figure 26 a, 26b, 26c represent the single interference instrument system, the light beam biasing that wherein substrate holder rotates or inclination causes is compensated.
Fig. 1 represents first embodiment according to interferometer system of the present invention, and it is used in mask pattern reducing in three times the projection arrangement of size imaging, thereby M=1/4.This width of cloth figure of projection arrangement and some other figure only express the element that those differential interferometer systems match with it, and promptly mask clamper MH is equipped with reverberator RM, and substrate holder WH is equipped with reverberator RW.The measuring beam b that sends by the radiation source (not shown) mWith reference beam b r, represent with solid line and dotted line respectively.These light beams for example can be two the mutually perpendicular polarized light components with different radiation beam frequencies that sent by zeeman laser, so that this measurement is based on phase measurement.The direction of measuring beam and reference beam is then represented by arrow.
On the position of the substrate holder WH that is equipped with reverberator RW, embodiment shown in Figure 1 comprises polarization- sensitive beam splitter 1,1/4th (λ/4) wave plates 2 and two retroreflectors 3 and 4.On the position of the mask clamper MH that is equipped with reverberator RM, also exist polarization-sensitive beam splitter 5, λ/4 wave plates 8 and two retroreflectors 6 and 7.In addition, static reverberator MI exists on the spot.Beam splitter 1 and 5 has Polarization- Sensitive interface 9 and 10, this interface makes from first component with first polarization direction of the light beam of light source and the second component that has perpendicular to second polarization direction of first polarization direction to be passed through, and reflect them, perhaps opposite.In represented embodiment, the beam component that is passed through is reference beam b r, the component that is reflected is measuring beam b mThe polarization direction that quarter- wave plate 2 and 8 has and the polarization direction of these beam components angle at 45, if a beam component passes through this wave plate twice, then it can guarantee the polarization direction half-twist of this beam component.
Measuring beam b through interface 9 m, will and penetrate P1 position on reverberator RW by λ/4 wave plates 2.The light beam of returning that is reflected will pass through λ/4 wave plates for the second time, thereby make its polarization direction with respect to original polarization direction half-twist, then by interface 9 directive retroeflectors 3.On some oblique faces of this reverberator, enter beam splitter 1 again, thereby penetrate P2 position on reverberator RW the second time by this beam splitter then through this measuring beam after the reflection.From the measuring beam of P2 position, give the interface 10 that is placed near the beam splitter 5 the mask clamper by interface 9 reflection.Thereafter, interface 10 is given the reverberator RM on the mask clamper with this measuring beam through λ/4 wave plates, 8 reflections, and measuring beam herein is incident upon on the P3 position.Will be by the measuring beam of reverberator RM reflection for the second time by λ/4 wave plates, so that its polarization direction half-twist again, and by interface directive retroeflector 6.Through reflection and by interface 10 and λ/4 wave plates 8, this measuring beam arrives the P4 position on the reverberator MI on some oblique sides of this reverberator.This light beam is reflected after the device MI reflection again by λ/4 wave plates 8, so that its polarization direction half-twist again, thereby make this light beam be given interface 9 by interface 10 reflections.This measuring beam is sent to on-chip reverberator RW again subsequently, in order that be similar to the description of P1 and P2 position incident and being reflected on P5 and P6 position successively.The light beam that reflects on the P6 position will be reflected to the detector (not shown) from interferometer system by interface 9.
Reference beam b through interface 9 r, also by total system, but it crosses reverberator RW, RM and MI.This light beam is only reflected by the side of retroeflector 4 and 7, and is always passed through by the interface 9 and 10 of beam splitter 1 and 5.Two orthogonal light beam b by this system's ejaculation mAnd b r, leading at it will be by the analyzer (not shown) in way of detector, the polarization direction of this analyzer with the extension at 45 of the polarization direction of this light beam, and two components that this light beam may be concerned with each other pass through.Phase differential between these beam components depends on the mutual alignment of catoptron RM and RW, thereby depends on the size that these catoptrons (thereby just mask and substrate) are synchronized with the movement, if multiplying power M is taken into account.In flat plate printing apparatus, the projection lens group of not representing among Fig. 1 is positioned between mask clamper MH and the substrate holder WH.For the embodiment of the interferometer system of representing among Fig. 1, measuring beam wherein is reflected on the substrate reverberator four times, is reflected once on the mask reverberator, and the multiplying power M that this projection lens group has is 1/4.
Fig. 2 represents second embodiment of differential interferometer system, is used in the projection arrangement of enlargement ratio M=1/4.The difference of this embodiment and Fig. 1 embodiment is: two extra catoptrons 15 and 16 are combinedly entered; First retroeflector 3 that is associated with first beam splitter 1 is omitted, and two retroeflectors 6 and 7 of Fig. 1 are that retroeflector 18 and 19 replaces on second beam splitter.In this embodiment, the measuring beam b that enters by the left side mAt first on P1 and P2 position, reflected twice through beam splitter 1 and λ/4 wave plates 2 by on-chip reverberator RW.Catoptron 15 and 16 reflect the measuring beam that reflects on the P2 position to static reverberator MI.The measuring beam that is reflected by this reverberator on the P3 position will be given retroeflector 19 by interface 10 reflections.Through reflection, this measuring beam is sent to interface 10 backward on the side of some obliques of reverberator 10, and it is reflected to the P4 position on the mask reverberator RM herein.From the measuring beam of this reverberator, the reflection on the process catoptron 16 and 15 is transmitted to the P5 position on the substrate catoptron RW.Herein, measuring beam is reflected again, and this light beam leads to the P6 position on the substrate reverberator RW again through the side of two obliques of interface 9, retroeflector 14 and again through the reflection successively at interface 9 thereafter.At this measuring beam b ' that is reflected, will arrive the detector (not shown) through λ/4 wave plates 2 and beam splitter 1.
Reference beam b rReflection on the two oblique sides of two oblique sides of two oblique sides by interface 9, retroeflector 4, interface 9, catoptron 15 and 16, interface 10, reverberator 18 successively, catoptron 16 and 15, interface 9, reverberator 4 by this system, and is sent to same detector as light beam b ' as measuring beam b ' through the reflection on the interface 9 at last.Reference beam b thereby cross all reverberator RW, RM and MI.
Fig. 3 represents to be used in the embodiment of the differential interferometer system in the projection arrangement with enlargement ratio M=1.In this embodiment, static reverberator MI does not exist, but it has the structure identical with Fig. 1, measuring beam b mAt first on P1 and P2 position, reflected successively twice by on-chip reverberator RW.From the measuring beam of P2 position, lead to P3 position on the mask reverberator RM through the reflection on interface 9 and 10, and be reflected herein.The measuring beam that is reflected and, the P4 position on the mask reverberator RM is led in the reflection on the process oblique side of retroeflector 6 subsequently, and is reflected again to interface 10 herein.This interface is then with this measuring beam b mThe detector (not shown) is given in ' reflection.
Reference beam b rInterface 9 and 10 by beam splitter 1 and 5 is passed through, and only by some oblique offside reflections of retroeflector 4 and 7.This light beam has been crossed one of reverberator RW and RM.
Fig. 4 represents to be used in second embodiment of the differential interferometer system in the projection arrangement with enlargement ratio M=1.The difference of this embodiment and Fig. 3 embodiment is that extra λ/4 wave plates 20 that are positioned in first beam splitter 1 and retroeflector 3 belows have been omitted.The measuring beam b that enters by left m, will be at first by on-chip reverberator RW reflection on the P1 position.After this, this measuring beam is led to P2 position on the mask reverberator RM through the reflection on interface 9 and 10.The measuring beam that reflects on this reverberator, the oblique side by retroeflector 6 is reflected to the P3 position on the mask reverberator RM.Through the reflection on interface 10 and 9, this measuring beam turns back to substrate reverberator RW, and it is herein as the locational light beam b of P4 m' be reflected to the detector (not shown).
λ/20 of 4 wave plates are in reference beam b rLight path in, and guarantee that this light beam is at first reflected by interface 9, on the oblique side of retroeflector 4, pass through towards retroeflector 7 by interface 9 and 10 after the reflection.Through reflection, this is sent to retroeflector 4 backward with reference beam on two oblique sides of this reverberator.Interface 9 will be from the reference beam of this reverberator as light beam b ' r, send to the detector that also receives measuring beam b ' at last.
According to differential interferometer system of the present invention, not only can be used in the step-scan projection arrangement with enlargement ratio M=1/4 or M=1, and can be used in the device that for example has enlargement ratio M=1/2.Be fit to this purpose differential interferometer system, can have the same spline structure of representing as Fig. 1 and 2, and static reverberator MI be removed.In general, this differential interferometer system can be used in the projection arrangement with enlargement ratio M, this system wherein constitutes subsequently in such a way, its total optical path length is not changed for measuring beam, and the ratio of the number of times that reflected by the substrate reverberator of measuring beam and the number of times of the masked reverberator reflection of measuring beam, be 1/M.If back one condition can not satisfy, the bit rate of interferometer signal so is with respect to utilizing measuring beam not only will reduce greatly when substrate reverberator but also the invention thought that reflects on the mask reverberator.And in interferometer system of the present invention importantly, measuring beam and reference beam cover same light path as much as possible.This is especially important in the lithographic projection device, owing to having very big distance between substrate holder in this device and the mask clamper.
In Fig. 1 to 4, have only the substrate holder WH of lithographic projection device and mask clamper MH to be showed by summary.As above-mentioned United States Patent (USP) 5,194, as described in 893, projection lens set is positioned between these two clampers.In addition, substrate holder and mask clamper constitute the part of substrate worktable and mask stage respectively, utilize them can mobile substrate and mask and it is located toward each other.These motions are to finish under the control of interferometer system.In more common step-scan device, the position of mask and substrate is with respect to the device coordinate measuring.Yet the vibration in this device and other instability determine to have the interference influence to substrate and mask position subsequently.Because the mutual alignment of mask and substrate is most important factor for univocal scanning motion, thus preferably such as what propose already in the above-mentioned United States Patent (USP) 5,194,893, directly measure this mutual alignment.Point out already as mentioned like that, the enlargement ratio of projection lens set and also have the Z position of this lens combination promptly along the position of this device coordinate system Z axle, must be taken into account.The direction of scanning that can be represented by the horizontal line among Fig. 1 to 4 for example is exactly the directions X of this coordinate system.
Must guarantee to allow mask and substrate on X and Y direction, keep aiming at mutually well with the precision of for example 10nm order of magnitude.
Aim at for original or total X and Y, this device comprises a calibration system independently, and for example United States Patent (USP) 4,251, the system of describing in 160, and it can be aimed at the mask registration sign of special use with respect to the substrate registration mark of special use.On the Z direction, mask and substrate must accurately be located with respect to projection lens set.Substrate is with respect to the Z position of projection lens set, can accurately adjust by focusing and the levelling system described in the United States Patent (USP) 5,191,200 for example.The incorrect Z of mask position can cause magnification error at the beginning.For preventing these errors, the distance between mask and the projection lens set should be adjusted the back with for example precision of 1 μ m and keep.This can realize by gratifying mattress between mask and projection lens set.
Mask and substrate X and Y position each other also should be measured subsequently.In addition, as described in european patent application 0489499, substrate is around the inclination of X and Y-axis xAnd yCan be measured, to prevent Abbe error, for this purpose, the interferometer system that has five measurement axis can be used to substrate.X position, Y position, around the inclination of X and Y-axis xAnd y, and around the rotation of Z axle z, these five parameters can be by determining in the combination of these measurement axis signals.
These measurement axis are indicated among Fig. 5 a.Very among the figure of summary, substrate represents that with W mask represents that with MA substrate holder is represented with WH at this.Symbol RR1 and RR2 represent static reference mirror, and the position of substrate catoptron RW1 and RW2 and direction are just measured with respect to these catoptrons.This interferometer system comprises two parts, and wherein first parts match with catoptron RW1, and has two measurement axis; Second parts matches with catoptron RW2, and has three measurement axis.The X position of substrate utilizes first interferometer component measured along the X measurement axis.These parts have with ySecond measurement axis of expression also stretches on directions X, but setovers with respect to first measurement axis on the Z direction.Inclination around Y-axis y, can determine by difference from the signal of first and second X measurement axis.Second interferometer component has first Y measurement axis of representing with Y1, utilizes it can determine the Y position of substrate.Inclination λ around X-axis x, can be from the signal combination of this measurement axis and from xDetermine in the signal of expression and the biasing of relative Y1 on the Z direction.Substrate is around the rotation of Z axle z, can the signal that directions X offsets relative to each other, determine from multiple measurement axle Y1 and Y2.
In order to determine the position and the direction of mask respectively, the interferometer system that has three measurement axis may be needs, promptly is used for definite X position, Y position and around the rotation of Z axle.By difference measurement, whole measurement axis numbers of interferometer systems can reduce to 5 from 8, shown in Fig. 5 b.As the measurement axis d that represents by X x, mask is measured now with respect to the relative position of substrate.In addition, as measurement axis d Y1And d Y2Show like that, mask is with respect to the relative Y position of substrate, mask and substrate are toward each other around the relative rotation of Z axle z, be carried out measurement.In addition, substrate centers on the inclination of Y-axis and centers on the inclination of X-axis, also must measure, and it is by second X measurement axis for substrate yWith second Y measurement axis xRealize.For difference measurement axle d x, d Y1And d Y2, be described and, can be employed in the interferometer system that plan after this further describes referring to figs. 1 through 4.
Because the relative position of mask is only measured with respect to substrate, so when mask and substrate introducing flat plate printing apparatus, must adopt special program.Explain hereinafter with reference to Fig. 6 how the introduction program is implemented:
At first, mask stage MT and substrate worktable WT are directed into zero or reset position, and it is to locate by for example stop motion mechanism of Tesa clearance gauge form;
Interferometer is by zero setting;
By scanning servo loop mask stage be placed in projection lens set top thereafter.Owing to be coupled, so the substrate worktable will be followed the tracks of this motion by differential interferometer system;
The mask MA that will have registration mark M1 and M2 then is placed in the clamper on the mask stage;
Mask keeps motionless subsequently, and the substrate worktable is directed to substrate and is placed on residing position in the clamper on the mask stage;
Substrate worktable and then move by certain way is so that substrate is directed to the below of mask and projection lens set;
After this, substrate by the alignment system that exists in the flat plate printing apparatus with respect to mask registration, the sign M1 on the mask wherein, M2 and the mutual imaging of on-chip similar registration mark, and determine whether location correctly toward each other of these signs.In this moment, the position of representing among Fig. 6 just reaches;
The substrate sign of being determined by X and Y interferometer is also known subsequently with respect to the X and the Y position of two-dimensional coordinate system.This coordinate system further is utilized when substrate worktable step motion, the step motion that this worktable must be finished is at mask pattern by imaging in an on-chip IC zone (mould) afterwards, with the motion of whole IC regional guidance to the below of mask and projection lens set.
After substrate and mask are aimed at toward each other, the substrate worktable will be finished first step motion, so that keeping obtained d Y1-d Y2Value is zIn the time of value, first IC zone is directed to the mask below;
Then, when projected light beam is access in, take into account enlargement ratio, allow mask and substrate do and be synchronized with the movement, promptly allow the scanning motion of mask imaging on first IC zone with respect to projection lens set and projected light beam.When this imaging operation is finished, projected light beam is disconnected, and one step of substrate movable workbench, so that follow-up IC zone is placed in the mask below on the substrate.Then, scanning motion continues again so that mask pattern by imaging on second IC zone.This step-scan program continues, till resembling of mask pattern is formed in on-chip all IC zones.
Relative position among Fig. 6: d x, d Y1And d Y2, can utilize the differential interferometer system of expression among Fig. 1 to 4 to measure.The simple embodiment of this interferometer system is illustrated among Fig. 7.This system class is like the system of Fig. 2, but difference is that retroeflector 22 is positioned on mask clamper or the mask stage, and λ/4 wave plates 8 and retroeflector 19 do not exist.
Similar with the embodiment of Fig. 2, as in the embodiment of Fig. 7, to come in measuring beam b m, at first penetrating on the P2 position on substrate reverberator RW then at P1, be through to mask through reverberator 15 and 16 subsequently.It is being penetrated on the mask reverberator that is made of retroeflector 22 on P3 and the P4 position herein successively.The measuring beam that reflects on these positions is similar to Fig. 2, leads to P5 and P6 position on the substrate reverberator RW successively, and at last as measuring beam b ' mBy this systematic reflection.Reference beam b rThen by with Fig. 2 in identical distance.
Described interferometer system is made of two interferometer components arranging in order.At Fig. 1, in the systems of 2 and 7 expressions, to the distance of substrate reverberator RW in an interferometer component by by 8 times, the distance of the mask reverberator to another parts is then by by 2 times.Consequently, the sensitivity of substrate position measurement is 4 times that mask position is measured sensitivity.Because measuring beam and reference beam by identical distance, are insensitive so this measures for for example air turbulence and other scrambling between substrate interferometer and mask interferometer.See in principle, can realize by selecting correct interferometer component to make up with respect to a large amount of sensitivity of projection lens set enlargement ratio.
If mask and substrate demonstrate needed being synchronized with the movement when directions X scans in enlargement ratio is the flat plate printing apparatus of M, so following condition is met: X wherein MAnd X WBe respectively the X position of mask and substrate.So for measuring beam b mOptical path length will remain unchanged, and for measuring beam b ' mDetector can not survey any frequency displacement.This means that survey frequency will equal to supply with the frequency of the radiation source of measuring beam always, for example equal the Zeeman frequency, if use the zeeman laser that the frequent radiation source that uses uses in interferometer.When scanning, so this survey frequency is irrelevant with the speed of mask and substrate.In fact, add with optical mode now the X position of mask and substrate, no longer resembles to use two independently to add in the electronics mode during interferometer.Since in the signal-processing electronics of detector time delay difference and the problem that when the interferometer that uses separately, produces, thereby can be prevented from.
"+" symbol in the condition (1) is applicable to the embodiment of Fig. 1 to 4 and 7, and measuring beam wherein is with substrate reverberator RW and mask reverberator RM contact.If measuring beam is only measured the position of one of substrate reverberator and mask reverberator, and if reference beam measure the wherein position of another reverberator, "+" symbol in the condition (1) should be replaced by "-" symbol so.
The embodiment shown in Figure 7 that has retroeflector on the mask clamper is insensitive to the inclination of this clamper.Yet in this embodiment, this clamper can not be moved when measuring on the direction of vertical survey direction.This vertical direction needs, for example, if must finish for forming mask pattern in the IC zone along opposite the moving of two of directions X, and at mask and substrate between two motions when moving of Y direction needs again.In the embodiment of Fig. 1 to 4 expression, the reverberator on the mask is a flat catoptron, and mask can move perpendicular to direction of measurement.
Because in fact substrate may have the wedge shape of slight extent, and owing to these substrates are placed in the substrate holder with its rear side, so allow substrate holder tilt may need around X and/or Y-axis.Yet the measurement of interferometer may be subjected to the influence of Abbe error then.For these errors are compensated, need allow this inclination can be measured.As described in european patent application 0498499,, can provide the possibility of measuring these inclinations according to the interferometer system that the mode with 5 measurement axis is expanded.When the difference interferometer system is used in the lithographic projection device, may carry out these inclination measurements by the independent interferometer of easier use.This interferometer should be to the tilt sensitive of substrate holder and insensitive to the position.
Fig. 8 represents to be used to measure substrate first embodiment around this interferometer of Y-axis inclination.This interferometer comprises a polarization-sensitive beam splitter 23, settles 3 blocks of λ/4 wave plates 24,25 and 26 around it.In addition, this interferometer comprises a retroeflector 29 and two reflecting prisms 28 and 29.The measuring beam b that enters from left mPenetrate on substrate reverberator RW in the A1 position, reflected to prism 27 by the interface 23a of beam splitter subsequently.This prism process reflection on its oblique side is with this measuring beam b mSend to retroeflector 29, and this light beam is reflexed to interface 23a again by it.This interface is reflected measuring beam to prism 28 now.The oblique side of this prism is reflected measuring beam to interface 23a subsequently, and again measuring beam is reflected to retroeflector 29 by it.This reverberator sends to prism 27 backward with measuring beam, and gives interface 23a by it with this beam reflection.This interface is reflected measuring beam now to substrate reverberator RW, and measuring beam is incident on the A2 position herein.The measuring beam that reflects on this position is passed through by interface 23a at last, so that can arrive the detector (not shown).
Reference beam b rAt first reflected to retroeflector 29 by interface 23a, retroeflector reflects to prism 27 thus subsequently, and prismatic reflection is given the interface thus then, and the A3 position of boundary reflection to the substrate reverberator thus.The reference beam that reflects on this position sends to substrate reverberator RW by prism 28 again, and it is incident on the A4 position at this place.The reference beam that reflects on this position is reflected to prism 27 by interface 23a, gives retroeflector 29 by this prismatic reflection subsequently, is reflected to the interface again by this reverberator then.This interface is at last with this reference beam b ' rDetector is given in reflection.
In the interferometer of Fig. 8, measuring beam b mWith reference beam b rThe both measures the X position of substrate reverberator RW.This then measurement is at A1, and A3 and A2 carry out on two kinds of different positions of A4.Therefore, this interferometer is only for the difference sensitivity of these two kinds of positions to the X of its stationary element distance, and insensitive for the X distance of this reverberator.
Fig. 9 represents to be used to measure substrate reverberator RW around the Y-axis that tilts ySecond embodiment of interferometer.The difference of this embodiment and Fig. 8 embodiment is that reflecting prism 27 is replaced by flat mirror M 2.This catoptron is with the measuring beam b of vertical incidence mWith reference beam b rBy himself reflection.Measuring beam and reference beam and substrate reverberator RW, mirror M 2, retroeflector 29 and rib 28 contacted orders among Fig. 9 are identical with prism 28 contacted orders with these light beams among Fig. 8 and substrate reverberator, prism 27, retroeflector 29.
The differential interferometer system that is used for the lithographic projection device, it further can be modified is by taking measures, the influence of measuring for mask and substrate mutual alignment with the instability that prevents from may exist in this device.For this purpose, be called the position of projection lens set of projection lens and the influence of inclination subsequently for short, must eliminate.
For this projection lens, may be shown with certain point in advance, this camera lens can not change the image spaces of this camera lens around its inclination.C point among Here it is Figure 10.In the figure, v and b are respectively object distance and image distance, and OP and IP are respectively object plane and image plane.Point C is between two nodes of this camera lens.When the medium of camera lens both sides is identical when for example being air, these nodes overlap with the principal point A and the B of projection lens.The interarea of H and this camera lens of H ' expression.This camera lens should satisfy following condition: BN ' AN = b v = M - - - ( 2 ) So Bc = M M + 1 · AB - - - ( 3 ) Because ν = f M + f - - - ( 4 ) And f=f. (M+f) (5) is for the distance between the interarea: AB = L - 2 . f - M . f - f M - - - ( 6 ) With OC = M M + 1 · L - - - ( 7 ) This shows that position that C is ordered and all various lens parameters (for example focal length and principal plane locations) are irrelevant, and only is subjected to enlargement ratio and object plane and resembles the influence of distance between the face.This means that the position that C is ordered all is identical to all projection lens in fact.In stepping lithographic projection device, have for example enlargement ratio of M=0.2 and the projection lens of L=600mm image distance, OC=100mm for common use.Have for example enlargement ratio M=0.25 and image distance L=120mm for the saturating head of the projection that may be used for the step-scan device.For this camera lens, OC=120mm.
If the position of projection lens needs to measure,, be preferably in the measurement of carrying out the projection lens position on the position of a C so so that can correct its influence to this camera lens image spaces.In fact it is insensitive that this measurement is tilted to projection lens.Referring to Figure 11, this result can be represented as the horizontal shift dc that for example this projection lens has on directions X.The figure shows mask clamper MH, projection lens PL and substrate holder WH.The X position of these elements is respectively with X M, X LAnd X WExpression.INT1, INT2 and INT3 are for being used to measure the interferometer of substrate, mask and projection lens position.Be the relation between the displacement dv of the mask imagination for camera lens displacement dc and object, following formula is set up: dV dC = L OC = M + 1 M - - - ( 8 )
And for the displacement dB of mask pattern on-chip elephant:
dB=M·dv=(M+1)dc (9)
If for example enlargement ratio M=0.25 then can be drawn by formula (8)
dv=5dc (10)
The position that this means projection lens can be measured in the sensitivity higher 5 times than mask position.The influence of lens location when appropriately being located with respect to substrate in order to eliminate mask, for given example, following condition should satisfy:
X M+ 4.X W-5.X L=constant (11)
Perhaps more generally:
Figure A9719043500191
This for the high 5 times measurement sensitivity of lens location, can measure sensitivity and mask and measure sensitivity and obtain by increasing substrate, this means interferometer INT1 and the INT2 placement of must connecting.
Belong to the interferometer system of above-mentioned situation, be illustrated among Figure 12 by summary.In the figure, be similar to above and subsequently among the figure like that, measuring beam b mRepresent with solid line, and reference beam b rBe represented by dotted lines."-" in equation (11) and (12) number is applicable to reference beam b rBe used to measure the position X of projection lens LSituation under for this purpose, the system that represents among Figure 12 is equipped with extra reverberator M5.Measuring beam b mThen be used to measure the mutual alignment of mask and substrate.In the system of Figure 12, the position X of mask MMeasured 2 times, the position X of substrate WMeasured 8 times, and the position X of projection lens LMeasured 10 times.Because the distance part of measuring beam and reference beam is variant, so should guarantee in radiation path, not have air turbulence and other scrambling to produce.
For the insensitive interferometer system of air turbulence and so on, summary is illustrated among Figure 13.In this system, two kinds of lens locations that the measurement of projection lens position is decomposed on differing heights are measured.First kind of lens location measurement carried out near mask, and this measurement has the sensitivity that mask position is measured.The measurement of second kind of lens location is carried out near substrate, and this measurement has the sensitivity that substrate position is measured.Its condition is corresponding measuring position X now L, 2And X L, 1And the distance between the C point, be in identical ratio with projection lens multiplying power M.With moved further mask and substrate, following condition should satisfy now during for the imaging scanning motion;
X M+ 4X W-4X L, 1-X L, 2=constant (13) is if the enlargement ratio M of projection lens is 0.25.Utilize this condition, the point at the measured place of mask position and the upper point at the measured place of lens location are linked together.Equally also be applicable to the point at the measured place of substrate position and the lower point at the measured place of lens location.The advantage of interferometer system is among Figure 13:
Exist not only to the projection lens displacement but also to the compensation of its inclination;
This measurement is insensitive to the scrambling in the radiation path between INT1 and the INT2;
The position of INT1 and INT2 is unessential, so that this measurement is insensitive to vibration in this device and instability.Have only the inclination meeting of INT1 and INT2 influential.In order to eliminate this influence, 5. Δ preferably should equal L.
Thereby for accurate measurement substrate and mask mutual alignment d just x, d Y1, and d Y2Essential condition be that these are measured and not to be subjected to around the rotation of Z axle and the influence of tilting around X and Y-axis.For the supporting to substrate and mask can not apply undue requirement, preferably allow differential interferometer itself to this rotation with tilt insensitive.If the mask clamper only moves on directions X, and fixed by air-supported on other direction, the rotation z of substrate holder is important at the very start so.
Figure 14 represents the influence of substrate around Z axle rotation z.The element of these figure presentation graphs 2 lower parts.As reference Fig. 2 once was described, measuring beam b mAt first on P1 and P2 position, reflect twice, be through to mask reverberator RM thereafter and be reflected, turn back to the substrate reverberator then, so that on P3 and P4 position, be reflected thereafter and as light beam b ' at Qi Chu by substrate reverberator RW mPass to the detector (not shown).This be when the measuring beam vertical incidence on the substrate reverberator thereby the situation of z=0.This measuring beam is by b mExpression.Work as zWhen being not equal to zero, this measuring beam will be by the light path that is illustrated by the broken lines.So this measuring beam at first is reflected on the P1 position again, but no longer vertical.This measuring beam is with b M, aExpression.Process reflection on the 100-103 point of interface 9 in beam splitter 1 and retroeflector 19, this light beam b M, aBe transmitted to substrate reverberator P2 ' position.Light beam b after the reflection M, aAnd then be parallel to light beam b mThereby also be parallel to reference beam (not expression among Figure 14), but be offset one apart from δ.Its lead to and way from the mask reverberator in, this measuring beam b M, aAnd there is not other skew between the reference beam.Can inference by Fig. 2, the measuring beam b that comes out by the mask reverberator M, aAnd the skew between the reference beam, with respect to the measuring beam b that enters the mask reverberator M, aAnd the reflection of the offset point between the reference beam.By the next measuring beam b of mask reverberator M, a, at first penetrate on the substrate reverberator in P5 ' position.This measuring beam is reflected herein, passes to P6 ' position through reflection then on the 105-108 point, and be used as light beam b ' on this position M, aDetector is given in reflection.As measuring beam b M, aDuring for the second time by the substrate interferometer, the skew between itself and the reference beam doubles.Because its highly sensitive twice, so differential interferometer also is a twice to the sensitivity that substrate rotates.
Because on behalf of the signal of substrate reverberator and mask reverberator mutual alignment, skew 2 δ so overlapping between measuring beam and the reference beam becomes smaller on the detector position, become smaller thereby make between measuring beam and the reference beam.When using beam diameter for example during the standard interference instrument of 5mm, this means that for for example substrate reverberator it can tilt at most ± 2 milliradians.This nargin can increase by increasing beam diameter.Yet the optical system that needs special angle pencil of ray for this purpose.But itself even bigger shortcoming are, must increase optical element, so that the vignetting of light beam on these elements can not take place.Because these elements must have extraordinary optical property, so they will become very expensive.As will illustrating now, measure the adverse effect of catoptron rotation or inclination or differential interferometer angle sensitivity, can be eliminated according to another aspect of the present invention.
For this purpose, the reflection symmetry in the mask interferometer may be fit to, shown in Figure 15 and 16.Figure 15 represents the mask interferometer thereby the parts of differential interferometer just, can be set near mask reverberator RM and the projection lens reverberator RL, is used among the embodiment of Figure 13.This mask interferometer comprises that one has the polarization-sensitive beam splitter 5 at interface 10, two blocks of reflecting prisms 111 and 112 and two blocks of λ/4 wave plates 113 and 114.Enter the measuring beam b of mask reverberator mWith the reference beam b that enters camera lens reverberator RL2 r, represent with solid line and dotted line respectively.In this figure and some figure thereafter, with the rectangular arrow of measuring beam and with the rectangular dotted line of reference beam, be used for representing how these light beams are reflected during by this interferometer at it.Measuring beam b in Figure 15 mBe reflected 5 times, and reference beam is reflected 3 times, this means that they keep same direction mutually, and the possibility skew is held and is not reflected between the light beam of coming in.
That once described as mentioned is such, from the measuring beam b of mask interferometer mWith reference beam b rAll for the second time by the substrate interferometer.When because of between measuring beam and reference beam, having produced when being offset for the first time for the first time, because of producing the same skew second time by the substrate interferometer for the second time by the substrate interferometer.Because skew does not change by the mask interferometer time for the first time, so the above-mentioned second time of skew will compensate the above-mentioned skew first time.
The differential interferometer rotation that is made of odd number secondary reflection in the mask interferometer and the solution of tilt sensitivity problem can be alternatively by settling a special reflector parts realize between substrate interferometer and mask interferometer.The embodiment of this reflector parts is illustrated among Figure 16.These parts can be positioned on the position of reverberator 16 among Fig. 2 for example, and it is made up of prism 37 and pentaprism 40.The measuring beam b that comes in m By prism 37, successively by the side 38 of pentaprism and 39 reflections, lead to the mask reverberator by prism 37 subsequently then.
Figure 17 represents the combined embodiment according to the differential interferometer system of Figure 13 principle that enters of this reflector parts.The figure shows first or claim substrate interferometer component and second or claim the mask interferometer component, the former uses polarization-sensitive beam splitter 1, retroeflector 4 and λ/4 wave plates 2, and the latter uses polarization-sensitive beam splitter 5, retroeflector 19, λ/4 wave plates 8 and reverberator MI.In addition, the system of Figure 17 comprises branch beam steering mirror 30 and coupling output prism 32.Be utilized to the projection lens PL of mask pattern imaging at on-chip projection arrangement is positioned between mask clamper MH and the substrate holder WH.This projection lens is equipped with two additional reverberator RL1 and RL2, is configured for the reference reflector of second and first interferometer component respectively.Catoptron 33, λ/4 wave plates 35 and catoptron 35 are positioned near the camera lens reverberator RL1, and catoptron 31 is positioned near the camera lens reverberator RL2.With identical among more above-mentioned figure, the measuring beam among Figure 17 represents with solid line that also reference beam then is represented by dotted lines.Arrow in these lines is then represented: thus the light path that measuring beam is followed during by this system through twice first reflections above the substrate reverberator RW, in the reflection on the mask reverberator RM and the reflection on reverberator MI; And reference beam is through twice first reflections on the camera lens reverberator RL2, the reflection on the camera lens reverberator RL1, the reflection on the catoptron 35, thus and the light path of being followed when passing through other two secondary reflections on the camera lens reverberator RL2 by this system.
Comprise the reflector parts of the pentaprism 40 that has its interface 41 and prism 37, be positioned near the mask interferometer.Figure 18 represents how to pass through reflector parts and second subsystem that the mask interferometer constitutes thus from the measuring beam of substrate interferometer and reference beam.This subsystem comprises another piece catoptron 45 and quarter-wave plate 46.Light path when the arrow in this light beam, expression measuring beam pass through reflection by this subsystem successively on the q1-q9 position.
It is above that what talk about is differential interferometer system for the sensitivity around the rotation of substrate Z axle.More than for eliminating the method that this sensitivity is described, also can be used to certainly eliminate differential interferometer system to substrate or mask around the possible sensitivity of tilting of X and/or Y-axis.
Figure 19 represents another embodiment of the differential interferometer system of the rotation of substrate reverberator or the projection arrangement that compensated, that be used for enlargement ratio M=1/4 that tilts.This substrate interferometer component not only comprises polarization-sensitive beam splitter 1 and λ/4 wave plates 2, and comprises another λ/4 wave plates 50, catoptron 52 and the retroeflector 51 that is used for reference beam.Dotted line represents that again reference beam does not overlap the light path in the scope with the light path of measuring beam, and this light beam is at first reflected twice by substrate reverberator RW on r1 and r2 position, and reference beam at first is reflected twice on reference mirror 52.Subsequently, these light beams arrive mask interferometer component 60 and mask reverberator RM.In Figure 20, mask interferometer component 60 is represented with bigger ratio.These parts not only comprise polarization-sensitive beam splitter 5 and λ/4 wave plates 8, and comprise another λ/4 wave plates 53 of being used for reference beam, catoptron 57 and three retroeflectors 54,55 and 56.This measuring beam b mWith reference beam b rIn arrow, represent the light path of these light beams when these parts.Measuring beam is reflected on the position of r3-r9 successively, turns back to substrate interferometer component and substrate reverberator then, its this be in lead to detector before, on the position of r10 and r11 again by two secondary reflections.
Figure 21 represents to comprise another embodiment of the subsystem of mask interferometer and reflector parts.These parts comprise first prism 60 that has reflecting surface 61 and second prism 65 that has reflecting surface 66 and 67.By using this reflector parts can make measuring beam and the reference beam can be not reverse by this subsystem the time, i.e. the left half of these light beams and right half switch not.This can be by representing with the rectangular arrow of these light beams.The measuring beam of representing with solid line reflects on the position of S1-S7 successively, particularly once by mask reverberator RM reflection, and by reverberator MI reflection once.And the reference beam that is represented by dotted lines is reflected 7 times, particularly by reverberator 45 reflections 2 times.
Figure 22 representation class is similar to the embodiment of Figure 21, is replaced but prism 60 and 65 has wherein had the Dove prism 70 of reflecting surface 71,72 and 73.And in this embodiment, measuring beam also is reflected 7 times as reference beam on the position of t1-t7.In this embodiment, the width that light beam may have only is 1/4a, and wherein a is the height of beam splitter 5, and this width of light beam can be 1/2a in the embodiment shown in Figure 21.
Figure 23 represents another embodiment of differential interferometer system, and the wherein rotation of substrate reverberator RW is because measuring beam b mStand the odd number secondary reflection at it during by mask interferometer subsystem and compensated.This figure represents that also needed radiation source 80 is as HeNe zeeman laser and two lens 82 and 83 of constituting beam expander optical system.This substrate interferometer has the structure same as Fig. 1.The difference of mask interferometer is among this mask interferometer and Fig. 1, replaces the retroeflector 87 of reverberator MI among Fig. 1, is positioned between λ/4 wave plates 8 and the substrate reverberator RM.In addition, the light path of reference beam comprises λ/4 wave plates 46, and additional catoptron 88 is placed the top of retroeflector 7.Measuring beam b from P2 position on the substrate reverberator m, give retroeflector 87 by interface 10 reflections of beam splitter 5.Through reflection, this measuring beam is transmitted to retroeflector 6 on the oblique side of this reverberator.This reverberator passes to measuring beam mask reverberator RM subsequently.The measuring beam of P4 position reflection on this reverberator is given the substrate interferometer by interface 10 reflections.Successively on P5 and P6 position by after the substrate reverberator reflection, measuring beam b ' mBe transmitted to detector 90 through catoptron 86 and 85.Reference beam b from the substrate interferometer r, lead to retroeflector 7 by interface 10, and reflect again to interface 10 by it.This interface reflects reference beam to retroeflector 6 then, and this reference beam is reflected to interface 10 by it again.Thereafter this interface reflects reference beam to catoptron 88.Reference beam by catoptron 88 reflections leads to the substrate interferometer by interface 10 then.
Figure 24 represents the alternative of embodiment among Figure 23, and wherein the retroeflector 7 and 87 in the mask interferometer is replaced by pentaprism parts 92 and reverberator MI.Measuring beam b from the substrate interferometer mGiven reverberator MI by interface 10 reflections, and again measuring beam is reflected to parts 92 by it.These parts then reflex to measuring beam the P4 position on the mask reverberator RM.From the measuring beam of P4 position, reflected to the substrate interferometer by interface 10.Reference beam b from the substrate interferometer rLead to catoptron 88 by interface 10, and give the interface with this beam reflection again by it.This interface reflects reference beam to parts 92 then, and sends reference beam to interface again by it.Thereafter catoptron 88 is given with this beam reflection in this interface, and sends this light beam to the substrate interferometer by it through interface 10.
Figure 25 represents the effect by adopting the odd number secondary reflection to carry out described compensation in the mask interferometer.The figure shows the function of interferometer signal contrast as substrate reverberator rotation (milliradian).Curve 95 is applicable to the situation that does not have compensation, and curve 96 is applicable to the situation of taking above-mentioned compensation.
The described compensation of tilting or rotating for the substrate reverberator, not only can be used in the differential interferometer system, and can be used in the individual system, the interferometer system of promptly having only an interferometer component, this system is used in for example stepping lithographic projection device, wherein only utilizes interferometer system to measure the position and the motion of substrate holder.Figure 26 a, 26b and 26c represent the embodiment of this interferometer system with different cross sections.
Shown in Figure 26 b, the measuring beam of coming at first leads to substrate reverberator RW through reflection U1 on the interface 9 of beam splitter 1, and it is reflected on the U2 position at this place.Measuring beam is through U3 on the retroeflector 4 and the locational reflection of U4 and by beam splitter 1, so that subsequently by the reflection of having again on the substrate reverberator U5 position, after this it leaves beam splitter through the reflection on the interface 9 subsequently.Reference beam is successively at U7, U3, and U4 is reflected on U6 and the U8 position, especially is reflected for twice on reference mirror 130 through λ/4 wave plates 131.From the measuring beam and the reference beam of beam splitter 1, the U9 in Figure 26 a is reflected by the surface 126,127 of prism 125 and 120 and 121 respectively on the position of U10 and U11 thereafter, and then these light beams enter beam splitter 1 again.Shown in Figure 26 c, measuring beam is reflected on the U13 of substrate reverberator and U14 position subsequently.From the measuring beam and the reference beam of beam splitter, thereby before reentering beam splitter, it experiences 3 secondary reflections.
Above supposition once, the position of mask and substrate can only be gone up measured in a direction (direction of scanning or directions X).As described in european patent application 0498499, the substrate interferometer system that has 5 measurement axis can be used in the substep lithographic projection device, not only be used for the measured X position, and be used for measuring the rotation of Y position, substrate, and substrate is around the inclination of X and Y-axis around optical axis Z.For example two interferometer components are utilized then, and one of them has 3 measurement axis, and another parts have 2 measurement axis.And differential interferometer system can be extended to 5 measurement axis, and this system had for example not only had 5 measurement axis on the substrate position but also on mask position, and for example occurs along all these difference measurement.
When above-mentioned differential interferometer system is used in substep when scanning in the lithographic projection device, following advantage can obtain:
This interferometer measurement is insensitive for the unstability in the device;
These instabilities of measuring for the projection lens set position are insensitive;
These are measured for resembling doing of air turbulence and so between mask interferometer and the substrate interferometer It is insensitive disturbing;
When the mask pattern scanning imagery, there is not the electronic delay problem to take place;
These rotation or inclinations of measuring for substrate holder are insensitive;
The resolution ratio of these measurements can improve, and
Needed interferometer number can reduce.
The present invention is used for mask pattern is scanned into step by step when the manufacturing integration circuit with reference to it The application that resembles in on-chip device is described. Yet be used in to its being replaced property manufacturing Integrated optics system, planar optical system, be used for the guiding of magnetic domain memory and detect figure or In the device of liquid crystal image-displaying sheet. This projection arrangement not only can be flat plate printing apparatus, its In projected light beam for example be the electromagnetic radiation beam of far ultraviolet radiation and so on, and projection wherein system System is the optical projection set of lenses, and can be another kind of device, and projection radiation wherein is charged The radiation of particle, for example electron radiation, ionizing radiation or x-ray radiation wherein use relevant Optical projection system electron lens system for example.

Claims (9)

1. one kind is used to measure first and second objects along the mutual alignment of at least one direction and the interferometer system of moving, and at least for one of all possible mutual flow direction, said system comprises:
First interferometer component that is associated with first object is equipped with first beam splitter, first measurement reverberator and many first reverberators, and
Second interferometer component that is associated with second object, second beam splitter, second measurement reverberator and many second reverberators are equipped with, it is characterized in that: at work, measuring beam is not only by first but also by second interferometer component, and not only by first but also reflected at least once by second measurement reverberator; First and second interferometer components have common radiation-sensitive detector; The reference beam that is associated with measuring beam, will with measuring beam between first and second interferometer components by identical distance.
2. interferometer system as claimed in claim 1, be used for measuring with first object of speed V motion with the mutual alignment of second object of speed nv motion, wherein n is an integer, it is characterized in that: measuring beam is by the number of times of the measurement reverberator reflection related with first object, with measuring beam by the ratio of the number of times of the measurement reverberator related reflection with second object, equal n.
3. as the interferometer system of claim 1 or 2, it is characterized in that: in order to eliminate the rotation of first object or to tilt interferometer signal is influenced, second interferometer component is adaptive by a kind of like this mode, make measuring beam, before it turns back to first interferometer component, in second interferometer component, be reflected from first interferometer component m+ 1 time, and mFor greater than 2 even number.
4. one kind is used for according to the substep scanning theory the mask pattern multiple imaging at on-chip device, this device comprises the mask clamper that is placed on the mask stage, is placed in the substrate holder on the substrate worktable, and be placed in optical projection system between mask stage and the substrate worktable, it is characterized in that: as claim 1,2 or 3 described interferometer systems are used for measuring the mask of formation first and second objects and the mutual alignment of substrate.
5. device as claimed in claim 4, mask pattern wherein with enlargement ratio M by imaging on substrate, it is characterized in that: the number of times of the measurement reverberator reflection that measuring beam is associated with substrate, with measuring beam by the ratio of the number of times of the measurement reverberator that is associated with mask reflection, equal 1/M.
6. as claim 4 or 5 described devices, it is characterized in that: measurement reverberator that is associated with substrate and the measurement reverberator that is associated with mask are to be made of the mirrored sides of substrate holder and mask clamper respectively.
7. as the described device of claim 4,5 or 6, it is characterized in that: this interferometer system comprises the optical projection system interferometer component that is used for measuring the optical projection system position on certain place; Optical projection system then is equipped with additional measurement reverberator on above-mentioned place.
8. device as claimed in claim 7 is characterized in that the interferometer component that optical projection system is used is passed through by above-mentioned reference beam.
9. as the described device of claim 4,5 or 6, it is characterized in that: this optical projection system is being pressed close to the mask clamper and is being pressed close to be equipped with two other on two places of substrate holder respectively and measure reverberator; Above-mentioned reference beam is then by these two measurement reverberator reflections.
CNB971904359A 1996-03-06 1997-03-04 Differential interferometer system and lithographic step-and-scan appts. provided with such a system Expired - Fee Related CN1133866C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP96200615 1996-03-06
EP96200615.1 1996-03-06

Publications (2)

Publication Number Publication Date
CN1189898A true CN1189898A (en) 1998-08-05
CN1133866C CN1133866C (en) 2004-01-07

Family

ID=8223751

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB971904359A Expired - Fee Related CN1133866C (en) 1996-03-06 1997-03-04 Differential interferometer system and lithographic step-and-scan appts. provided with such a system

Country Status (3)

Country Link
KR (1) KR100503877B1 (en)
CN (1) CN1133866C (en)
DE (1) DE69705779T2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106662824A (en) * 2014-07-09 2017-05-10 Asml荷兰有限公司 Inspection apparatus, inspection method and device manufacturing method
CN107036528A (en) * 2011-03-30 2017-08-11 迈普尔平版印刷Ip有限公司 Interferometer module
CN108759698A (en) * 2018-08-02 2018-11-06 淮阴师范学院 The low-coherent light interferometric method and device of more mirror lens group minute surface spacing
CN109238146A (en) * 2018-08-16 2019-01-18 华进半导体封装先导技术研发中心有限公司 In electromagnetic near field scanning between determining and adjustment element relative position method, apparatus
CN111458983A (en) * 2019-01-21 2020-07-28 卡尔蔡司Smt有限责任公司 Apparatus and method for determining the position of an element on a lithographic mask

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011006055A1 (en) * 2011-03-24 2012-09-27 Carl Zeiss Smt Gmbh Position measuring device for mask inspection device for inspecting lithographic mask of arrangement of a positioning system, is configured by location or tilted position or certain position of object
WO2015197313A1 (en) 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107036528A (en) * 2011-03-30 2017-08-11 迈普尔平版印刷Ip有限公司 Interferometer module
CN106662824A (en) * 2014-07-09 2017-05-10 Asml荷兰有限公司 Inspection apparatus, inspection method and device manufacturing method
CN106662824B (en) * 2014-07-09 2018-07-24 Asml荷兰有限公司 Check device, inspection method and device manufacturing method
CN108759698A (en) * 2018-08-02 2018-11-06 淮阴师范学院 The low-coherent light interferometric method and device of more mirror lens group minute surface spacing
CN108759698B (en) * 2018-08-02 2020-02-14 淮阴师范学院 Low-coherence light interference measuring method and device for mirror surface spacing of multi-mirror lens group
CN109238146A (en) * 2018-08-16 2019-01-18 华进半导体封装先导技术研发中心有限公司 In electromagnetic near field scanning between determining and adjustment element relative position method, apparatus
CN111458983A (en) * 2019-01-21 2020-07-28 卡尔蔡司Smt有限责任公司 Apparatus and method for determining the position of an element on a lithographic mask

Also Published As

Publication number Publication date
DE69705779T2 (en) 2002-05-23
KR100503877B1 (en) 2006-01-27
DE69705779D1 (en) 2001-08-30
KR19990008359A (en) 1999-01-25
CN1133866C (en) 2004-01-07

Similar Documents

Publication Publication Date Title
KR100262992B1 (en) Method of and device for repetitively imaging a msak pattern
JP2679186B2 (en) Exposure equipment
US6122036A (en) Projection exposure apparatus and method
USRE33836E (en) Apparatus and method for making large area electronic devices, such as flat panel displays and the like, using correlated, aligned dual optical systems
US6538721B2 (en) Scanning exposure apparatus
US4769680A (en) Apparatus and method for making large area electronic devices, such as flat panel displays and the like, using correlated, aligned dual optical systems
KR100365602B1 (en) Exposure Method and Apparatus and Semiconductor Device Manufacturing Method
US4636626A (en) Apparatus for aligning mask and wafer used in semiconductor circuit element fabrication
KR100699570B1 (en) Lithographic Apparatus, Device Manufacturing Method and Angular Encoder
JPH11504724A (en) Differential interferometer system and lithographic step and scan apparatus equipped with the system
JP5206954B2 (en) Position detection apparatus, position detection method, exposure apparatus, and device manufacturing method
KR101215316B1 (en) Exposure apparatus and exposure method
TW200305928A (en) Exposure apparatus and method
KR102658509B1 (en) Method for controlling moving body, exposure method, method for manufacturing device, moving body apparatus, and exposure apparatus
CN1133866C (en) Differential interferometer system and lithographic step-and-scan appts. provided with such a system
JP3316706B2 (en) Projection exposure apparatus and element manufacturing method using the apparatus
JP2004071851A (en) Semiconductor exposure method and aligner
US5523574A (en) Exposure apparatus
JP2006226719A (en) Surface shape measuring method, attitude measuring method, and exposure method
JP3555233B2 (en) Projection exposure equipment
JP3531227B2 (en) Exposure method and exposure apparatus
KR100283838B1 (en) Scanning Exposure Equipment and Scanning Exposure Method
JP3266895B2 (en) Projection exposure apparatus and device manufacturing method using the apparatus
US6819401B2 (en) Exposure method and apparatus
JPH06177009A (en) Projection aligner

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Applicant after: Koninklike Philips Electronics N. V.

Applicant before: Philips Electronics N. V.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: N.V. PHILIPS OPTICAL LAMP LTD., CO. TO: ROYAL PHILIPS ELECTRONICS CO., LTD.

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Applicant after: ASM Lithography B. V.

Applicant before: Koninklike Philips Electronics N. V.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: ROYAL PHILIPS ELECTRONICS CO., LTD. TO: ASM STONE VERSION PRINTING CORP.

C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: ASML NETHERLAND B.V.

Free format text: FORMER NAME OR ADDRESS: ASM STONE VERSION PRINTING CORP.

CP01 Change in the name or title of a patent holder

Address after: Holland, Victoria, Germany, Finland

Patentee after: ASML Holland Co., Ltd.

Address before: Holland, Victoria, Germany, Finland

Patentee before: ASM Lithography B. V.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040107