CN117761968A - Photoresist solution, method for using photoresist solution, and method for improving photoresist performance - Google Patents

Photoresist solution, method for using photoresist solution, and method for improving photoresist performance Download PDF

Info

Publication number
CN117761968A
CN117761968A CN202310911113.6A CN202310911113A CN117761968A CN 117761968 A CN117761968 A CN 117761968A CN 202310911113 A CN202310911113 A CN 202310911113A CN 117761968 A CN117761968 A CN 117761968A
Authority
CN
China
Prior art keywords
photoresist
additive
independently
saturated
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310911113.6A
Other languages
Chinese (zh)
Inventor
訾安仁
李蕙君
李邦鼎
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117761968A publication Critical patent/CN117761968A/en
Pending legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

A photoresist solution, a method of using the photoresist solution, and a method of improving photoresist performance, particularly for reducing the radiation dose required to develop a metal photoresist, are disclosed. During development, the metal photoresist is exposed to an additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety. The wiring resolution is also improved.

Description

Photoresist solution, method for using photoresist solution, and method for improving photoresist performance
Technical Field
The present disclosure relates to photoresist solutions, methods of using photoresist solutions, and methods of improving photoresist performance.
Background
Integrated circuits are formed on semiconductor wafers. The photolithography patterning process uses ultraviolet light to transfer a desired mask pattern to a photoresist on a semiconductor wafer. An etching process may then be used to transfer the pattern to the layer underlying the photoresist. The process is repeated multiple times with different patterns to build different layers on the wafer substrate and to fabricate useful devices.
Integrated circuits require high resolution lithographic processes to achieve smaller feature sizes. An example of one such process is an extreme ultraviolet lithography process, which uses wavelengths of about 10 nanometers (nm) to about 100 nm. Further improvements in the lithographic process are needed.
Disclosure of Invention
According to some embodiments of the present disclosure, a method of using a photoresist solution comprises: coating a substrate with a photoresist solution comprising a metal photoresist; pre-baking the coated substrate to cure the photoresist solution and form a photoresist layer; exposing the photoresist layer to radiation to pattern the photoresist layer; optionally performing a post-exposure bake of the coated substrate; developing the patterned photoresist layer using a developer; hard baking the patterned photoresist layer as needed; and etching through the patterned photoresist layer to obtain a patterned material layer; wherein (a) the photoresist solution or the developer comprises an additive, or (B) the coated substrate is treated during or after the coating, pre-bake, exposure, optional post-exposure bake or development steps by a treatment solution containing the additive; wherein the additive comprises (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
According to some embodiments of the present disclosure, a method for improving photoresist performance includes: forming a photoresist layer, wherein the photoresist layer comprises a metal photoresist on a substrate; disposing the photoresist layer through a treatment solution containing an additive; wherein the additive comprises (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
According to some embodiments of the present disclosure, a photoresist solution comprises: a metal photoresist; and an additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
Drawings
The aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawing figures. Note that the various features are not drawn to scale in accordance with industry standard practices. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
FIG. 1A is a flow chart depicting a method for preparing a patterned photoresist layer and etching a layer of a semiconductor device, in accordance with some embodiments;
FIG. 1B is a second flow chart illustrating other aspects of the method;
FIG. 2A is a cross-sectional view of the substrate prior to initiating the methods of FIGS. 1A and 1B;
FIG. 2B is a cross-sectional view of the substrate and a first material layer on the substrate prior to initiating the methods of FIGS. 1A and 1B;
FIG. 3 is a cross-sectional view of a substrate and two underlayers (underlayers) applied over a first material layer;
FIG. 4 is a cross-sectional view of a substrate and a photoresist layer applied over two underlying layers;
FIG. 5 is a cross-sectional view of a substrate after radiation exposure, wherein the photoresist layer now includes soluble and insoluble regions;
FIG. 6 is a cross-sectional view of the substrate and patterned photoresist layer after development;
FIG. 7 is a cross-sectional view of the final structure and patterned first material layer;
fig. 8 is an example diagram of an extreme ultraviolet (extreme ultraviolet, EUV) optical lithography system for exposing a photoresist layer to EUV radiation, according to some embodiments.
[ symbolic description ]
100 method
101 step
102 step (A)
104 step
Step 105
106 step
110 step
112 step
115 step
120 step
122 step
Step 125
126 step
130 step
132 step
134 step
140 step
145 step
146 step
200 substrate
202 first material layer
204 bottom layer
206 bottom layer
210 photoresist layer
212 soluble region
214 insoluble area
215 thickness of
800 optical lithography system
840 Extreme Ultraviolet (EUV) light source
850 irradiation stage
852 field of view facet mirror
854 Relay mirror
860 light cover
862 surface film
870 projection optics module
872 mirror surface
874 mirror surface
Detailed Description
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these components and configurations are merely examples and are not intended to be limiting. For example, in the following description, the formation of a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first feature and the second feature such that the first feature and the second feature may not be in direct contact. Further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
In addition, spatially relative terms, such as "under … …," "below," "lower," "above," "upper," and the like, may be used herein for ease of description to describe one or more elements or features' relationship to another element or feature as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
The term "about" may be used to include any numerical value that can be varied without changing the basic function of the value. When used with a range, "about" also discloses a range defined by the absolute values of the two endpoints, e.g., "about 2 to about 4" also discloses a range "from 2 to 4". The term "about" may refer to plus or minus 10% of the indicated number.
The term "aromatic" refers to a group having a ring system containing a delocalized conjugated pie system with multiple pie electrons that obey the Huckel's Rule. The ring system is composed of carbon atoms and hydrogen atoms. Examples of aromatic groups include phenyl (derived from benzene) and other polycyclic aromatic hydrogens, such as naphthyl (derived from naphthalene) or phenanthryl (derived from phenanthrene). Generally, aromatic groups are planar and uncharged.
The term "alkyl" as used herein refers to a radical composed of a chain of carbon atoms that is fully saturated (i.e., contains only single bonds). Alkyl radicals may be linear, branched or cyclic.
The term "halogen" as used herein refers to fluorine, chlorine, bromine and iodine.
The term "alkenyl" as used herein refers to a radical consisting of a chain of carbon atoms containing at least one double bond and not an aromatic group. Alkenyl radicals may be linear, branched or cyclic.
The term "carboxyl" refers to free radicals having the formula-CO-O-and may also refer to salts thereof. The carboxyl radical is bonded via one of a carbon atom and an oxygen atom.
The term "amino" refers to a compound having the formula-NR 1 -or-NR 1 R 2 Wherein R is a radical of 1 R is R 2 Independently hydrogen or alkyl. The amino group includes a mono-substituted radical (i.e., wherein R 2 Is hydrogen), and a distributed radical (wherein R 1 R is R 2 Neither hydrogen).
The present disclosure may mention temperatures for certain process steps. Note that these temperatures generally refer to the temperature at which a heat source (e.g., a furnace) is set, and do not necessarily refer to the temperature that must be obtained from the material exposed to heat.
The term "ambient temperature" or "room temperature" refers to a temperature of 15 ℃ to 30 ℃.
The present disclosure relates to structures made of different layers. When the terms "on … …" or "over … …" are used with reference to two different layers (including the substrate), the terms "on … …" and "over … …" indicate that only one layer is on or over the other layers. These terms do not require that two layers be in direct contact with each other and permit other layers to be between the two layers. For example, all structural layers may be considered "on the substrate" although not all layers are in direct contact with the substrate. The term "directly" may be used to indicate two layers that are in direct contact with each other without any layers in between. Furthermore, when referring to performing a process step on a substrate, this should be interpreted as performing such step on any layer that may in turn be present on the substrate, depending on the context.
The present disclosure relates to various methods for improving the operation of metal resists. In this regard, chemically amplified resists may be considered to operate via a multi-step reaction mechanism. First, when exposed to EUV radiation, the photoresist absorbs photons from the radiation. Second, secondary electrons are emitted from the photoresist and hot electrons are also generated. Third, a photo-acid generator (PAG) reacts with these electrons to generate photoacid. Fourth, the photoacid diffuses through the photoresist matrix. Fifth and finally, photoacid catalyzes the degradation of acid-sensitive groups, also known as deprotection, from the photoresist. Photoacid is not consumed in this reaction and can therefore catalyze multiple deprotection reactions. The deprotected photoresist differs in solubility from the original photoresist. The difference in photoresist between the exposed and non-exposed areas permits photoresist patterning, which is used to build different layers on the wafer substrate.
The metal photoresist includes metal atoms to increase photon absorption, i.e., the first step of the reaction mechanism. However, the performance of the metal photoresist may be improved.
The present disclosure relates to additives and methods of using such additives to reduce the required radiation dose required to develop a metal photoresist while still obtaining good results at smaller radiation doses. Briefly, the additive includes one or more aromatic groups along with saturated end groups or a linking moiety (linking mole) that links the multiple aromatic groups together. These aspects are discussed in more detail below. Initially, the additive is described together with other components of a photoresist solution such as a metal photoresist, and then, a method for using the photoresist solution containing the additive will be described.
Additive agent
During the photoresist development process, the photoresist may be exposed to additives that aid in development while reducing the required radiation dose. Without being bound by theory, the additive generates additional radicals after activation, which increases radical transport and increases crosslinking in the photoresist. Unlike other components of the photoresist, the additive does not contain a metal. The additive may have two different structures.
First, the additive may generally have an aromatic group with one or more substituents having saturated end groups. In these embodiments, the additive may have the structure of formula (1) below:
wherein Ar is an aromatic group;
wherein each L is independently saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each x is independently 0 to 6;
wherein each R is independently saturated C 1 To C 12 An alkyl group;
wherein each y is 1 to 6; and is also provided with
Wherein n is 1 up to the number of substitutable carbon atoms in Ar.
Concerning aromatic groups Ar, ar 1 Ar and Ar 2 The hydrogen atom of the aromatic group may be replaced by a halogen atom or other substituents as described above.
substituent-L of formula (1) x -R y Comprising one or more linking groups L and one or more substituent end groups R. When more than one linking group is present, the linking groups may be configured linearly or in a branched fashion. As non-limiting examples of branched linking groups, L may be a combination of three linking groups such as 1, 2-diaminoethyl chain-CH (NH-) -. Similarly, in some embodiments, the number of saturated end groups may be different from the number of linking groups in a given substituent, or in other words x+.y. In the event of any ambiguity, formula-L x -R y The atoms of a given substituent should be interpreted as saturated end groups R rather than part of the linking group L. For example, if the substituent has the formula-C 9 H 19 The substituents are to be regarded as C 9 One saturated end group R of the alkyl group,and should not be considered as being defined by, for example, C 8 One linking group L of an alkyl group being C 1 One saturated end group R of the alkyl group. In some particular embodiments, each linking group L is independently saturated C 1 To C 9 Alkyl, -O-or-NH-.
Regarding the saturated end group R, it is contemplated that each carbon atom in the end group is saturated with hydrogen or halogen atoms. In particular embodiments, each carbon atom is saturated by only hydrogen or fluorine atoms or by only halogen atoms.
In some particular embodiments of formula (1), x=0, or in other words no bonding groups at all are present. In some additional embodiments of formula (1), x=1. In other particular embodiments, n is 1 or 2.
On aromatic groups Ar-L x -R y The total number of substituents n is at least 1 and may vary depending on the total number of substitutable carbon atoms in the aromatic group Ar. For example, if Ar is phenyl, n may be 1 to 6, and if Ar is naphthyl, n may be 1 to 8. In some particular embodiments of formula (1), n is 1 to 6 regardless of the number of substitutable carbon atoms.
Some more specific embodiments of formula (1) are depicted below as formulas (1-a) through (1-d):
wherein L, x, R, y and n are as defined above with respect to formula (1).
Some specific non-limiting examples of compounds falling within the category of formula (1) and formula (1-a) include toluene; ortho-xylene, meta-xylene, and para-xylene; propylbenzene; 2-ethyltoluene; 4-isopropyl toluene, 1, 3-diethylbenzene; cumene (isopropyl benzene); 1. 2-diethylbenzene; 4-tert-butyltoluene.
Second, the additive may have a plurality of aromatic groups that are bonded together via a bonding portion. In these embodiments, the additive may have the structure of formula (2):
wherein A is C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each M 1 M and M 2 Independently a covalent bond, saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each Ar is 1 Ar and Ar 2 Independently an aromatic group;
wherein each L 1 L and L 2 C being independently saturated 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each x and j is independently 0 to 6;
wherein each R 1 R is R 2 C being independently saturated 1 To C 12 An alkyl group;
wherein each y and k is independently 1 to 6;
wherein each n is 0 up to Ar 1 The number of substitutable carbon atoms;
Wherein each v is 0 up to Ar 2 The number of substitutable carbon atoms; and is also provided with
Wherein m and w are independently 0 to 10, and m+w is at least 2.
The discussion of aromatic groups Ar of formula (1) also applies to aromatic groups Ar of formula (2) 1 Ar and Ar 2 . substituent-L of formula (1) x -R y The discussion of (2) also applies to substituents of formula (2) and-L 1 x -R 1 y -L 2 j -R 2 k
In a particular embodiment of formula (2), x and j are both zero, i.e., no linking group L 1 Or L 2 Is present in the substituent. Other features of formula (2)In certain embodiments, x and j are both 1. In other particular embodiments of formula (2), both n and v are zero, i.e., no substituents with saturated end groups are present. As mentioned above, m+w is at least 2, and in some particular embodiments is 2 to 4.
In the additive of formula (2), a linking moiety (A) links at least two aromatic groups Ar 1 Ar and Ar 2 Bonded together. In a particular embodiment, the linking moiety A is a linear C 1 To C 9 Alkyl, -O-, -NH-, -COO-, or-CON-.
In some particular embodiments, the spacers M 1 M and M 2 Is a covalent bond and does not represent an atom. Hydrogen note that the variable w is typically not 1, but may be zero or 2 to 10. Note that a plurality of-M 2 -Ar 2 The radical denotes a plurality of aromatic groups Ar 2 The aromatic groups being directly bonded together or via spacers M between adjacent aromatic groups 2 Bonded together.
Some more specific embodiments of formula (2) are depicted below as formulas (2-a) through (2-b):
therein A, M 1 、Ar 1 、L 1 、x、R 1 Y, n and m are as defined above with respect to formula (2). These variables can be regarded as variables of formula (2), wherein w=0, and/or wherein M 1 Is a covalent bond.
Twelve specific non-limiting examples of compounds within the scope of formula (2), formula (2-a) and formula (2-b) are depicted below:
in these 12 examples, looking back at equation (2), w=0 and m=2, and M 1 Is a covalent bond. In 11 of these examples, x=0. The bottommost example in the right column is 3-methoxy-N- (3-methoxyphenyl) benzamide, and herex=1. In the eight examples of the middle and right columns, n=2.
Another example of a compound within the category of formula (2) is depicted below:
in this example, a= -O-; m=1; m is M 1 Is a covalent bond; x=0; y=1; w=2, and for each Ar 2 The group M2 is a covalent bond, j=0 and k=1.
The additive of formula (1) or formula (2) may have a molecular weight of about 78 to 780. In particular embodiments, the additive of formula (1) has a molecular weight of from about 78 to less than 220 or from about 90 to about 150. In other particular embodiments, the additive of formula (2) has a molecular weight of about 78 to about 290.
The additives are typically provided in liquid form as, for example, a treatment solution in which the additive is dissolved. The solvent may be, for example, propylene glycol methyl ether acetate (propylene glycol methyl ether acetate, PGMEA), propylene glycol monomethyl ether (propylene glycol monomethyl ether, PGME), 1-ethoxy-2-propanol (1-ethoxy-2-propanol, PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol (methanol), ethanol (propanol), n-butanol (n-butanol), acetone (acetone), dimethylformamide (DMF), isopropanol (isopropyl alcohol, IPA), tetrahydrofuran (THF), methyl isobutyl methanol (methyl isobutyl carbinol, MIBC), n-butyl acetate (nBA) or 2-heptanone (2-heptanone, MAK). In some embodiments, the additive may be dissolved to a final concentration of about 500ppm to about 500,000ppm (i.e., about 0.05wt% to about 50 wt%).
In other embodiments, if the additive is liquid at the operating temperature, the concentration of the additive may be 100wt%. For example, toluene, xylene, cumene, diphenyl ether, 1-methylnaphthalene, 2-methylnaphthalene, and 1-methylphenanthrene all have melting points below 150 ℃ that are within the operating temperature of some steps in the photoresist development process. In other words, where the additive is a liquid, no solvent is required, and the treatment solution may contain only the additive itself. Thus, the additive may be present in the treatment solution in an amount of about 0.01wt% to 100wt%.
The treatment solution containing these additives may be heated prior to its use to obtain a liquid, for example up to a temperature of about 200 ℃. The additive is used in an amount of about 0.01wt% to about 50wt% with respect to the metal photoresist.
Different variables (A, M) 1 、Ar 1 、L 1 、x、R 1 Y, n, M, M 2 、Ar 2 、L 2 、j、R 2 All combinations of any two or more of these different ranges and values for k, v, and w, molecular weight, and concentration) are contemplated as being within the scope of the present disclosure.
As will be described in further detail herein, the photoresist development process includes the steps of: the substrate is coated, pre-baked or soft baked, radiation exposed, optionally post-exposure baked, developed, and optionally hard baked. The additives of the present disclosure may be used during or between any of these process steps. The additives may be provided in liquid or gas/gas phase depending on the operating temperature and pressure of the particular process step.
Photoresist solution
Photoresist solutions are commonly used to prepare photoresist layers on semiconductor wafer substrates. The photoresist solution includes a metal photoresist and may also include a cross-linking agent and a solvent.
The metal photoresist typically comprises a metal core and one or more ligands attached to or connected to the metal core. The ligand may be attached to the metal core via a covalent, ionic or metallic bond or via Fan Deer watts force.
The metal core of the metal photoresist includes a metal element. The metal element may exist as a pure metal (i.e., atom), ion, compound (e.g., metal oxide, metal nitride, metal oxynitride, metal silicide, metal carbide, etc.), or as an alloy of a plurality of metal atoms. Desirably, the metal element has a high EUV light absorptivity. Examples of suitable metallic elements include silver (Ag), cadmium (Cd), indium (In), tin (Sn), antimony (Sb), tellurium (Te), cesium (Cs), gold (Au), mercury (Hg), titanium (Ti), lead (Pb), bismuth (Bi), polonium (Po), astatine (At), barium (Ba), lanthanum (La), cerium (Ce), hafnium (Hf), zirconium (Zr), chromium (Cr), tungsten (W), molybdenum (Mo), iron (Fe), ruthenium (Ru), osmium (Os), cobalt (Co), rhodium (Rh), iridium (Ir), nickel (Ni), palladium (Pd), platinum (Pt), copper (Cu), zinc (Zn), aluminum (Al), gallium (Ga), thallium (Tl), and germanium (Ge). In a more specific embodiment, the metal core of the metal photoresist comprises Ag, cd, in, sn, sb, te, cs, au, hg, ti, pb, bi, po, at, ba, la or Ce. The metal element in the metal core of the metal photoresist may comprise about 0.01wt% to about 7wt% of the photoresist solution. In some particular embodiments, only one metallic element is present in the metal core. In other embodiments, multiple metallic elements are present in the metallic core.
The ligand is attached to the metal core, and this attachment determines whether the photoresist is soluble or insoluble when exposed to the developer. One function of the ligand is to protect the metal core from solidification prior to radiation exposure. The ligand cleaves from the metal core during radiation exposure. In certain embodiments, there are 1 to about 18 ligands attached to the metal core. Each ligand may comprise 1 to 12 carbon atoms, which may be linear, branched or cyclic and which may be an alkyl or alkenyl group, or may be aromatic. Other functional groups may also be present in the ligand, such as carboxyl or amine groups.
The crosslinking agent may also contain a metal core, or may be a conventional crosslinking agent.
In particular embodiments, the solvent used in the photoresist solution may be PGMEA, PGME, PGEE, GBL, cyclohexanone, ethyl lactate, methanol, ethanol, propanol, n-butanol, acetone, DMF, isopropyl alcohol (IPA), tetrahydrofuran, MIBC, n-butyl acetate, or MAK.
The photoresist solution can also include a photoacid generator (PAG), a photobase generator (PBG), a Thermal Acid Generator (TAG), a quencher, and/or an adhesion promoter, if desired.
Process for producing a solid-state image sensor
Fig. 1A is a flow chart illustrating a method 100 for preparing a patterned photoresist layer and etching a layer of a semiconductor device, according to some embodiments. FIG. 1B is another flow chart illustrating other aspects of the method. In the following discussion, fig. 1A will be referred to generally. Some steps of the method are also illustrated in fig. 2A-7. These figures provide different views for better understanding.
Referring first to fig. 2A, this figure illustrates one example of the starting state of the substrate 200 prior to any processing steps. The substrate is typically a wafer made of semiconductor material. Such materials may include silicon, for example, in the form of crystalline Si or poly-Si. In alternative embodiments, the substrate may be made of other elemental semiconductors such as germanium, or may include compound semiconductors such as silicon carbide (SiC), gallium arsenide (GaAs), gallium carbide, gallium phosphide, indium arsenide (InAs), indium phosphide (InP), silicon germanium carbide, gallium arsenide phosphide, or gallium indium phosphide. In a particular embodiment, the semiconductor substrate is silicon. As illustrated herein, no additional layers are present on the substrate 200.
In contrast, in fig. 2B, a first material layer 202 is present on the substrate 200. The first material layer may be any material that may be used in a semiconductor device or integrated circuit. For example, the first material layer may be made of an insulating material such as: silicon dioxide (SiO 2) or silicon nitride (SiN), silicon oxynitride (SiON), fluorosilicate glass, or other dielectric material. As another example, the first material layer may be made of a conductive material, such as polysilicon, or a metal, such as aluminum, copper, titanium, or tungsten. The following discussion continues with the understanding that the first material layer is present for illustrative purposes only and that the substrate may also be etched.
It may be desirable to heat the substrate prior to initiating the photoresist patterning process. This optional heating step 101 may improve resist adhesion by desorbing the hydrolysis present on the substrate surface and thermally breaking any hydrogen-oxygen bonds present on the oxidized surface. The substrate may be heated to a temperature above 100 ℃ up to, for example, 200 ℃ for a period of, for example, several minutes. The substrate is then cooled back to room temperature.
Referring again to fig. 1A, in an optional step 102, one or more primer layers are applied to a substrate. In this regard, the term "underlayer" is relative to the photoresist layer and refers to any layer that may be applied to a layer that needs to be etched prior to the application of the photoresist layer. In other words, any layer between the layer to be etched and the photoresist layer may be considered an underlayer. In one non-limiting example, illustrated in fig. 3, two bottom layers 204, 206 are applied over the first material layer 202.
As one example, the lower underlayer 204 may be a bottom anti-reflective coating (BARC). When a photoresist layer is applied to a reflective substrate, light reflection from the substrate/resist interface can produce variations in light exposure that cause problems in critical dimension (critical dimension, CD) control. For example, light may be reflected into areas exposed to undesirable effects, thereby altering the desired pattern. BARCs may be applied between the substrate and the photoresist layer to minimize or eliminate such problems. Examples of suitable BARCs include amorphous carbon and various organic polymers. The BARC layer is typically formed by spin coating, although other methods may be used. The BARC coating or film is then baked or cured to induce crosslinking and solvent removal and hardening of the BARC. In some particular embodiments, the baking occurs at a temperature of about 125 ℃ to about 275 ℃. In particular embodiments, the baking occurs for a time period of about 30 seconds to about 250 seconds. The baking may be performed using a hotplate or similar equipment.
As another example, the upper underlayer 206 may be a hard mask layer. The hard mask layer may be formed of a dielectric material, a metal, or other suitable material. Examples of suitable dielectric materials may include silicon carbide, silicon nitride, silicon oxycarbide, or silicon oxynitride. The hard mask layer may be formed by any suitable fabrication such as: chemical vapor deposition (chemical vapor deposition, CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), physical vapor deposition (physical vapor deposition, PVD), atomic layer deposition (atomic layer deposition, ALD), or spin-coating. The BARC layer and the hard mask layer are also typically selected to have significantly different etch selectivities for the same etchant. In combination with the photoresist layer, the multi-layer resist pattern may also help to improve line width roughness (line width roughness, LWR).
When a primer layer is used, the additives may be mixed with the material of the primer layer or applied to the primer layer, as indicated in optional step 104 of fig. 1A. This may be accomplished by applying the additive in a liquid phase (e.g., a treatment solution) or a gas phase, for example, by evaporating the treatment solution during baking/curing of the bottom layer.
Next, in step 105 of fig. 1A and as illustrated in fig. 4, a Photoresist (PR) layer 210 is applied over the substrate. The photoresist layer is formed by applying a photoresist solution containing a metal photoresist. If desired, the additive may be added directly to the photoresist solution. The photoresist solution may be applied, for example, by spin coating or by spray coating, roll coating, dip coating, or extrusion coating. Typically, in spin coating, the substrate is placed on a rotating platform, which may include a vacuum chuck that holds the substrate in place. A photoresist solution is then applied to the center of the substrate. The speed of the rotating platform is then increased to spread the resist evenly from the center of the substrate to the periphery of the substrate. The rotational speed of the platen is then fixed, which can control the thickness of the final photoresist layer. Fig. 4 shows the resulting structure after this step.
If desired, the additives may be mixed directly into the photoresist solution. Alternatively, as indicated in optional step 106 of fig. 1A, the additive may be applied after the photoresist layer has been applied. Furthermore, the additive may be applied in the liquid phase, for example by spraying or misting a treatment solution on the photoresist layer.
Next, in step 110 of fig. 1A, the photoresist solution is pre-baked to remove the solvent and harden the photoresist layer. This situation may also be referred to as soft baking. In some particular embodiments, the pre-baking occurs at a temperature of about 40 ℃ to about 100 ℃, including from about 250 ℃ to about 800 ℃, or from about 90 ℃ to about 110 ℃. The time for the pre-bake may depend on the thickness of the photoresist layer 210, with greater thickness, longer time, and in particular embodiments from about 10 seconds to about 10 minutes. Referring to fig. 4, the photoresist layer 210 may have a thickness 215 of about 10 nanometers to about 100 nanometers. The baking may be performed using a hotplate or oven or similar equipment. Thus, a photoresist layer is formed on the substrate. The substrate and other layers thereon are then cooled to room temperature.
As indicated in optional step 112 of fig. 1A, the additives may be applied during or after the pre-bake. The additive may be applied as a liquid or may be vaporized by heating occurring in a pre-bake step and applied as a gas.
Continuing, in step 115 of FIG. 1A, photoresist layer 210 is then patterned via exposure to radiation. The radiation may be any wavelength of light that carries the desired mask pattern. In a particular embodiment, EUV light having a wavelength of about 13.5nm is used for patterning, as this permits smaller feature sizes to be obtained. In other embodiments, electron-beam (e-beam) radiation is used. The electron beam may be characterized by an energy of the beam, which in some embodiments ranges from about 5 kilovolts (kV) to about 200kV. This step causes portions of the photoresist layer to be exposed to radiation and portions of the photoresist not to be exposed to radiation. This exposure causes some portions of the photoresist to remain dissolved in the developer and other portions of the photoresist to become insoluble in the developer. Referring now to fig. 5, photoresist layer 210 now includes dissolvable region 212 and non-dissolvable region 214. For reference purposes, it is noted that EUV and electron beam radiation exposure typically occurs under vacuum.
Optionally, in step 120 of fig. 1A, a post-exposure bake (post exposure bake, PEB) occurs after exposure to radiation. The PEB step can be used to complete any crosslinking within the photoresist, or any chemical amplification reaction that may occur (e.g., where only a metal crosslinking agent is used with the CAR). Furthermore, the PEB step may reduce the mechanical stresses that may be built up during the previous step. In some particular embodiments, PEB occurs at a temperature of about 40 ℃ to about 250 ℃, including about 100 ℃ to about 250 ℃, or about 90 ℃ to about 150 ℃. The PEB time may range from about 10 seconds to about 10 minutes and may vary depending on the thickness of the photoresist layer.
As indicated in optional step 122 of fig. 1A, the additives may be applied during or after post-exposure baking. The additive may be applied as a liquid or evaporated to enter the gas phase during the heating that occurs in the PEB step.
Next, in step 125 of fig. 1A, the photoresist layer 210 is developed using a developer. The developer may be applied by spin coating, spray coating, or other suitable process. The soluble portions of the photoresist layer are dissolved and rinsed during the development step, leaving behind a patterned photoresist layer. This can be done, for example, by spin coating. Suitable developers may include PGMEA, PGME, PGEE, GBL, cyclohexanone, ethyl lactate, methanol, ethanol, propanol, n-butanol, acetone, DMF, isopropyl alcohol (IPA), tetrahydrofuran, MIBC, n-butyl acetate, or MAK, i.e., solvents with solvents used in photoresist solutions. Other developers may include aqueous tetramethyl ammonium hydroxide (TMAH), isoamyl acetate, cyclohexanone, 5-methyl-2-hexanone, methyl-2-hydroxyisobutyrate, n-amyl, n-butyl propionate, n-hexyl acetate, n-butyl butyrate, isobutyl butyrate, 2, 5-dimethyl-4-hexanone, 2, 6-dimethyl-4-heptanone, propyl isobutyrate, or isobutyl propionate. Generally, any suitable developer may be used.
If desired, the additive may be directly mixed into the developer. Alternatively, as indicated in optional step 126 of fig. 1A, the additive may be applied after the developer has been applied and then the substrate is rinsed with water. Furthermore, the additive may be applied in the liquid phase, for example by spraying or misting the treatment solution. The resulting structure diagram is shown in fig. 6. As can be seen here, the soluble areas have been rinsed, resulting in a patterned photoresist layer.
In optional step 130 of fig. 1A, a post-development bake or "hard bake" may be performed after development. This may be done after development to stabilize the photoresist pattern for optimal performance of subsequent steps. In some particular embodiments, the hard bake occurs at a temperature of about 100 ℃ to about 160 ℃. The hard bake time may range from about 1 minute to about 10 minutes and may vary depending on the thickness of the photoresist layer.
As indicated in optional step 132 of fig. 1A, the additives may be applied during or after the hard bake. The additive may be applied as a liquid (i.e., a treatment solution) or evaporated to enter the gas phase during the heating that occurs in the hard bake step.
Continuing, portions of the first material layer 202 below the upper bottom layer 206, the lower bottom layer 204, and the patterned photoresist layer are now exposed.
In optional step 134 of FIG. 1A, the underlying layers 204, 206 are etched, thereby transferring the photoresist pattern to the underlying layers. Next, in step 140 of fig. 1A, the first material layer 202 is etched through the patterned photoresist layer, thereby transferring the photoresist pattern to the first material layer and obtaining the patterned material layer. Desirably, each of the etchants for these layers is significantly different from the etchants of the other layers, which improves LWR in the first material layer. It should be appreciated that the patterned material layer may in turn be the first material layer or the further substrate. In some embodiments, the first material layer is an insulating layer, and the etched pattern may then be filled with a conductive material to form a circuit within this insulating layer. In other alternative embodiments, the first material layer is a conductive layer and the etched pattern is then filled with an insulating material.
Typically, these etching steps may be performed using wet etching, dry etching, or plasma etching processes, such as reactive ion etching (reactive ion etching, RIE) or inductively coupled plasma (inductively coupled plasma, ICP), or combinations thereof, as appropriate. The etching may be anisotropic. Depending on the material, the etchant may include carbon tetrafluoride (CF 4 ) Hexafluoroethane (C) 2 F 6 ) Octafluoropropane (C) 3 F 8 ) Carbon fluoride (CHF) 3 ) Difluoromethane (CH) 2 F 2 ) Fluoromethane (CH) 3 F) Trifluoromethane (CHF) 3 ) Carbon fluoride, nitrogen (N) 2 ) Hydrogen (H) 2 ) Oxygen (O) 2 ) Argon (Ar), xenon (Xe), xenon difluoride (XeF) 2 ) Helium (He), carbon monoxide (CO), carbon dioxide (CO) 2 ) Fluorine (F) 2 ) Chlorine (Cl) 2 ) Oxygen (O) 2 ) Hydrogen bromide (HBr), hydrofluoric acid (HF), nitrogen trifluoride (NF) 3 ) Sulfur hexafluoride (SF) 6 ) Boron trichloride (BCl) 3 ) Ammonia (NH) 3 ) Bromine (Br) 2 ) Nitrogen trifluoride (NF) 3 ) Or the like, or a combination thereof. For example, hydrofluoric acid may be used as the silicon dioxideAmmonium fluoride is wet etched. Alternatively, the silicon dioxide may use CHF 3 、O 2 、CF 4 And/or H 2 Dry etching is performed.
Next, in step 145 of fig. 1A, the patterned photoresist layer 210 is removed. In optional step 146, the upper bottom layer 206 and the lower bottom layer 204 are removed. The photoresist layer, the upper underlayer and the lower underlayer may be removed using conventional means such as plasma stripping, solvent or chemical-mechanical planarization (CMP). The resulting structure is illustrated in fig. 7, wherein the first material layer 202 is patterned.
Referring now to FIG. 1B, two different treatment portions are more clearly depicted here. In the photoresist coating step 105 and the developing step 125, the additive may be directly mixed into the photoresist solution or the developing solution. This is indicated by dotted lines. Alternatively, the additive may be used in the form of a treatment solution applied to the photoresist. More desirably, the additive is used before, during, or after the step of energy addition to the photoresist. Those steps include a radiation exposure step 115 to which light energy or heat energy is added, a pre-bake step 110, and a post-exposure bake step 120. This is indicated by a solid line.
Fig. 8 is a schematic diagram, not drawn to scale, illustrating various components of an extreme ultraviolet (extreme ultraviolet, EUV) optical lithography system that produces radiation to which a photoresist is exposed. Generally, the EUV optical lithography system 800 begins with an Extreme Ultraviolet (EUV) light source 840 that produces EUV light or radiation. Downstream of the EUV light source is an illumination stage 850, where EUV light may be collected and focused into a beam, for example, using a field facet mirror (field facet mirror) 852 that splits the beam into multiple light channels. These light channels can then be directed onto the plane of the reticle using one or more relay mirrors 854. The mask 860 may include a pellicle 862 through which radiation passes before and/or after contacting the mask 862. Downstream of the reticle 860 is a projection optics module 870 configured to image a pattern of the reticle onto the semiconductor wafer substrate 200. Projection optics module 870 may include refractive or reflective optics for carrying an image of a pattern defined by a reticle. Mirror surfaces 872, 874 are shown. The lithography system may include other modules, or be integrated with or coupled to other modules.
Additional processing steps may be performed to fabricate semiconductor devices or integrated circuits. Examples of such steps may include ion implantation, deposition of other materials, etching, and the like.
The use of an additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group provides several advantages; or (ii) a plurality of aromatic groups bonded together via a bonding moiety. The radiation dose can be reduced by 5% or more while still obtaining a pattern with high resolution and good LWR. LWR may be less than 5.0 nanometers and the radiation dose may be less than 70mJ/cm 2 . The metal photoresist is deposited at an optimum energy (E op ) The performance can be improved by more than 3%, and the number of defects can be reduced by more than 5%. Processes with pitches (line edge to line edge) of 40 nm or less can be modified using additives along with photoresist.
Some embodiments of the present disclosure thus relate to methods of using photoresist solutions. The substrate is coated with a photoresist solution. The coated substrate is pre-baked to cure the photoresist solution and form a photoresist layer. The photoresist layer is then exposed to radiation to pattern the photoresist layer. An optional post-exposure bake of the coated substrate may be performed. The patterned photoresist layer is then developed using a developer. The photoresist solution or developer can include an additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety. Alternatively, the coated substrate is treated by a treatment solution comprising the additive during or after the coating, pre-bake, exposure, optional post-exposure bake or development steps. In some embodiments, the additive has the following structure:
Wherein Ar is an aromatic group; each of which is provided withOne L is independently saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -; . In some embodiments, wherein each x is independently 0 to 6; wherein each R is independently saturated C 1 To C 12 An alkyl group; wherein each y is 1 to 6; and wherein n is 1 up to the number of substitutable carbon atoms in Ar. In some embodiments, ar is phenyl, naphthyl, or phenanthryl. In some embodiments, each x is zero; or wherein n is 1 or 2. In some embodiments, each R is saturated with hydrogen or halogen. In some embodiments, the additive has a molecular weight of less than 220. In some embodiments, the additive comprises toluene, xylene, propylbenzene, 2-ethyltoluene, 4-isopropyltoluene, 1, 3-diethylbenzene, isopropylbenzene, 1, 2-diethylbenzene, or 4-t-butyltoluene. In some embodiments, the additive has the following structure:
wherein A is C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -; wherein each M 1 M and M 2 Independently a covalent bond, saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -; wherein each Ar is 1 Ar and Ar 2 Independently an aromatic group; wherein each L 1 L and L 2 C being independently saturated 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -; wherein each x and j is independently 0 to 6; wherein each R 1 R is R 2 C being independently saturated 1 To C 12 An alkyl group; wherein each y and k is independently 1 to 6; wherein each n is 0 up to Ar 1 The number of substitutable carbon atoms; each of which isv is 0 to Ar 2 The number of substitutable carbon atoms; and wherein m and w are independently 0 to 10, and m+w is at least 2. In some embodiments, the additive has one of the following chemical structures:
in some embodiments, each M 1 M and M 2 Is a covalent bond; each x and j is zero; and m+w=2. In some embodiments, the additive has a molecular weight of about 78 to about 290. In some embodiments, the additive has a molecular weight of about 78 to about 780. In some embodiments, the disposing of the treatment solution with the additive is performed by evaporating the treatment solution with the additive. In some embodiments, the treatment solution further comprises a solvent comprising Propylene Glycol Methyl Ether Acetate (PGMEA), propylene Glycol Monomethyl Ether (PGME), 1-ethoxy-2-Propanol (PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, dimethylformamide (DMF), isopropanol (IPA), tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (nBA), or 2-heptanone (MAK). In some embodiments, the additive is present in the treatment solution in an amount of about 0.01wt% to 100 wt%. In some embodiments, the additive is used in an amount of about 0.01wt% to about 50wt% relative to the metallic photoresist.
Other embodiments of the present disclosure relate to methods for improving photoresist performance. A photoresist layer is formed on the substrate. The photoresist layer comprises a metal photoresist. The photoresist layer is treated with a treatment solution containing additives. The additive comprises (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety. In some embodiments, the saturated terminal group is C 1 To C 12 Alkyl, or the linking moiety being C 1 To C 9 Alkyl, -COO-, -O-, -NH-or-CON-.
Finally, other embodiments of the present disclosure are directed to a photoresist solution. The photoresist solution comprises a metal photoresist; and an additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety. In some embodiments, the metal photoresist comprises Ag, cd, in, sn, sb, te, cs, au, hg, ti, pb, bi, po, at, ba, la or Ce.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the disclosure.

Claims (10)

1. A method of using a photoresist solution, comprising:
coating a substrate with a photoresist solution comprising a metal photoresist;
pre-baking the coated substrate to cure the photoresist solution and form a photoresist layer;
exposing the photoresist layer to radiation to pattern the photoresist layer;
optionally performing a post-exposure bake of the coated substrate;
developing the patterned photoresist layer using a developer;
hard baking the patterned photoresist layer as needed; and
Etching through the patterned photoresist layer to obtain a patterned material layer;
wherein (a) the photoresist solution or the developer comprises an additive, or (B) the coated substrate is treated during or after the coating, pre-bake, exposure, optional post-exposure bake or development steps by a treatment solution containing the additive;
wherein the additive comprises (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
2. The method of claim 1, wherein the additive has the structure of formula (1):
Wherein Ar is an aromatic group;
wherein each L is independently saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each x is independently 0 to 6;
wherein each R is independently saturated C 1 To C 12 An alkyl group;
wherein each y is 1 to 6; and is also provided with
Wherein n is 1 up to the number of substitutable carbon atoms in Ar.
3. The method of claim 2, wherein Ar is phenyl, naphthyl, or phenanthryl.
4. The method of claim 2, wherein each x is zero; or wherein n is 1 or 2.
5. The method of claim 2, wherein each R is saturated with hydrogen or halogen.
6. The method of claim 2, wherein the additive has a molecular weight of less than 220.
7. The process of claim 2, wherein the additive comprises toluene, xylene, propylbenzene, 2-ethyltoluene, 4-isopropyltoluene, 1, 3-diethylbenzene, isopropylbenzene, 1, 2-diethylbenzene, or 4-t-butyltoluene.
8. The method of claim 1, wherein the additive has the structure of formula (2):
wherein A is C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each M 1 M and M 2 Independently a covalent bond, saturated C 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each Ar is 1 Ar and Ar 2 Independently an aromatic group;
wherein each L 1 L and L 2 C being independently saturated 1 To C 9 Alkyl, -S-, -P (O) 2 -、-COS-、-COO-、-O-、-NH-、-CON-、-SO 2 O-、-SO 2 S-, -SO-, or-SO 2 -;
Wherein each x and j is independently 0 to 6;
wherein each R 1 R is R 2 C being independently saturated 1 To C 12 An alkyl group;
wherein each y and k is independently 1 to 6;
wherein each n is 0 up to Ar 1 The number of substitutable carbon atoms;
wherein each v is 0 up to Ar 2 Number of substitutable carbon atomsAn order; and is also provided with
Wherein m and w are independently 0 to 10, and m+w is at least 2.
9. A method for improving photoresist performance, comprising:
forming a photoresist layer, wherein the photoresist layer comprises a metal photoresist on a substrate;
disposing the photoresist layer through a treatment solution containing an additive;
wherein the additive comprises (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
10. A photoresist solution comprising:
A metal photoresist; and
An additive comprising (i) an aromatic group having one or more substituents with at least one saturated terminal group; or (ii) a plurality of aromatic groups bonded together via a bonding moiety.
CN202310911113.6A 2022-11-30 2023-07-24 Photoresist solution, method for using photoresist solution, and method for improving photoresist performance Pending CN117761968A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/428,833 2022-11-30
US202318093537A 2023-01-05 2023-01-05
US18/093,537 2023-01-05

Publications (1)

Publication Number Publication Date
CN117761968A true CN117761968A (en) 2024-03-26

Family

ID=90318836

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310911113.6A Pending CN117761968A (en) 2022-11-30 2023-07-24 Photoresist solution, method for using photoresist solution, and method for improving photoresist performance

Country Status (1)

Country Link
CN (1) CN117761968A (en)

Similar Documents

Publication Publication Date Title
TWI798185B (en) Method for lithography patterning
TWI387998B (en) A lithography method
US8258056B2 (en) Method and material for forming a double exposure lithography pattern
US7759253B2 (en) Method and material for forming a double exposure lithography pattern
KR102571376B1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
JP2016517633A (en) Deposition-deposited photoresist and manufacturing and lithography system therefor
EP3933506A1 (en) Method of manufacturing a semiconductor device and pattern formation method
US7875415B2 (en) Helical pixilated photoresist
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
CN117761968A (en) Photoresist solution, method for using photoresist solution, and method for improving photoresist performance
TW202205374A (en) Method of forming pattern in photoresist layer and method of manufacturing semiconductor device
US10388521B2 (en) Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
JP2012109322A (en) Pattern formation method
TWI761987B (en) Negative tone photoresist and developing method thereof
US20240030029A1 (en) Patterning Method Using Secondary Resist Surface Functionalization for Mask Formation
CN110941148B (en) Method for manufacturing semiconductor device
JP2012178394A (en) Method of manufacturing semiconductor device, semiconductor device and exposure apparatus
Shibayama et al. New functional surface treatment process and primers for high-NA EUV lithography
TW202201131A (en) Method for manufacturing semiconductor device and method for preventing outgassing of metal-containing photoresist
KR20210099692A (en) Photoresist composition, photolithography method using the same, and method of manufacturing semiconductor device using the same
CN114942568A (en) Material for forming organic film, substrate, method for forming organic film, method for forming pattern, and compound for forming organic film
CN110824845A (en) Semiconductor manufacturing process
JP2001264998A (en) Method for producing semiconductor device and organic antireflection film composition
KR20070122011A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination