CN106856163A - 一种高深宽比图形结构的形成方法 - Google Patents

一种高深宽比图形结构的形成方法 Download PDF

Info

Publication number
CN106856163A
CN106856163A CN201611048184.4A CN201611048184A CN106856163A CN 106856163 A CN106856163 A CN 106856163A CN 201611048184 A CN201611048184 A CN 201611048184A CN 106856163 A CN106856163 A CN 106856163A
Authority
CN
China
Prior art keywords
hard mask
mask layer
layer
photoresist
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201611048184.4A
Other languages
English (en)
Inventor
刘鹏
冯奇艳
任昱
朱骏
吕煜坤
张旭升
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN201611048184.4A priority Critical patent/CN106856163A/zh
Priority to US15/385,884 priority patent/US9991116B1/en
Publication of CN106856163A publication Critical patent/CN106856163A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0279Ionlithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种高深宽比图形结构的形成方法,通过在衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层以及光刻胶层,并在打开第一硬掩模层后,使用低温沉积工艺,在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层,使得在后续刻蚀有机物涂覆层并形成高深宽比光刻胶形貌时,可利用第二硬掩模层对图形顶部侧壁形成保护,因此可避免在高深宽比光刻胶刻蚀时由于等离子轰击造成的锥形或保龄球形形貌的产生,从而可改善选择比不够、图形边缘毛刺和关键尺寸变大的问题,并可使后续注入的离子能够按照器件设计的浓度进行分布。

Description

一种高深宽比图形结构的形成方法
技术领域
本发明涉及微电子领域,更具体地,涉及一种高深宽比图形结构的形成方法。
背景技术
在某些高能注入的工艺中,对线宽(space)已经要求达到0.15μm,而对光刻胶高度的要求也已达到4μm厚度,其深宽比已经大于20。
由于上述要求已经超过了传统光刻工艺的极限,因此,在这种高深宽比的工艺要求下,一般采用tri-layer(三层,例如由注入阻挡层、硬掩模层、光刻胶层形成的三层结构)的结构技术,利用刻蚀对光刻胶、硬掩模层、注入阻挡层这三层之间的选择比变化,把光刻胶的图形依次转移到下面的注入阻挡层上。
但是,在上述现有的高深宽比光刻胶刻蚀方法中,当注入阻挡层的厚度与关键尺寸之间的比例过大的时候,在刻蚀后图形的顶部就容易出现锥形或者保龄球形的光刻胶刻蚀形貌。此缺陷的存在将导致选择比不够和关键尺寸变大的问题。
此外,如果注入阻挡层太厚时,硬掩模层也容易出现边缘过刻蚀的问题,这将导致在注入阻挡层的图形边缘出现毛刺现象。
上述这些问题都会影响注入和器件特性。
因此,如何解决现有技术中存在的高深宽比光刻胶刻蚀后的锥形或保龄球形的形貌,以及解决产生的图形边缘毛刺问题,使注入的离子按照器件设计的浓度分布,成为业界亟需攻克的重要课题。
发明内容
本发明的目的在于克服现有技术存在的上述缺陷,提供一种高深宽比图形结构的形成方法,通过采用特殊的工艺步骤,可以改善高深宽比光刻胶刻蚀后的锥形和保龄球形貌,以及解决图形边缘存在的毛刺问题。
为实现上述目的,本发明的技术方案如下:
一种高深宽比图形结构的形成方法,包括以下步骤:
步骤S01:提供一半导体衬底,在所述衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层、光刻胶层;
步骤S02:图形化光刻胶层,并刻蚀打开第一硬掩模层,停止在有机物涂覆层;
步骤S03:在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层;
步骤S04:采用各向异性刻蚀去除图形结构表面和底部的第二硬掩模层,保留侧壁处的第二硬掩模层;
步骤S05:采用各向异性刻蚀有机物涂覆层,使得到的图形结构顶部和底部分别停止在第一硬掩模层和介质膜去胶停止层上,形成高深宽比光刻胶形貌;
步骤S06:去除图形结构顶部的第一、第二硬掩模层。
优选地,所述有机物涂覆层为SOC或者APF。
优选地,步骤S01中,采用低温化学气相沉积或者是旋转喷涂的工艺沉积形成第一硬掩模层。
优选地,步骤S02中,选择CF系等离子刻蚀工艺刻蚀打开第一硬掩模层,并通过调整条件中的C/F比调节第一硬掩模层形貌,以调节最终的关键尺寸。
优选地,步骤S03中,采用原位等离子体工艺或者是单独的薄膜沉积工艺形成第二硬掩模层。
优选地,所述第二硬掩模层为氧化硅、氮化硅、高分子聚合物或者金属络合物。
优选地,进行原位等离子体工艺沉积形成第二硬掩模层时,采用的反应气体为四氯化硅和氧气的混合气体。
优选地,步骤S03中,先通过各向异性等离子刻蚀工艺调整得到的图形结构侧壁的高度,并在此过程中将光刻胶层完全消耗去除,然后再形成第二硬掩模层,反应气体包括氧气或者二氧化硫。
优选地,步骤S05中,采用等离子刻蚀工艺刻蚀有机物涂覆层,反应气体包括氧气或者二氧化硫。
优选地,步骤S06中,采用各向同性等离子刻蚀工艺去除图形结构顶部的第一、第二硬掩模层,反应气体包括六氟化硫或者三氟化氮。
从上述技术方案可以看出,本发明在传统的tri-layer工艺的基础上,通过在衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层以及光刻胶层,并在打开第一硬掩模层后,使用低温沉积工艺,在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层,使得在后续刻蚀有机物涂覆层并形成高深宽比光刻胶形貌时,可利用第二硬掩模层对图形顶部侧壁形成保护,因此可避免在高深宽比光刻胶刻蚀时由于等离子轰击造成的锥形或保龄球形形貌的产生,从而可改善选择比不够、图形边缘毛刺和关键尺寸变大的问题,并可使后续注入的离子能够按照器件设计的浓度进行分布。
附图说明
图1是本发明一种高深宽比图形结构的形成方法流程图;
图2-图7是本发明一较佳实施例中根据图1的方法制作高深宽比图形结构时的工艺步骤示意图;
图8-图10是本发明另一较佳实施例中根据图1的方法制作高深宽比图形结构时的工艺步骤示意图;
图中:100、硅衬底;101、介质膜去胶停止层;201、有机物涂覆层;301、第一硬掩模层;401、光刻胶;501、第二硬掩模层。
具体实施方式
下面结合附图,对本发明的具体实施方式作进一步的详细说明。
需要说明的是,在下述的具体实施方式中,在详述本发明的实施方式时,为了清楚地表示本发明的结构以便于说明,特对附图中的结构不依照一般比例绘图,并进行了局部放大、变形及简化处理,因此,应避免以此作为对本发明的限定来加以理解。
在以下本发明的具体实施方式中,请参阅图1,图1是本发明一种高深宽比图形结构的形成方法流程图;同时,请参阅图2-图7,图2-图7是本发明一较佳实施例中根据图1的方法制作高深宽比图形结构时的工艺步骤示意图。如图1所示,本发明的一种高深宽比图形结构的形成方法,包括以下步骤:
如框01所示,执行步骤S01:提供一半导体衬底,在所述衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层、光刻胶层。
请参阅图2。可采用一个半导体晶圆形式的硅衬底100,在硅衬底100上依次沉积第一层介质膜去胶停止层101、第二层有机物涂覆层201、第三层第一硬掩模层301,以及第四层光刻胶401。其中,介质膜去胶停止层101可采用氮化硅形成,有机物涂覆层201可采用SOC(Spin on carbon,自旋碳)形成,第一硬掩模层301可采用氧化硅形成。
氮化硅介质膜去胶停止层101可以使用炉管或者化学气相沉积设备进行沉积,并根据器件的热预算进行选择;其厚度可为优选在之间。氮化硅介质膜去胶停止层101的膜质要根据SOC有机物涂覆层201、氧化硅第一硬掩模层301(以及第二硬掩模层)的膜质确定,因为在后续去除第一、第二硬掩模层和SOC步骤中,需要选择对氮化硅介质膜去胶停止层101高选择比的刻蚀工艺,以保护硅衬底。
在本实施例中,SOC有机物涂覆层的厚度可根据后续注入工艺的需求来确定,一般在2-10μm左右。由于SOC是含碳的有机物,在高温下容易挥发,因此在多层复合膜上面需要采用低温化学气相沉积或者是旋转喷涂的工艺沉积一层第一硬掩模层。本实施例中选择了氧化硅材料作为第一硬掩模层301。由于氧化硅对于SOC可以有很高的选择比,再考虑到对氧化膜的刻蚀情况,将其厚度选择为优选为光刻胶401的厚度要足够抵挡进行的第一硬掩模层301刻蚀,同时,其关键尺寸须有足够的光刻工艺窗口,因而其厚度一般选择为
作为可选的实施方式,介质膜去胶停止层101也可以采用氧化膜材料,例如氧化硅制作;有机物涂覆层201也可以采用APF(advanced pattern film,先进图形薄膜)制作;第一硬掩模层301也可以是采用低温化学气相沉积的氮化硅,或者是旋涂法涂覆的硅。
如框02所示,执行步骤S02:图形化光刻胶层,并刻蚀打开第一硬掩模层,停止在有机物涂覆层。
请参阅图3。可采用等离子刻蚀先打开氧化硅第一硬掩模层301,刻蚀条件可以选择CF系的等离子刻蚀工艺。
如果需要调整刻蚀关键尺寸时,可以在这一步调整刻蚀条件的C/F比例,以改变第一硬掩模层的形貌。
如框03所示,执行步骤S03:在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层。
请参阅图4。当采用现有技术进行高深宽比光刻胶刻蚀时,在最终图形顶部容易出现锥形或者保龄球形的光刻胶刻蚀形貌;因此,为了避免出现该缺陷形貌,本发明提出采用特殊工艺对图形顶部进行保护的优化方案,通过在上述图形结构表面和侧壁形成一层第二硬掩模层,实现对图形顶部的有效保护。
可通过在刻蚀腔体内采用原位等离子体工艺来生长一层氧化硅第二硬掩模层501,其将光刻胶401的图形表面及侧面、氧化硅第一硬掩模层301的侧面以及SOC有机物涂覆层201露出的表面及侧面全部覆盖起来。工艺中的反应气体可为四氯化硅(SiCl4)和氧气(O2)的混合气体,比较典型的条件是SiCl4和O2的流量比为1:2,反应压力为5-100mt,上电极射频功率在1000W以上,下电极功率为0-50W。因为一般在刻蚀腔体中的原位生长温度都在150℃以下,所以沉积的SiO2可以和光刻胶401或者是SOC有机物涂覆层201兼容,并具有高刻蚀选择比。这一步的作用是在图形侧壁形成一层氧化膜的保护层,其厚度可在之间。
也可以采用单独的薄膜沉积工艺形成第二硬掩模层。
氧化硅第二硬掩模层501沉积后,可存在一定的顶部突悬(overhang)形貌。
第二硬掩模层也可以采用氮化硅、高分子聚合物或者金属络合物形成。
如框04所示,执行步骤S04:采用各向异性刻蚀去除图形结构表面和底部的第二硬掩模层,保留侧壁处的第二硬掩模层。
请参阅图5。可使用刻蚀氧化膜的条件,以各向异性刻蚀打开图形结构水平方向(即表面)的氧化硅第二硬掩模层501,保留垂直方向(侧壁)的氧化硅第二硬掩模层501。
如框05所示,执行步骤S05:采用各向异性刻蚀有机物涂覆层,使得到的图形结构顶部和底部分别停止在第一硬掩模层和介质膜去胶停止层上,形成高深宽比光刻胶形貌。
请参阅图6。刻蚀时,可采用以O2或者SO2为刻蚀主要气体的等离子刻蚀工艺,因为这样的刻蚀条件下,SOC有机物涂覆层201对于氧化硅第一硬掩模层301和氮化硅介质膜去胶停止层101有很高的选择比。刻蚀中,处于光刻曝光区域的SOC有机物涂覆层201被打开,其刻蚀深度例如可在4μm左右;刻蚀停止在氮化硅介质膜去胶停止层101上。此过程中可以如图6所示将光刻胶401完全消耗去除,也可将剩余部分的光刻胶401留待后续去除。
如框06所示,执行步骤S06:去除图形结构顶部的第一、第二硬掩模层。
请参阅图7。可使用各向同性的等离子刻蚀工艺,刻蚀去除图形顶部剩余的氧化硅第一硬掩模层301和侧壁的氧化硅第二硬掩模层501,图形底部则停止在氮化硅介质膜去胶停止层101。前步骤中如有剩余的光刻胶,可在本步骤中一并去除。
增加氮化硅介质膜去胶停止层101的作用,是防止刻蚀对硅衬底的等离子体损伤和金属离子在工艺过程中扩散到器件的有源区。如果产品对等离子体损伤不敏感,也可以在膜层设计中去除这一层,并且在这一步刻蚀时停止在硅衬底100上。
对SiO2进行各向同性刻蚀的典型刻蚀气体可包括SF6或者NF3等气体。CF4在某些条件下也可以使用。
为了保证对氮化硅介质膜去胶停止层101的高选择比和各向同性的效果,腔体下部电极(提供等离子体轰击的负偏压)的射频功率一般设置为0W。
在上述步骤S03开始之前,还可以对步骤S02中得到的图形结构的侧壁高度预先进行精确调整。
请参阅图8-图10,图8-图10是本发明另一较佳实施例中根据图1的方法制作高深宽比图形结构时的工艺步骤示意图。如图8所示,可以通过另外增加一步以O2或者SO2为刻蚀主要气体的各向异性等离子刻蚀工艺,来精确调整得到的图形结构侧壁的高度。在这样的刻蚀条件下,使光刻胶401被完全消耗去除,同时向下刻蚀SOC有机物涂覆层201,得到所需高度的SOC有机物涂覆层201侧壁;而SOC有机物涂覆层201对于氧化硅第一硬掩模层301有很高的选择比,氧化硅第一硬掩模层301可以作为掩模层保持未显影部分的SOC有机物涂覆层201不被刻蚀。
最终图形顶部需要被保护的侧壁高度一般选择
然后,如图9所示,在得到的图形结构表面和侧壁形成一层与SOC有机物涂覆层201具有高刻蚀选择比的氧化硅第二硬掩模层501(即执行步骤S03);接着,采用各向异性刻蚀去除图形结构表面和底部的氧化硅第二硬掩模层501,保留侧壁处的氧化硅第二硬掩模层501(即执行步骤S04)。
在图8所示的步骤中,由于光刻胶401已被完全消耗,因而本步骤中的氧化硅第二硬掩模层501和氧化硅第一硬掩模层301将直接叠加在一起。这样图形顶部的氧化硅第二硬掩模层501加上氧化硅第一硬掩模层301的厚度将大于图形底部氧化硅第二硬掩模层501的厚度。同时,氧化硅第二硬掩模层501和氧化硅第一硬掩模层301直接叠加在一起,其之间没有了光刻胶的存在,也可以提高本步骤刻蚀时的工艺稳定性。
在如图9所示的执行步骤S04的刻蚀中,要特别注意过刻蚀量,氧化硅第二硬掩模层501的过刻蚀会消耗一定的氧化硅第一硬掩模层301,但是一定要控制过刻蚀量,以剩余足够的氧化硅第一硬掩模层301,作为后续刻蚀有机物涂覆层201时的硬掩模层。
接下来,如图10所示,对图9中得到的图形结构,采用各向异性刻蚀SOC有机物涂覆层201,使得到的图形结构顶部和底部分别停止在氧化硅第一硬掩模层301和氮化硅介质膜去胶停止层101上,形成高深宽比光刻胶形貌(即执行步骤S05)。进而可通过步骤S06得到图7的最终图形。
综上所述,本发明在传统的tri-layer工艺的基础上,通过在衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层以及光刻胶层,并在打开第一硬掩模层后,使用低温沉积工艺,在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层,使得在后续刻蚀有机物涂覆层并形成高深宽比光刻胶形貌时,可利用第二硬掩模层对图形顶部侧壁形成保护,因此可避免在高深宽比光刻胶刻蚀时由于等离子轰击造成的锥形或保龄球形形貌的产生,从而可改善选择比不够、图形边缘毛刺和关键尺寸变大的问题,并可使后续注入的离子能够按照器件设计的浓度进行分布。
以上所述的仅为本发明的优选实施例,所述实施例并非用以限制本发明的专利保护范围,因此凡是运用本发明的说明书及附图内容所作的等同结构变化,同理均应包含在本发明的保护范围内。

Claims (10)

1.一种高深宽比图形结构的形成方法,其特征在于,包括以下步骤:
步骤S01:提供一半导体衬底,在所述衬底上依次形成介质膜去胶停止层、有机物涂覆层、第一硬掩模层、光刻胶层;
步骤S02:图形化光刻胶层,并刻蚀打开第一硬掩模层,停止在有机物涂覆层;
步骤S03:在得到的图形结构表面和侧壁形成一层与有机物涂覆层具有高刻蚀选择比的第二硬掩模层;
步骤S04:采用各向异性刻蚀去除图形结构表面和底部的第二硬掩模层,保留侧壁处的第二硬掩模层;
步骤S05:采用各向异性刻蚀有机物涂覆层,使得到的图形结构顶部和底部分别停止在第一硬掩模层和介质膜去胶停止层上,形成高深宽比光刻胶形貌;
步骤S06:去除图形结构顶部的第一、第二硬掩模层。
2.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,所述有机物涂覆层为SOC或者APF。
3.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S01中,采用低温化学气相沉积或者是旋转喷涂的工艺沉积形成第一硬掩模层。
4.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S02中,选择CF系等离子刻蚀工艺刻蚀打开第一硬掩模层,并通过调整条件中的C/F比调节第一硬掩模层形貌,以调节最终的关键尺寸。
5.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S03中,采用原位等离子体工艺或者是单独的薄膜沉积工艺形成第二硬掩模层。
6.根据权利要求1或5所述的高深宽比图形结构的形成方法,其特征在于,所述第二硬掩模层为氧化硅、氮化硅、高分子聚合物或者金属络合物。
7.根据权利要求5所述的高深宽比图形结构的形成方法,其特征在于,进行原位等离子体工艺沉积形成第二硬掩模层时,采用的反应气体为四氯化硅和氧气的混合气体。
8.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S03中,先通过各向异性等离子刻蚀工艺调整得到的图形结构侧壁的高度,并在此过程中将光刻胶层完全消耗去除,然后再形成第二硬掩模层,反应气体包括氧气或者二氧化硫。
9.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S05中,采用等离子刻蚀工艺刻蚀有机物涂覆层,反应气体包括氧气或者二氧化硫。
10.根据权利要求1所述的高深宽比图形结构的形成方法,其特征在于,步骤S06中,采用各向同性等离子刻蚀工艺去除图形结构顶部的第一、第二硬掩模层,反应气体包括六氟化硫或者三氟化氮。
CN201611048184.4A 2016-11-22 2016-11-22 一种高深宽比图形结构的形成方法 Pending CN106856163A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201611048184.4A CN106856163A (zh) 2016-11-22 2016-11-22 一种高深宽比图形结构的形成方法
US15/385,884 US9991116B1 (en) 2016-11-22 2016-12-21 Method for forming high aspect ratio patterning structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201611048184.4A CN106856163A (zh) 2016-11-22 2016-11-22 一种高深宽比图形结构的形成方法

Publications (1)

Publication Number Publication Date
CN106856163A true CN106856163A (zh) 2017-06-16

Family

ID=59125610

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611048184.4A Pending CN106856163A (zh) 2016-11-22 2016-11-22 一种高深宽比图形结构的形成方法

Country Status (2)

Country Link
US (1) US9991116B1 (zh)
CN (1) CN106856163A (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107706091A (zh) * 2017-10-11 2018-02-16 京东方科技集团股份有限公司 薄膜电路及其制备方法
CN109326518A (zh) * 2018-08-17 2019-02-12 上海华力微电子有限公司 一种形成具有高深宽比图形的结构的方法
CN111243951A (zh) * 2020-01-22 2020-06-05 北京北方华创微电子装备有限公司 一种深硅刻蚀方法
CN111399348A (zh) * 2020-04-17 2020-07-10 淮北师范大学 一种抑制光刻胶图形结构坍塌与黏连的方法
CN111399349A (zh) * 2020-04-17 2020-07-10 淮北师范大学 一种高深宽比光刻胶图形处理方法
CN112420970A (zh) * 2020-11-19 2021-02-26 安徽熙泰智能科技有限公司 一种硅基Micro OLED微显示器件阳极侧壁保护刻蚀方法
WO2021042265A1 (zh) * 2019-09-03 2021-03-11 京东方科技集团股份有限公司 导光基板及其制备方法、对向基板、液晶显示装置
CN113851376A (zh) * 2020-06-28 2021-12-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2022001486A1 (zh) * 2020-07-02 2022-01-06 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
CN115032828A (zh) * 2021-03-04 2022-09-09 海信视像科技股份有限公司 一种显示装置和彩膜基板的制作方法
CN115172157A (zh) * 2022-07-06 2022-10-11 安徽华晟新能源科技有限公司 单晶硅片的绒面制备方法及太阳能电池
US11676810B2 (en) 2020-07-02 2023-06-13 Changxin Memory Technologies, Inc. Semiconductor structure processing method and forming method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US20210125830A1 (en) * 2019-10-23 2021-04-29 Nanya Technology Corporation Method of forming an ashable hard mask and patterning method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020185469A1 (en) * 1999-08-11 2002-12-12 Applied Materials, Inc. Method of micromachining a multi-part cavity
US20040219780A1 (en) * 2003-04-30 2004-11-04 Elpida Memory, Inc Manufacturing method of semiconductor device
CN101131927A (zh) * 2006-08-22 2008-02-27 兰姆研究有限公司 增强等离子体蚀刻性能的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100553839B1 (ko) * 2003-11-27 2006-02-24 삼성전자주식회사 캐패시터와 그 제조 방법, 이를 포함하는 반도체 장치 및그 제조 방법
US8877430B2 (en) * 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
KR101175247B1 (ko) * 2010-11-30 2012-08-21 에스케이하이닉스 주식회사 스페이서패터닝을 이용한 반도체장치 제조 방법
KR102462349B1 (ko) * 2014-08-14 2022-11-01 어플라이드 머티어리얼스, 인코포레이티드 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020185469A1 (en) * 1999-08-11 2002-12-12 Applied Materials, Inc. Method of micromachining a multi-part cavity
US20040219780A1 (en) * 2003-04-30 2004-11-04 Elpida Memory, Inc Manufacturing method of semiconductor device
CN101131927A (zh) * 2006-08-22 2008-02-27 兰姆研究有限公司 增强等离子体蚀刻性能的方法

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107706091B (zh) * 2017-10-11 2020-08-11 京东方科技集团股份有限公司 薄膜电路及其制备方法
CN107706091A (zh) * 2017-10-11 2018-02-16 京东方科技集团股份有限公司 薄膜电路及其制备方法
CN109326518A (zh) * 2018-08-17 2019-02-12 上海华力微电子有限公司 一种形成具有高深宽比图形的结构的方法
CN109326518B (zh) * 2018-08-17 2020-11-03 上海华力微电子有限公司 一种形成具有高深宽比图形的结构的方法
US11513277B2 (en) 2019-09-03 2022-11-29 Beijing Boe Technology Development Co., Ltd. Light guide substrate and method of manufacturing the same, opposite substrate and liquid crystal display apparatus
WO2021042265A1 (zh) * 2019-09-03 2021-03-11 京东方科技集团股份有限公司 导光基板及其制备方法、对向基板、液晶显示装置
CN112771424A (zh) * 2019-09-03 2021-05-07 京东方科技集团股份有限公司 导光基板及其制备方法、对向基板、液晶显示装置
CN111243951A (zh) * 2020-01-22 2020-06-05 北京北方华创微电子装备有限公司 一种深硅刻蚀方法
CN111399349B (zh) * 2020-04-17 2023-04-04 淮北师范大学 一种高深宽比光刻胶图形处理方法
CN111399348A (zh) * 2020-04-17 2020-07-10 淮北师范大学 一种抑制光刻胶图形结构坍塌与黏连的方法
CN111399348B (zh) * 2020-04-17 2023-03-31 淮北师范大学 一种抑制光刻胶图形结构坍塌与黏连的方法
CN111399349A (zh) * 2020-04-17 2020-07-10 淮北师范大学 一种高深宽比光刻胶图形处理方法
CN113851376A (zh) * 2020-06-28 2021-12-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN113851376B (zh) * 2020-06-28 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2022001486A1 (zh) * 2020-07-02 2022-01-06 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
US11676810B2 (en) 2020-07-02 2023-06-13 Changxin Memory Technologies, Inc. Semiconductor structure processing method and forming method
US11978636B2 (en) 2020-07-02 2024-05-07 Changxin Memory Technologies, Inc. Methods for processing semiconductor structures and methods for forming semiconductor structures
CN112420970A (zh) * 2020-11-19 2021-02-26 安徽熙泰智能科技有限公司 一种硅基Micro OLED微显示器件阳极侧壁保护刻蚀方法
CN112420970B (zh) * 2020-11-19 2022-10-28 安徽熙泰智能科技有限公司 一种硅基Micro OLED微显示器件阳极侧壁保护刻蚀方法
CN115032828A (zh) * 2021-03-04 2022-09-09 海信视像科技股份有限公司 一种显示装置和彩膜基板的制作方法
CN115172157A (zh) * 2022-07-06 2022-10-11 安徽华晟新能源科技有限公司 单晶硅片的绒面制备方法及太阳能电池

Also Published As

Publication number Publication date
US20180144929A1 (en) 2018-05-24
US9991116B1 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
CN106856163A (zh) 一种高深宽比图形结构的形成方法
US10600639B2 (en) SiN spacer profile patterning
US10062575B2 (en) Poly directional etch by oxidation
US10403507B2 (en) Shaped etch profile with oxidation
US20200118811A1 (en) Method for etching a carbon-containing feature
US7271106B2 (en) Critical dimension control for integrated circuits
US20080014533A1 (en) Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US10109498B2 (en) Composite patterning mask using angled ion beam deposition
US20190393035A1 (en) Selective atomic layer deposition (ald) of protective caps to enhance extreme ultra-violet (euv) etch resistance
KR100376001B1 (ko) 미세한 게이트 적층을 위한 폴리실리콘/폴리사이드 에칭방법
CN105225942B (zh) 刻蚀方法
CN103928304B (zh) 一种多晶硅上小尺寸图形结构的制备方法
CN106449378B (zh) 一种改善高深宽比光刻胶形貌的结构和方法
US10957550B2 (en) Semiconductor structure and formation method thereof
CN106206284B (zh) 改进型蚀刻工艺
TWI407264B (zh) Microcomputer and its application
US7435681B2 (en) Methods of etching stacks having metal layers and hard mask layers
KR100571629B1 (ko) 반도체 소자 제조 방법
US20130130503A1 (en) Method for fabricating ultra-fine nanowire
CN110896029B (zh) 刻蚀方法以及半导体器件的制造方法
CN103295894B (zh) 改善半导体器件不同区域关键尺寸差异的方法
CN104681416B (zh) 半导体器件和栅极的形成方法
CN107658290A (zh) 形成光刻对准标记的方法
CN113539971B (zh) 半导体结构及其形成方法
Chaplygin et al. Development and Research of a Deep Anisotropic Process for the Polysilicon Gates Implementation Using the ICP-RF System

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20170616