CN103031059B - 用于光刻蚀法的组合物和防反射涂层 - Google Patents

用于光刻蚀法的组合物和防反射涂层 Download PDF

Info

Publication number
CN103031059B
CN103031059B CN201210355169.XA CN201210355169A CN103031059B CN 103031059 B CN103031059 B CN 103031059B CN 201210355169 A CN201210355169 A CN 201210355169A CN 103031059 B CN103031059 B CN 103031059B
Authority
CN
China
Prior art keywords
compound
chamber
alkyl
flask
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210355169.XA
Other languages
English (en)
Other versions
CN103031059A (zh
Inventor
饶袁桥
R.L.奥格
J.D.韦弗
P.J.波帕
R.M.詹金斯
C.P.沙利文
J.P.伊万斯
C.W.基亚里
Y.N.斯里瓦斯塔瓦
小杰弗里.L.芬顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ROHM and HAAS ELECT MATERIALS
Dow Global Technologies LLC
Original Assignee
ROHM and HAAS ELECT MATERIALS
Dow Global Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ROHM and HAAS ELECT MATERIALS, Dow Global Technologies LLC filed Critical ROHM and HAAS ELECT MATERIALS
Publication of CN103031059A publication Critical patent/CN103031059A/zh
Application granted granted Critical
Publication of CN103031059B publication Critical patent/CN103031059B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Surface Treatment Of Optical Elements (AREA)

Abstract

本发明涉及用于光刻蚀法的组合物和防反射涂层。本发明提供包含至少以下组分的第一组合物:A)选自式1的化合物F1:(式1),其中Ra包括一个或多个多重键,条件是,如果Ra包括多于一个多重键,那么这些多重键不为共轭的构型;和R1、R2、和R3各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和B)选自式2的化合物F2(式2),其中Rb选自H或饱和的基团,所述饱和的基团包括烷基、烷撑、或烷叉;R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和C)选自式3的化合物F3:(式3),其中Rc包括一个或多个多重键,并且这些多重键为共轭的构型;R7、R8、和R9各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和D)选自式4的化合物F4:

Description

用于光刻蚀法的组合物和防反射涂层
相关申请的交叉引用
本申请要求2011年9月21日提交的美国临时申请61/537,098的优先权,其通过参考并入本申请。
技术领域
本发明涉及用于微电子应用的组合物,特别是涉及用于微电子应用的底部防反射涂层(或“BARCs”)。在微电子工业中,持续需要具有较小和更为限定的图案的微芯片。现在这些发展面临的问题包括形成的光致抗蚀剂外形的劣化(这是由于在光致抗蚀剂层和基底的界面上的反射造成的),和需要适应较短暴露波长并且具有足够的耐蚀刻性的薄抗蚀剂层。防反射涂层可以用于解决以上问题。制造防反射涂层的一种方法是通过化学气相沉积(CVD),这是昂贵的方法。需要简化光刻法(lithography)工艺并避免使用防反射层的昂贵真空涂覆。因此,需要防反射涂层的组合物,该涂层关于抗蚀具有高蚀刻选择性,并且可以通过旋涂法形成。
背景技术
美国公开2009/0148789公开了含硅的有机涂层组合物,特别是防反射涂层组合物,该组合物包含发色团部分,例如苯基,该基团与Si原子隔开。该公开也公开了含硅的底层组合物,该组合物作为液体(有机溶剂)组合物配制,并且其中溶剂组分中的至少一种溶剂包含羟基。
美国公开2007/0185298公开了可固化的有机硅酸酯组合物,使用其形成制造电子装置的一个或多个层。该组合物包括以下:(a)具有至少一个基团的烷氧基或酰氧基硅烷,所述基团包含烯键式不饱和度并且键接于硅原子;(b)具有至少一个基团的烷氧基或酰氧基硅烷,该基团包含芳环并且键接于硅原子;(c)潜在酸催化剂;和(d)至少一个键接于硅原子的C1-C6烷基的任选的烷氧基或酰氧基硅烷。
美国专利5,621,034公开了储存稳定的组合物,包括以下:(A)具有键接于硅原子的羟基和/或烷氧基的有机聚硅氧烷树脂;和(B)稳定剂,选自以下:(B1)具有至少两个羧基的脂族多羧酸,和(B2)具有至少两个羧基的脂族多羧酸的羧酸酐。
国际公开WO2009/088600公开了用于防反射涂层的硅倍半氧烷树脂,其中硅倍半氧烷树脂具有下式:(PhSiO(3-x)/2(OR′)x)m(HSiO(3-x)/2(OR′)x)n(MeSiO(3-x)/2(OR′)x)o(RSiO(3-x)/2(OR′)X)p(R2SiO(3-x)/2OR′)x)q。在该式中,Ph是苯基;Me是甲基;R选自含硫的有机官能团;R′是氢原子或具有1至4个碳原子的烃基团;R2选自酯基、聚醚基团;和聚环氧乙烷基团;x的值为0、1或2;m的值为0.01至0.97;n的值为0.01至0.97;o的值为0.01至0.97:p的值为0.01至0.97;q的值为0至0.96;m+n+o+p+q≈l。
美国专利7,417,104公开了包含以下组分的形成多孔膜的组合物:(A)通过具有式(1):R1 n-Si-R2 4-n的可水解硅烷的水解缩合获得的聚合物。在该式中,R1是单价有机基团或氢,R2是可水解基团或羟基(n是整数0至3),其水解产物或其部分缩合产物,条件是至少一种硅化合物具有作为R1的有机可交联基团。
美国公开2010/0086872公开了用于形成含金属氧化物的膜的“热固性含金属氧化物的形成膜的组合物”,该膜在用于光刻法的多层抗蚀剂法中形成。“热固性含金属氧化物的形成膜的组合物”包含至少以下组分:(A)含金属氧化物的化合物,通过可水解硅化合物和可水解金属化合物的水解缩合反应获得;(B)热交联加速剂;(C)具有1至30个碳原子的单价、二价、或高级有机酸;(D)三价或高级醇;和(E)有机溶剂。
美国专利6,268,457公开了用于深度紫外光刻蚀法(photolithography)的防反射涂层材料,其包括结合进玻璃上结网(spin-on-glass)材料的一种或多种有机染料。适宜的染料在小于260nm的波长附近例如248nm和193nm的波长范围强烈吸收光,这可以用于光刻蚀法。制备染色的玻璃上结网材料的方法包括在合成玻璃上结网材料的过程中使一种或多种有机染料与烷氧基硅烷反应物结合。
美国公开2005/0277058公开了形成防反射膜的组合物,其包含有机溶剂、交联剂、和包含光吸收基团的聚合物,该聚合物通过使多于一种类型的硅化合物、交联基团、和非交联基团水解和缩合得到。
美国公开2010/0210765公开了形成抗蚀剂底层膜的组合物。形成抗蚀剂底层膜的组合物包含以下组分:在主链中具有硅原子的聚合物;具有多环结构的化合物;和有机溶剂。具有多环结构的化合物具有至少两个羧基作为取代基;这两个羧基单独地键接于彼此邻近的两个碳原子,形成多环结构;两个羧基都具有内向构型或外向构型,或具有顺式构型。在主链中具有硅原子的聚合物可以由烷氧基硅烷的混合物形成。
防反射膜和/或其它电子应用的另外的组合物公开于以下参考文献:美国专利7303785,7736837,5100503;美国公开2005/0031964,和2009/0148789。
但是,本领域常规含硅的BARC组合物对于小的关键尺寸图案(<100nm)缺乏合适的光学性质和光刻性能。而且,一些常规组合物包含昂贵的和/或不稳定的组分。例如,一些组合物包含“含Si-H的”化合物,这通常可与自由基和可与含羟基的化合物(如醇和水)反应。一些组合物包含有机染料,这会增加与制造这样的组合物有关的成本。一些组合物包含昂贵的POSS(多面体低聚倍半硅氧烷((RSiO1.5)8)。一些组合物包含环氧倍半硅氧烷,这可能导致图案缺陷,例如浮渣(scum)。
因此,仍需要用作防反射层组合物的组合物,该组合物可以用于形成较小和较为限定的光刻图案。进一步需要关于蚀刻抗蚀剂层具有高蚀刻选择性的这样的组合物。进一步需要可以使用旋涂法形成为防反射层的有成本效益的组合物。这些需要和其它需要已经由以下的本发明满足。
发明内容
本发明提供包含至少以下组分的第一组合物:
A)选自式1的化合物F1:
(式1),
其中Ra包括一个或多个多重键(即,双键或三键),包括C=C、C≡C、C=O、C=N、和C≡N,条件是,如果Ra包括多于一个多重键,那么这些多重键不为共轭的构型;和R1、R2、和R3各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
B)选自式2的化合物F2
(式2),
其中Rb选自H或饱和的基团,所述饱和的基团包括烷基、烷撑、或烷叉;R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
C)选自式3的化合物F3:
(式3),
其中Rc包括多于一个多重键,包括C=C、C≡C、C=O、C=N、和C≡N,并且这些多重键为共轭的构型;R7、R8、和R9各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
D)选自式4的化合物F4:
(式4),
其中R10、R11、R12、和R13各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。
附图说明
图1描述了硅晶片上的三层膜结构的示意图。
图2是在光刻法之后产生的直线图案的横截面图,说明以下:(a)完美的方形图案;和(b)具有浮渣的有缺陷的图案。
图3描述了在光刻法之后晶片表面的“自顶向下”SEM图像,说明完全图案破坏(complete pattern collapse)。
图4是在光刻法之后晶片表面的“自顶向下”SEM图像,说明图案破坏极限(PatternCollapse Margin)。
图5是不具有浮渣的光刻法图案的SEM图像(实施例16,42nm沟槽/84nm节距(pitch))。
图6是具有浮渣的光刻法图案的SEM图像(对比例G,42nm沟槽/84nm节距)。
具体实施方式
如上讨论,本发明提供包含至少以下组分的第一组合物:
A)选自式1的化合物F1:
(式1),
其中Ra包括一个或多个多重键,包括C=C、C≡C、C=O、C=N、和C≡N,条件是,如果Ra包括多于一个多重键,那么这些多重键不为共轭的构型;和
R1、R2、和R3各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
B)选自式2的化合物F2
(式2),
其中Rb选自H或饱和的基团,所述饱和的基团包括烷基、烷撑、或烷叉;和
R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
C)选自式3的化合物F3:
(式3),
其中Rc包括多于一个多重键,包括C=C、C≡C、C=O、C=N、和C≡N,并且这些多重键为共轭的构型;和
R7、R8、和R9各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
D)选自式4的化合物F4:
(式4),
其中R10、R11、R12、和R13各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。
在一种实施方式中,第一组合物包含大于或等于5wt%的Si,或大于或等于10wt%的Si,或大于或等于15wt%的Si,基于化合物F1、F2、F3和F4的总重量。
在一种实施方式中,化合物F2和化合物F4的总摩尔量大于或等于40摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,化合物F2和化合物F4的总摩尔量小于或等于85摩尔%,或小于或等于80摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,化合物F4的存在量大于10摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,F1/F4的摩尔比为1/20至1/1,或为1/15至1/1,或为1/10至1/1。
在一种实施方式中,对于第一组合物,F1为5至50wt%,或为10至30wt%;F2为5至50wt%,或为10至40wt%;F3为2至20wt%,或为2至10wt%;F4为20至80wt%,或为30至80wt%。各重量百分比均基于第一组合物的重量。
在一种实施方式中,对于第一组合物,化合物F1的存在量为10至90摩尔%,进一步为15至90摩尔%,进一步为20至90摩尔%,进一步为25至90摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,对于第一组合物,化合物F1的存在量大于10摩尔%,进一步大于12摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,对于第一组合物,化合物F4的存在量为10至65摩尔%,进一步为10至60摩尔%,进一步为10至55摩尔%,进一步为10至50摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
在一种实施方式中,对于第一组合物,化合物F4的存在量小于65摩尔%,进一步小于60摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
第一组合物可以包括两种或更多种本申请描述的实施方式的组合。
本发明也提供由第一组合物形成的预聚物。在进一步的实施方式中,预聚物通过至少以下步骤形成:使第一组合物水解形成水解的产物,和使水解的产物缩合。
在一种实施方式中,预聚物的Mw为1,000至20,000克/摩尔,或为1,000至10,000克/摩尔,或为1,000至5,000克/摩尔,通过常规GPC确定。
在一种实施方式中,预聚物的Mw/Mn为1.1至6,或为1.2至5,或为1.5至4。
本发明预聚物可以包括两种或更多种本申请描述的实施方式的组合。
本发明也提供第二组合物,所述第二组合物包含本发明预聚物;和以下物质中的至少一种:含胺的化合物,含卤素的化合物,氢氯化物,含铵的化合物,或其混合物。
第二组合物可以包括两种或更多种本申请描述的实施方式的组合。
本发明也提供由第二组合物形成的交联的组合物。
本发明也提供包含至少一个由本发明组合物形成的部件的制品。
本发明也提供包含至少一个由第一组合物形成的部件的制品。
本发明也提供包含至少一个由第二组合物形成的部件的制品。
本发明也提供包含至少一个由本发明预聚物形成的部件的制品。
在一种实施方式中,制品是膜。
本发明也提供包括至少一个由本发明组合物形成的层的膜。在进一步的实施方式中,膜包括至少两个层。在进一步的实施方式中,第二层由包含至少一种聚合物的第三组合物形成。
本发明也提供包括至少两个层的膜,并且其中至少一个层是由本发明组合物形成的防反射层,所述本发明组合物例如第一组合物或第二组合物。在进一步的实施方式中,其它层是光致抗蚀剂层。
本发明也提供包括至少两个层的膜,并且其中至少一个层是由第一组合物形成的防反射层。在进一步的实施方式中,另一个层是光致抗蚀剂层。
本发明也提供包括至少两个层的膜,并且其中至少一个层是由第二组合物形成的防反射层。在进一步的实施方式中,另一个层是光致抗蚀剂层。
本发明制品可以包括两种或更多种本申请描述的实施方式的组合。
本发明膜可以包括两种或更多种本申请描述的实施方式的组合。
本发明也提供在基底上形成涂层的方法,所述方法包括至少以下步骤:
提供基底;
在所述基底上形成底层,其中所述底层包含至少一种聚合物;
将本发明第一组合物或本发明第二组合物施涂在所述底层上,和
使第一组合物或第二组合物固化形成所述涂层。
在进一步的实施方式中,该方法包括将包含至少一种光致抗蚀剂聚合物的至少一种组合物施涂在涂层上。
在一种实施方式中,将第一组合物或第二组合物的多个层施涂在底层上。
在一种实施方式中,涂层是防反射层。
本发明也提供在基底上形成涂层的方法,所述方法包括至少以下步骤:
提供基底;
将本发明第一组合物或本发明第二组合物施涂在基底的至少一部分上,或施涂在一个或多个施涂在所述基底上的中间层上;和
使第一组合物或第二组合物固化形成涂层。
在进一步的实施方式中,该方法包括将包含至少一种光致抗蚀剂聚合物的至少一种组合物施涂在涂层上。
在一种实施方式中,将第一组合物或第二组合物的多个层施涂在基底的至少一部分上,或施涂在一个或多个施涂在所述基底上的中间层上。
在一种实施方式中,涂层是防反射层。
本发明的方法可以包括两种或更多种本申请描述的实施方式的组合。
化合物F1-F4
化合物F1、F2、F3和F4如下描述。
A)选自式1的化合物F1:
(式1),
其中Ra包含一个或多个多重键,包括C=C、C≡C、C=O、C=N、和C≡N,条件是,如果Ra包含多于一个多重键,那么这些多重键不为共轭的构型;R1、R2、和R3各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。在进一步的实施方式中,R是烷基。
在一种实施方式中,Ra包含链烯基、炔基、酰亚胺基、腈基、酮基、酯基、酰胺基、或碳酸酯基中的一个或多个,并且其包含2至10个碳原子;R1、R2、和R3各自独立地选自OH、OR、或OC(O)R,其中R是C1-C10烷基或C1-C10取代的烷基。
在一种实施方式中,Ra包含链烯基、炔基、酰亚胺基、腈基、酮基、酯基、酰胺基、或碳酸酯基中的一个或多个,并且其包含2至10个碳原子;R1、R2、和R3各自独立地选自OH、OR、或OC(O)R,其中R是C1-C10烷基。
在一种实施方式中,Ra选自乙烯基、烯丙基、丙烯基、丁烯基、乙酰氧基、氰基乙基、乙酰乙基、或乙酰氨基丙基;R1、R2、和R3各自为OR,其中R各自独立地选自甲基,乙基,正丙基,2-丙基,正丁基,异丁基,或2-丁基。
在一种实施方式中,化合物F1选自乙烯基三甲氧基硅烷或乙烯基三乙氧基硅烷。
B)选自式2的化合物F2:
(式2),
其中Rb选自H或饱和基团,所述饱和基团包括烷基、烷撑、或烷叉;R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。在进一步的实施方式中,R是烷基。
在一种实施方式中,Rb选自饱和基团,其包括烷基、烷撑、或烷叉;R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。在进一步的实施方式中,R是烷基。
在一种实施方式中,Rb是包括以下的饱和基团:取代或未取代的C1-C10环状烷基,取代或未取代的C1-C10无环烷基,取代或未取代的C1-C10环状烷撑,取代或未取代的C1-C10无环烷撑,取代或未取代的C1-C10环状烷叉,取代或未取代的C1-C10无环烷叉,或H;或未取代的C1-C10环状烷基,未取代的C1-C10无环烷基,未取代的C1-C10环状烷撑,未取代的C1-C10无环烷撑,未取代的C1-C10环状烷叉,未取代的C1-C10无环烷叉,或H;或未取代的C1-C10环状烷基,未取代的C1-C10无环烷基,或H;或未取代的C1-C10环状烷基,或未取代的C1-C10无环烷基;或未取代的C1-C10无环烷基;和R4、R5、和R6各自独立地选自OH,OR,或OC(O)R,其中R是C1-C10烷基或C1-C10取代的烷基。在进一步的实施方式中,R是C1-C10烷基。
在一种实施方式中,Rb选自甲基,乙基,正丙基,2-丙基,正丁基,异丁基,或2-丁基;R4、R5、和R6各自为OR,其中R各自独立地选自甲基,乙基,正丙基,2-丙基,正丁基,异丁基,或2-丁基。
在一种实施方式中,化合物F2选自甲基三甲氧基硅烷或甲基三乙氧基硅烷。
C)选自式3的化合物F3:
(式3),
其中Rc包括多于一个多重键,包括C=C、C≡C、C=O、C=N、和C≡N,并且这些多重键为共轭的构型;R7、R8、和R9各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。在进一步的实施方式中,R是烷基。
在一种实施方式中,Rc包含芳基或取代的芳基,共轭二烯或共轭三烯,共轭二酮,共轭酮酯,α,β-不饱和酯,α,β-不饱和酮,与烯烃共轭的腈,与酮共轭的腈,与酯共轭的腈,与烯烃共轭的炔,与酮共轭的炔,或与酯共轭的炔;和R7、R8、和R9各自独立地选自OH、OR、或OC(O)R,其中R是C1-C10烷基或C1-C10取代的烷基。在进一步的实施方式中,R是C1-C10烷基。
在一种实施方式中,Rc包含苯基,萘基,蒽基,菲基,芴基,吡啶基,喹啉基,咪唑基,苯并咪唑基,吲哚基,咔唑基,呋喃基,苯并呋喃基,二苯并呋喃基,丙烯酰氧基,丙烯酰胺基,甲基丙烯酰氧基,或甲基丙烯酰胺基;和R7、R8、和R9各自为OR,其中R各自独立地选自甲基,乙基,正丙基,2-丙基,正丁基,异丁基,或2-丁基。
在一种实施方式中,化合物F3选自苯基三甲氧基硅烷或苯基三乙氧基硅烷。
D)选自式4的化合物F4:
(式4),
其中R10、R11、R12、和R13各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。在进一步的实施方式中,R是烷基。
在一种实施方式中,R10、R11、R12、和R13各自独立地选自OH、OR、或OC(O)R,其中R是C1-C10烷基或C1-C10取代的烷基。在进一步的实施方式中,R是C1-C10烷基。
在一种实施方式中,R10、R11、R12、和R13是OR,其中R各自独立地选自甲基,乙基,正丙基,2-丙基,正丁基,异丁基,或2-丁基。
在一种实施方式中,化合物F4选自正硅酸四甲酯或正硅酸四乙酯:
三层涂层
三层涂层,例如,三层抗蚀剂层,通常包括:(a)在基底上的可固化底层组合物;(b)施涂在可固化组合物上的硬掩模(hardmask)组合物(例如,由本申请描述的本发明组合物形成的硬掩模层);和(c)施涂在硬掩模组合物上的光致抗蚀剂组合物层。基底适当地为用于涉及光致抗蚀剂的方法的任何基底。例如,基底可以是硅,二氧化硅或铝-氧化铝微电子晶片。也可以使用砷化镓、碳化硅、陶瓷、石英或铜基底。也可以适当地使用用于液晶显示器或其它平板显示器应用的基底,例如玻璃基底,氧化铟锡涂布的基底等。也可以使用用于光学和光电子装置(例如波导)的基底。涂层组合物和光刻法描述于美国公开2007/0238052和美国公开2009/0148789,各自通过参考并入本申请。
多种光致抗蚀剂可以与本发明的涂层组合物组合(即,外涂布)一起使用。优选的光致抗蚀剂包括化学增强的抗蚀剂,特别是正作用(positive-acting)或负作用(negative-acting)光致抗蚀剂,其包含一种或多种光化酸(photoacid)发生剂化合物和树脂组分,该树脂组分包含在光产生的酸存在下经历脱封端(deblocking)或裂解反应的单元(例如光化酸不稳定的酯、缩醛、缩酮或醚单元)。
负作用光致抗蚀剂也可以与本发明涂层组合物一起使用,例如在暴露于活化辐射之后交联(即,固化或硬化)的抗蚀剂。与本发明的涂层组合物一起使用的优选的光致抗蚀剂可以用相对短波长辐射成像,例如,波长小于300nm、或小于260nm,例如约248nm的辐射,或波长小于约200nm,例如193nm的辐射。
适宜的光致抗蚀剂包含成像有效量的光化酸发生剂化合物和一种或多种树脂。适宜的树脂包括但不限于,i)包含酸不稳定基团的酚醛树脂(例如,参见美国专利6,042,997和5,492,793);ii)包含以下物质的聚合单元的聚合物:乙烯基苯酚,不包含羟基或羧基环取代基的任选取代的乙烯基苯基(例如,苯乙烯),和丙烯酸烷基酯例如关于以上聚合物i)描述的那些脱封端基团(deblocking group),例如描述于美国专利6,042,997的聚合物;和iii)包含将与光化酸反应的缩醛或缩酮部分的重复单元、和任选的芳族重复单元例如苯基或酚基团的聚合物;这样的聚合物已经描述于美国专利5,929,176和6,090,526。
另外的树脂包括基本上或完全不含苯基或其它芳基,并且可以提供特别适用于在200nm以下波长例如193nm成像的化学增强抗蚀剂的树脂。这种类型的优选树脂包括:i)包含非芳族环状烯烃(内环状双键)例如任选取代的降冰片烯的聚合单元的聚合物,例如描述于美国专利5,843,624、和6,048,664的聚合物;ii)包含丙烯酸烷基酯单元的聚合物,所述丙烯酸烷基酯例如丙烯酸叔丁酯,甲基丙烯酸叔丁酯,丙烯酸甲基金刚烷基酯,甲基丙烯酸甲基金刚烷基酯,和其它无环烷基和脂环族丙烯酸酯;这样的聚合物已经描述于美国专利6,057,083;欧洲公开的申请EP01008913A1和EP00930542A1,和iii)包含聚合的酐单元、特别是聚合的马来酸酐和/或衣康酸酐单元的聚合物,如公开于欧洲公开的申请EP01008913A1和美国专利6,048,662。
其它树脂包括包含具有杂原子的重复单元的树脂,杂原子特别是氧和/或硫(但是不同于酐,即该单元不包含羰基环原子),并且优选地基本上不含或完全不含任何芳族单元。优选地,杂脂环族环单元稠合于树脂主链,并且进一步优选的是其中树脂包含稠合的碳脂环族环单元(例如由降冰片烯基团的聚合提供)和/或酐单元(例如由马来酸酐或衣康酸酐的聚合提供)。同样,包含氟取代基的树脂(含氟聚合物),例如,可以由四氟乙烯、氟化的芳基例如氟苯乙烯化合物等的聚合反应提供。
定义
本申请使用的术语″组合物”包括构成组合物的物质的混合物、以及由组合物的物质形成的反应产物和分解产物。
本申请使用的术语″聚合物″是指是通过使相同或不同类型的单体聚合制备的高分子化合物。一般性术语聚合物因此包括术语均聚物(用来表示仅由一种类型的单体制备的聚合物,其中应理解痕量的杂质可以掺杂在聚合物结构中),和如下文所限定的术语互聚物。
本申请使用的术语“互聚物”是指通过至少两种不同类型的单体的聚合反应制备的聚合物。一般性术语互聚物包括共聚物(用来表示由两种不同单体制备的聚合物),和由多于两种不同类型的单体制备的聚合物。
本申请使用的术语“预聚物”是指分子量Mw(重均),例如为约500克/摩尔至100,000,g/mole,优选为500至50,000克/摩尔(由常规GPC确定,如以下描述)的聚合物。
本申请使用的术语“多重键”可以表示双键或三键。
本申请使用的术语“共轭构型”是指出现在化合物中的多重键的构型,其中两个多重键由一个单键分开,形成交替模式(例如,“双键–单键–双键”或“三键–单键–双键”或“双键–单键–三键”)。在共轭的构型中,多重键可以独立地为双键或三键。多于一个交替模式可以存在于具有键的共轭构型的化合物中。具有共轭键的化合物的实例是苯,1,4-丁二烯,呋喃,丙烯腈,和丙烯酸。
苯 1,4-丁二烯 呋喃 丙烯腈 丙烯酸
本申请使用的术语“含胺的化合物”是指包含至少一个、优选为一个胺基团(例如,伯胺、仲胺或叔胺(NH2或NH或N))的有机化合物。
本申请使用的术语“含卤素的化合物”是指包含至少一个、优选为一个卤素基团(例如,Cl、Br、F、优选为Cl)的有机化合物。
术语“包含”、“包括”、“具有”以及它们的派生词不排除任何另外的组分、步骤或过程的存在,而不管本申请是否特别披露过它们。为消除任何疑问,除非相反说明,否则所有本申请通过使用术语“包括”要求保护的组合物可以包括任何附加的添加剂、辅料、或化合物(不管是否为聚合的)。相反,除了对于操作性能不必要的那些,术语“基本上由...组成”将任何其它组分、步骤或过程排除在任何以下叙述的范围之外。术语“由...组成”不包括未特别描述或列出的组分、步骤或过程。
测试方法
GPC
预聚物的分子量通过凝胶渗透色谱(GPC),也称为尺寸排阻色谱(SEC)监测。该仪器装备有一组柱,这些柱适用于测量范围是约500克/摩尔至至少约100,000克/摩尔的分子量,基于苯乙烯校准标样。特别有效的是一组以串联方式安装的两个SHODEX LF-804GPC柱,8mm直径x300mm长度,购自Thomson Instrument Co.。流动相是四氢呋喃,并且以1mL/min的速率泵送。该仪器也装备有折射率检测器。校准使用聚苯乙烯标样进行,该标样购自Polymer Standards Service GmbH,Mainz,Germany。Mn、Mw、和MWD使用ChemStation软件的“GPC-addon”计算,购自Agilent Technologies,Inc.。
SEM
自顶向下和横截面图像都在Hitachi CG 4000SEM(Hitachi High TechnologiesAmerica,Inc)上测量。自顶向下测量在整个成像的晶片上进行。横截面通过经有用的特征剪切晶片、并用金或铱的薄层溅射涂敷晶片块获得。
实验
用于本发明的材料获自商业来源并且原样使用。原料的缩写和来源是:
VTMS:乙烯基三甲氧基硅烷(Sigma Aldrich,Dow Corning)
MTMS:甲基三甲氧基硅烷(Sigma Aldrich,Dow Corning)
PTMS:苯基三甲氧基硅烷(Sigma Aldrich,Dow Corning)
TEOS:正硅酸四乙酯(Sigma Aldrich,Dow Corning)
GlyTMS:缩水甘油氧基丙基三甲氧基硅烷(Glycidoxypropyltrimethoxysilane)(Sigma Aldrich,Dow Corning,Gelest)
PGMEA:丙二醇单甲醚乙酸酯(DOWANOL PMA,The Dow Chemical Company)
BTEAC:苄基三乙基氯化铵(Sigma Aldrich)
3N乙酸水溶液在实验室制备。冰乙酸由JT Baker提供。
0.1N盐酸水溶液在实验室制备。浓盐酸由Fisher提供。
表1列出了用于本发明的不同第一组合物。含量以摩尔%计,基于添加以制备组合物的摩尔数的总数。
表1.第一组合物的描述
不同的预聚物如表2A和2B中所示使用以上组合物合成。预聚物的详细合成过程在表2B之后的段落中描述。
表2A:预聚物的描述
表2B:预聚物的描述续
本发明预聚物和对比预聚物的合成
预聚物1
在装备有机械搅拌器和短程蒸馏设备的三颈圆底烧瓶中装入冰乙酸(0.52mL)和HPLC等级的亚微型过滤的水(20.52mL)以形成乙酸/水溶液。在装备有转运线(transferline)的塑料注射器中装入苯基三甲氧基硅烷(6.16g,31.1mmol)、甲基三甲氧基硅烷(21.64g,158.8mmol)、乙烯基三甲氧基硅烷(7.68g,51.8mmol)、正硅酸四乙酯(21.58g,103.6mmol)、和PGMEA(58.33mL)的预混合的溶液。使用注射器泵在1小时期间内,将烷氧基硅烷单体/PGMEA混合物逐滴添加到乙酸/水溶液中。在添加之后,将注射器转运线从圆底烧瓶入口移除,并用装备进玻璃接头的热电偶替代以监测内部反应温度。反应混合物在室温搅拌10分钟。然后将烧瓶放进温度受控的油浴中,将油浴温度设定至达到100°C的温度。缩合反应在氮气气氛下进行,同时通过蒸馏收集醇和水。将反应混合物加热2小时25分钟。然后用PGMEA(42mL)将浓反应混合物稀释至约20wt%固体,达到127.4g的最终重量。溶液经0.2μm PTFE隔膜过滤器过滤。
固体浓度通过在110°C的烘箱中干燥1g样品测定。分子量通过GPC确定:Mw,4152克/摩尔;Mn,1414克/摩尔;MWD,2.94。
预聚物2
在装备有机械搅拌器和短程蒸馏设备的三颈圆底烧瓶中装入苯基三甲氧基硅烷(6.16g,31.1mmol)、甲基三甲氧基硅烷(21.64g,158.8mmol)、乙烯基三甲氧基硅烷(7.68g,51.8mmol)、正硅酸四乙酯(21.58g,103.6mmol)、和PGMEA(58.33mL)的预混合的溶液。在装备有转运线的塑料注射器中装入冰乙酸(0.52mL)和HPLC等级的亚微型过滤的水(27.98mL)。在30分钟期间内,使用注射器泵将乙酸/水溶液添加到烷氧基硅烷单体/PGMEA混合物中。在添加之后,将注射器转运线从圆底烧瓶入口移除,并用装备进玻璃接头的热电偶替代以监测内部反应温度。将烧瓶放进温度受控的油浴中,将油浴温度设定至达到100°C的温度。缩合反应在氮气气氛下进行,同时通过蒸馏收集醇和水。将反应混合物加热两个半小时。然后用PGMEA(42mL)将浓反应混合物稀释至约20wt%固体,达到127g的最终重量。溶液经0.2μmPTFE隔膜过滤器过滤。固体浓度通过在110°C的烘箱中干燥1g样品测定。分子量通过GPC确定:Mw,4627克/摩尔;Mn,1709克/摩尔;PDI,2.71。
预聚物3
在装备有磁力搅拌器和短程蒸馏设备的250-mL三颈圆底烧瓶中装入3N乙酸(34.21g)。在装备有转运线的塑料注射器中装入苯基三甲氧基硅烷(8.82g)、甲基三甲氧基硅烷(17.49g)、乙烯基三甲氧基硅烷(10.96g)、正硅酸四乙酯(51.36g)、和PGMEA(82mL)的预混合的溶液。使用注射泵将单体溶液缓慢添加到反应烧瓶中。从添加单体开始的1小时之后,将烧瓶放进温度受控的油浴中,将油浴温度设定至100°C。在3小时之后,使油浴温度增加至110°C,并保持在该温度,直至反应混合物中的温度达到90°C。然后移开油浴,并使聚合物溶液冷却。添加第二等份的PGMEA,从而将聚合物溶液调节至20wt%固体。聚合物溶液的固含量通过在145°C的烘箱中加热聚合物溶液的样品1小时确定。聚合物的分子量通过GPC确定:Mw 3083克/摩尔;Mn 1396克/摩尔;MWD 2.21。
预聚物4
在装备有磁力搅拌器和Dean Stark设备的500-mL三颈圆底烧瓶中装入冰乙酸(13.33g)和水(59.98g)。在装备有转运线的塑料注射器中装入苯基三甲氧基硅烷(18.86g)、甲基三甲氧基硅烷(37.41g)、乙烯基三甲氧基硅烷(23.48g)、正硅酸四乙酯(110.04g)、和PGMEA(175.07g)的预混合的溶液。使用注射泵在1小时的期间内将单体溶液添加到反应烧瓶中。将烧瓶放入设定在100°C的温度受控的油浴中,并在该温度保持1小时。开始收集蒸馏物。使油浴温度增加至110°C,并保持在该温度,直至反应温度达到90°C,在该点移除加热浴,使聚合物溶液冷却。添加第二等份的PGMEA,从而将聚合物溶液调节至20wt%固体。聚合物溶液的固含量通过在145°C的烘箱中加热聚合物溶液的样品1小时确定。聚合物的分子量通过GPC确定:Mw 2443克/摩尔;Mn 1419克/摩尔;MWD 1.72。
预聚物5
在装备有机械搅拌器和短程蒸馏设备的250-mL三颈圆底烧瓶中装入3N乙酸(34.5g)。在装备有转运线的塑料注射器中装入苯基三甲氧基硅烷(8.81g)、甲基三甲氧基硅烷(17.46g)、乙烯基三甲氧基硅烷(10.95g)、正硅酸四乙酯(51.36g)、和PGMEA(82mL)的预混合的溶液。使用注射泵将单体溶液缓慢添加到反应烧瓶中。从添加单体开始的1小时之后,将烧瓶放入温度受控的油浴中,并将油浴温度设定在100°C。在3小时之后,油浴温度增加至110°C,并保持在该温度,直至反应温度达到90°C,在该点移除油浴,使聚合物溶液冷却。添加第二等份的PGMEA,从而将聚合物溶液调节至20wt%固体。聚合物溶液的固含量通过在145°C的烘箱中加热聚合物溶液的样品1小时确定。聚合物的分子量通过GPC确定:Mw2928克/摩尔。
预聚物6
将乙烯基三甲氧基硅烷(11.1g)、苯基三甲氧基硅烷(9g)、甲基三甲氧基硅烷(31.3g)、正硅酸四乙酯(31.1g)、和PGMEA(65g)混合并添加到玻璃注射器中。向500-mL的3-颈圆底烧瓶中装入3N乙酸(23.9g)。悬空搅拌器提供烧瓶中的混合。在室温使用注射器泵将硅烷/溶剂共混物添加到烧瓶中;总添加时间为70分钟。在添加硅烷之后,将Dean Stark气水分离器和氮气管线连接到烧瓶。将烧瓶放进油浴中,将油浴加热至100°C;在Dean Stark气水分离器中收集蒸馏物。在收集蒸馏物60分钟之后,将PGMEA(40g)缓慢添加到烧瓶中。将油浴加热至125°C,同时仍收集蒸馏物,并继续加热另外70分钟。收集总共57.8g的蒸馏物。将烧瓶从热源移除,移开Dean Stark气水分离器。重均分子量通过GPC确定为2590克/摩尔。将烧瓶放回到125°C的油浴中。在125°C 30分钟之后,从烧瓶取出样品,重均分子量通过GPC确定为约3790克/摩尔。在125°C继续加热另外30分钟。将烧瓶从油浴移开。重均分子量通过GPC确定为5040克/摩尔。所得硅氧烷聚合物溶液的浓度为23.46wt%,基于溶液的重量。
预聚物7
将乙烯基三甲氧基硅烷(11.1g)、苯基三甲氧基硅烷(9g)、甲基三甲氧基硅烷(27.9g)、正硅酸四乙酯(36.4g)、和PGMEA(65g)混合并添加到玻璃注射器中。向500-mL的3-颈圆底烧瓶中装入3N乙酸(24.1g)。悬空搅拌器提供烧瓶中的混合。在室温使用注射器泵将硅烷/溶剂共混物添加到烧瓶中;总添加时间为60分钟。在添加硅烷之后,将Dean Stark气水分离器和氮气管线连接到烧瓶。将烧瓶放进加热至100°C的油浴中,在Dean Stark气水分离器中收集蒸馏物60分钟。然后将PGMEA(40g)缓慢添加到烧瓶中。将油浴加热至125°C,同时仍收集蒸馏物,并继续加热另外60分钟。收集总共55.1g蒸馏物。将烧瓶从热源移除,并移除Dean Stark气水分离器。重均分子量通过GPC确定为3770克/摩尔。将烧瓶放回到125°C的油浴中。在125°C 15分钟之后,将烧瓶从油浴移开并冷却。重均分子量通过GPC确定为约4505克/摩尔。将烧瓶放回到125°C的油浴中;并继续加热另外16分钟。将烧瓶从油浴移开。重均分子量通过GPC确定为5620克/摩尔。所得硅氧烷聚合物溶液的浓度为27.29wt%,基于溶液的重量。
预聚物8
将乙烯基三甲氧基硅烷(11.1g)、苯基三甲氧基硅烷(9g)、甲基三甲氧基硅烷(24.5g)、41.6g正硅酸四乙酯(41.6g)、和PGMEA(65g)混合并添加到玻璃注射器中。向500-mL的3-颈圆底烧瓶中装入3N乙酸(24.3g)。悬空搅拌器提供烧瓶中的混合。在室温使用注射器泵将硅烷/溶剂共混物添加到烧瓶中;总添加时间为62分钟。在添加硅烷之后,将DeanStark气水分离器和氮气管线连接到烧瓶。将烧瓶放进加热至100°C的油浴中;在DeanStark气水分离器中收集蒸馏物。在收集蒸馏物51分钟之后,将PGMEA(50g)缓慢添加到烧瓶中。将油浴加热至125°C,同时仍收集蒸馏物另外45分钟。收集总共52.5g蒸馏物。将烧瓶从热源移除,并移除Dean Stark气水分离器。重均分子量通过GPC确定为3020克/摩尔。将烧瓶放回到125°C的油浴中。在125°C 16分钟之后,将烧瓶从油浴移开并使其冷却。重均分子量通过GPC确定为约3675克/摩尔。将烧瓶放回到125°C的油浴中;继续加热另外30分钟。将烧瓶从油浴移开。重均分子量通过GPC确定为4960克/摩尔。将烧瓶放回到125°C的油浴中;继续加热另外15分钟。将烧瓶从油浴移开。重均分子量通过GPC确定为5925克/摩尔。所得硅氧烷聚合物溶液的浓度为23.01wt%。
预聚物A
将乙烯基三甲氧基硅烷(65.9g)、苯基三甲氧基硅烷(5.9g)、和甲基三甲氧基硅烷(3.4g)混合并添加到玻璃注射器中。向500-mL的3-颈圆底烧瓶中装入3N乙酸(27g)。悬空搅拌器提供烧瓶中的混合。使用注射器泵将硅烷添加到烧瓶中;总添加时间为45分钟。在添加硅烷之后,将Dean Stark气水分离器和氮气管线连接到烧瓶。将烧瓶放进加热至100°C的油浴中。在Dean Stark气水分离器中收集蒸馏物45分钟之后,将PGMEA(75g)缓慢添加到烧瓶中。将油浴加热至125°C,同时收集蒸馏物另外75分钟。收集总共49.4g蒸馏物。将DeanStark气水分离器从烧瓶上移开。油浴在125°C保持另外95分钟;将烧瓶从油浴移开并停止加热。将烧瓶放回到125°C的油浴中另外60分钟。将烧瓶从油浴移开,并将35g的PGMEA添加到烧瓶中以帮助促进冷却。所得硅倍半氧烷聚合物的重均分子量通过GPC确定为约4255克/摩尔。目标重均分子量为5000克/摩尔。将烧瓶放回到125°C的油浴中另外61分钟,然后从油浴移除以确定分子量。重均分子量通过GPC确定为4625克/摩尔。将烧瓶放回到125°C的油浴中另外61分钟,然后从油浴移开以确定分子量。重均分子量通过GPC确定为5015克/摩尔。所得硅倍半氧烷聚合物溶液的浓度为22.71wt%。
预聚物B
将乙烯基三甲氧基硅烷(13.6g)、苯基三甲氧基硅烷(3.4g)、甲基三甲氧基硅烷(6.1g)、缩水甘油氧基丙基三甲氧基硅烷(10.8g)和PGMEA(80g)混合并添加到玻璃注射器中。向250-mL的3-颈圆底烧瓶中装入3N乙酸(9.2g)。悬空搅拌器提供烧瓶中的混合。在室温使用注射器泵将硅烷/溶剂共混物添加到烧瓶中;总添加时间为70分钟。在添加硅烷之后,将Dean Stark气水分离器和氮气管线连接到烧瓶。将烧瓶放进加热至100°C的油浴中。在DeanStark气水分离器中收集蒸馏物43分钟之后,将PGMEA(40g)缓慢添加到烧瓶中。将油浴加热至125°C,同时收集蒸馏物另外68分钟。收集总共12.3g蒸馏物。将烧瓶从热源移除,并移除Dean Stark气水分离器。重均分子量通过GPC确定为645克/摩尔。将烧瓶放回到125°C的油浴中,在120分钟之后,从烧瓶中取出样品,重均分子量通过GPC确定为约1130克/摩尔。继续加热另外300分钟。将烧瓶从油浴移开。重均分子量通过GPC确定为2050克/摩尔。将烧瓶放回到油浴中420分钟,在这之后重均分子量通过GPC确定为3460克/摩尔。将烧瓶放回到油浴中420分钟,在这之后重均分子量通过GPC确定为5480克/摩尔。所得硅倍半氧烷聚合物溶液的浓度为14.03wt%,基于溶液的重量。
预聚物C
3-颈250-mL烧瓶装备有温度探针,具有2英寸特氟纶桨的恒定RPM悬空搅拌器,和注射器泵添加接头。将蒸馏的硅烷单体苯基三甲氧基硅烷(7.03g,35.4mmol)、甲基三甲氧基硅烷(38.12g,279.8mmol)、和正硅酸四乙酯(16.42g,78.8mmol)称重并放入塑料瓶,转移至反应烧瓶,并用65.5mL的PGMEA稀释。盐酸(0.1N,3.95mL,3.95mmol)用HPLC-等级水(19.49mL,1082mmol)稀释,在量筒中混合,然后在30分钟期间内通过注射器泵在环境室温逐滴添加到硅烷溶液中。在酸/水溶液添加步骤完成之后,注射器泵添加接头用装备有氮气管线的短程蒸馏头替代,在这之后,然后通过设定点调为110°C的油浴加热溶液。在15分钟之后,将油浴温度设定点降至100°C。频繁移出反应等份(0.2mL),稀释在THF(1.0mL)中以通过GPC分析。在加热75分钟之后,聚合物溶液达到接近于目标(5000克/摩尔)的分子量。用另外PGMEA(46.8mL,342mmol)将溶液稀释至21.9wt%固体,基于溶液的总重量,并冷却至室温。将溶液在过量当量由Dow Chemical Co.生产的DOWEXMARATHON MR-3混合离子交换树脂上搅拌,并使其过滤通过“0.2μm”PTFE注射过滤器。分子量通过GPC测量:Mw 4079克/摩尔;Mn1798克/摩尔;MWD 2.27。
预聚物D
3-颈250-mL烧瓶装备有温度探针,具有2英寸特氟纶桨的恒定RPM悬空搅拌器,和注射器泵添加接头。将蒸馏的硅烷单体苯基三甲氧基硅烷(7.08g,35.7mmol)、甲基三甲氧基硅烷(32.96g,242.0mmol)、和正硅酸四乙酯(24.79g,119.0mmol)称重并放入塑料瓶,转移至反应烧瓶,并用65.3mL的PGMEA稀释。盐酸(0.1N,0.40mL,0.04mmol)用HPLC-等级水(23.2mL,1287mmol)稀释,在量筒中混合,然后在30分钟期间内通过注射器泵在环境室温逐滴添加到硅烷溶液中。在酸/水溶液添加步骤完成之后,注射器泵添加接头用装备有氮气管线的短程蒸馏头替代。在30分钟的酸添加时间之后,在环境温度将物质混合60分钟,然后通过设定点调为100°C的油浴加热溶液。在5小时之后,将油浴温度设定点增至120°C。频繁移出反应等份(0.2mL),稀释在THF(1.0mL)中以通过GPC分析。在加热6小时之后,聚合物溶液达到接近于目标(5000克/摩尔)的分子量。用另外PGMEA(45.5mL)将溶液稀释至22.4wt%固体,并冷却至室温。聚合物溶液在过量当量DOWEX MARATHON MR-3混合离子交换树脂上搅拌。使溶液过滤通过“0.2μm”PTFE注射过滤器,并以约15wt%固体在冷藏器中储存。分子量通过GPC测量:Mw 7782克/摩尔;Mn 2294克/摩尔;MWD 3.39。
预聚物E
将250mL的3颈圆底烧瓶放进20°C水浴中。在烧瓶上安装连接于空气发动机的搅拌器、水套冷凝器、连接于温度控制器的热电偶、和加料漏斗。将酸化的水(21.6g,1.2摩尔,3N乙酸)添加到烧瓶中。将乙烯基三甲氧基硅烷(53.3g,0.360摩尔)和苯基三甲氧基硅烷(7.94g,0.040摩尔)预混合,并添加到加料漏斗中。在45分钟的期间内将硅烷添加到反应器中。在添加完成之后,移除水浴,将加热套放在烧瓶下。移除加料漏斗,将Dean Stark气水分离器和氮气吹扫管线连接。在设定在100°C的油浴中缓慢加热溶液。当加热时,在气水分离器中收集蒸馏物。当反应达到100°C时,添加100g的PGMEA。将油浴缓慢加热至125°C,同时收集另外的蒸馏物。当油浴温度达到125°C时,移除气水分离器。在3小时之后,停止加热,添加75g的PGMEA,将溶液混合直至其冷却至室温。
预聚物6’
3-颈250-mL烧瓶装备有温度探针,具有2英寸特氟纶桨的恒定RPM悬空搅拌器,和注射器泵添加接头。将蒸馏的硅烷单体苯基三甲氧基硅烷(7.91g,39.9mmol)、甲基三甲氧基硅烷(18.10g,132.9mmol)、乙烯基三甲氧基硅烷(36.74g,247.9mmol)、和正硅酸四乙酯(4.61g,22.1mmol)称重并放入塑料瓶,转移至反应烧瓶,并用81.65mL的PGMEA稀释。冰乙酸(5.5mL,94mmol)用HPLC-等级水(21.9mL,1215mmol)稀释,在量筒中混合,然后在60分钟期间内通过注射器泵在环境室温逐滴添加到硅烷溶液中。在酸/水溶液添加步骤完成之后,注射器泵添加接头用装备有氮气管线的短程蒸馏头替代。在60分钟的酸添加时间之后,在环境温度将物质混合60分钟,然后通过设定点调为100°C的油浴加热溶液。频繁移出反应等份(0.2mL),稀释在THF(1.0mL)中以通过GPC分析。在加热9.5小时之后,聚合物溶液达到接近于目标(5000克/摩尔)的分子量。用另外PGMEA(58.3mL)将溶液稀释至21.0wt%固体,并冷却至室温。使树脂溶液过滤通过“0.2μm”PTFE注射过滤器,聚合物溶液以约20wt%固体在冷藏器中储存。分子量通过GPC测量:Mw 4527克/摩尔;Mn 1793克/摩尔;MWD 2.52。
预聚物7’
3-颈500-mL烧瓶装备有温度探针,具有2英寸特氟纶桨的恒定RPM悬空搅拌器,和注射器泵添加接头。将蒸馏的硅烷单体苯基三甲氧基硅烷(11.65g,58.7mmol)、甲基三甲氧基硅烷(44.42g,326.0mmol)、乙烯基三甲氧基硅烷(34.85g,234.7mmol)和正硅酸四乙酯(6.79g,32.6mmol)称重并放入塑料瓶,转移至反应烧瓶,并用116.7mL的PGMEA稀释。冰乙酸(8.10mL,138mmol)用HPLC-等级水(32.3mL,1792mmol)稀释,在量筒中混合,然后在60分钟期间内通过注射器泵在环境室温逐滴添加到硅烷溶液中。在酸/水溶液添加步骤完成之后,注射器泵添加接头用装备有氮气管线的短程蒸馏头替代。在60分钟的酸添加时间之后,在环境温度将物质混合60分钟,然后通过设定点调为100°C的油浴加热溶液。频繁移出反应等份(0.2mL),稀释在THF(1.0mL)中以通过GPC分析。在加热10.5小时之后,聚合物溶液达到接近于目标(5000克/摩尔)的分子量。用另外PGMEA(83.3mL)将溶液稀释至22.3wt%固体,并冷却至室温。使树脂溶液过滤通过“0.2μm”PTFE注射过滤器,聚合物溶液以约20wt%固体在冷藏器中储存。分子量通过GPC测量;Mw 4384克/摩尔;Mn 1722克/摩尔;MWD 2.55。
预聚物8’
在装备有磁力搅拌器和短程蒸馏设备的250mL的3颈圆底烧瓶中装入苯基三甲氧基硅烷(4.86g)、甲基三甲氧基硅烷(22.24g)、和乙烯基三甲氧基硅烷(20.57g)。将冰乙酸(5.15g)和水(23.53g)的溶液添加到烧瓶中,并开始搅拌。然后添加正硅酸四乙酯(17.01g),将混合物在室温搅拌60分钟。随着水解进行,最初相分离的反应混合物均化,从而得到澄清的溶液,观察到放热。添加PGMEA(70g),并将烧瓶放进温度受控的油浴中。将油浴加热至100°C并保持1小时,然后增加至110°C并保持1小时,然后增加至120°C。当反应混合物的温度达到100°C时,移除加热浴,使聚合物溶液冷却。添加第二等份的PGMEA,从而将聚合物溶液调节至20wt%固体。聚合物溶液的固含量通过在145°C的烘箱中加热聚合物溶液的小样1小时确定。聚合物的分子量通过GPC确定:Mw 2071;Mn 1268;MWD 1.63。
预聚物9’
在装备有磁力搅拌器和短程蒸馏设备的250mL的3颈圆底烧瓶中装入苯基三甲氧基硅烷(4.84g)、甲基三甲氧基硅烷(22.24g)、和乙烯基三甲氧基硅烷(20.58g)。将由“0.1NHCl(0.41g)”和水(23.22g)制备的溶液添加到烧瓶中,并开始搅拌。然后,添加正硅酸四乙酯(17.02g),将混合物在室温搅拌60分钟。随着水解进行,最初相分离的反应混合物均化,从而得到澄清的溶液,观察到放热。添加PGMEA(50g),并将烧瓶放进温度受控的油浴中。将油浴加热至100°C并保持1小时,然后增加至110°C并保持1小时,然后增加至120°C。当反应混合物的温度达到100°C时,移除加热浴,使聚合物溶液冷却。添加第二等份的PGMEA,从而将聚合物溶液调节至20wt%固体。残留的酸通过使聚合物溶液穿过填装有AMBERLITE IRN150混合床离子交换树脂的离子交换柱移除,该交换树脂由The Dow Chemical Company生产。聚合物溶液的固含量通过在145°C的烘箱中加热聚合物溶液的小样1小时确定。聚合物的分子量通过GPC确定:Mw 2925;Mn 1540;MWD 1.9。
第二组合物的形成
除非另有指出,否则除了第二组合物9’,所有的第二组合物按照本申请描述的通用过程形成。
将指定的预聚物(37.5g)、BTEAC(0.37g)、和PGMEA(263g)添加到塑料瓶中,充分混合,并过滤通过0.2μm PVDF过滤器,以形成各第二组合物。
对于第二组合物9’,将预聚物8(37.5g)和PGMEA(263g)添加到塑料瓶中,充分混合,并过滤通过“0.2μm”PVDF过滤器以形成第二组合物9’。表3总结了用于该研究的所有第二组合物。
表3:第二组合物的描述
形成ArF正色调显影(Positive Tone Development)(PTD)光致抗蚀剂
适当的正色调显影光致抗蚀剂由以下混合物形成:28.60g丙二醇甲基醚乙酸酯,19.30g环己酮,48.25g羟基丁酸甲酯,3.02g甲基丙烯酸异丙基-金刚烷基酯、甲基丙烯酸甲基环戊基酯,甲基丙烯酸(3aR,4s,5R,7S,7aR)-3-氧八氢-4,7-环氧基-异苯并呋喃-5-基酯,甲基丙烯酸羟基金刚烷基酯以各自20/20/30/20/10的摩尔比和9,000的重均分子量的共聚物,0.46g(4-(叔丁基)苯基)二苯基锍1,1-二氟-2-(((1r,3s,5R,7S)-3-羟基金刚烷-1-基)甲氧基)-2-氧乙烷磺酸盐,0.04g叔丁基(1,3-二羟基-2-(羟基甲基)-丙烷-2-基)氨基甲酸酯,和0.01g PolyFox 656氟化的表面均化剂。
形成ArF负色调显影(Negative Tone Development)(NTD)光致抗蚀剂
适当的负色调显影光致抗蚀剂由以下混合物形成:28.95g丙二醇甲基醚乙酸酯,19.30g环己酮,48.25g羟基丁酸甲酯,2.89g甲基丙烯酸(2,2-二甲基-1,3-二氧戊环-4-基)甲基酯、甲基丙烯酸5-(2,2-二甲基-1,3-二氧戊环-4-基)-2,2-二甲基四氢呋喃基[2,3-d][1,3]二氧戊环-6-基酯(5-(2,2-dimethyl-1,3-dioxolan-4-yl)-2,2-dimethyltetrahydrofuro[2,3-d][1,3]dioxol-6-yl methacrylate)、甲基丙烯酸甲基金刚烷基酯/甲基丙烯酸5-氧代-4-氧杂-三环壬-2-基氧羰基甲基酯、丙烯酸羟基金刚烷基酯以各自25/25/40/10的摩尔比和22,000的重均分子量的共聚物,0.49g三苯基-锍-1,1,2,2-四氟-4-((4-(13-甲基-3,7,12-三氧代十六氢-1H-环戊[a]菲-17-基)戊酰基)氧)丁烷-1-磺酸盐,0.07g重均分子量为10,000的甲基丙烯酸正丁酯的聚合物,0.05g十二烷基二乙醇胺,和0.01g PolyFox 656氟化的表面均化剂。
单层涂层的形成
在绝对无尘室环境(约72°F,约50%RH,等级100)中,得自WaferNet Inc.的未涂底漆的“200mm”直径硅晶片用作基底。在Si晶片上,手动散布第二组合物,在Tokyo Electron(TEL)ACT-8涂覆轨道上旋涂以达到35nm的标称膜厚度(在THERMA-WAVE光谱椭率计上测得)。涂布的晶片在240°C烘烤60秒。单层涂层总结于表4A、4B和4C。
表4A:单层涂层的描述
表4B:单层涂层的描述续
表4C:单层涂层的描述续
单层A 单层B 单层C 单层D
第二组合物C 第二组合物D 第二组合物A 第二组合物E
单层涂层上的测试方法
测量光学性质(n,k,在193nm)和膜厚度
膜的光学性质和厚度使用WOOLAM VUV-VASE VU-302椭率计(Woolam,NE)测量。膜在“200mm”直径的裸硅晶片上涂布,如以上单层涂层部分所述。偏振数据在170nm至900nm的波长范围内在三个角度收集。数据自动产生,从而获得膜的厚度和在193nm的折射率(n,k),其中n是复数折射率的实数部分,k是复数折射率的虚数部分。
表5总结了本发明实施例和对比例在193nm的折射率n,k。反射的最小化取决于防反射层的光学常数和厚度。当使用20nm至50nm的所需厚度时,计算机使用PROLITH软件V10.0(KLA-Tencor Corporation)进行模拟,表明在193nm,n不小于1.67和k为0.15至0.3,从而降低反射至小于0.5%。如表5所示,所有的本发明实施例的n值和k值在所需范围内,两个对比例各自的n值均低于所需。因此本发明实施例在控制反射方面可以提供良好的性能,因此是良好的防反射层,而对比例在该方面较差。
表5:本发明实施例和对比例的光学性质
n k
本发明 本发明
实施例1 单层1 1.67 0.21
实施例2 单层2 1.68 0.22
实施例3 单层3 1.69 0.23
实施例4 单层4 1.68 0.22
实施例5 单层5 1.68 0.22
实施例6 单层6 1.67 0.21
实施例7 单层7 1.67 0.20
实施例8 单层8 1.67 0.20
对比 对比
对比例A 单层A 1.65 0.19
对比例B 单层B 1.66 0.19
测量水接触角
如上讨论,单层涂层在涂布的1小时内分析。DATAPHYSICS Instruments GmbH,型号OCA20测角器用于所有的接触角测量。去离子水用作测试液体。1微升液滴用于各次接触角测量。在液滴散布在单层涂层的表面上之后,对于各次测量使用测角器相机以3帧/秒的最小速率记录最少10秒的液滴运动。当测角器针已经完全从视野范围内移开并且不存在液滴运动时,第一个液滴图像用于确定接触角。接触角使用OCA软件中的环形模型(circularmodel)评价。在整个单层涂层上最少进行三次单独的测量(三个液滴每单层涂层)。接触角测量的典型标准偏差为约0.2度。
表6总结了本发明实施例和对比例的水接触角结果。为在光刻蚀工艺过程中获得良好的图案,膜品质是关键的。本发明的层很可能用于多层方案,其中在本发明的层的顶部将涂布另一个层。如果本发明层的表面能过低(通过高水接触角指示),缺陷例如脱湿(dewets)(这在膜没有完全覆盖涂布区域时发生)可能发生在本发明层上面的膜层。为使邻近层的表面缺陷最小化,本发明层的水接触角优选为小于87°。如表6所示,所有的本发明实施例的水接触角小于对比例的接触角,本发明实施例1-8的接触角小于87°。对比之下,对比例C和D的水接触角分别为90°、93°。
表6:本发明实施例和对比例的水接触角
本发明 本发明 水CA
实施例1 单层1 80
实施例2 单层2 79
实施例3 单层3 70
实施例4 单层4 79
实施例5 单层5 77
实施例6 单层6 83
实施例7 单层7 77
实施例8 单层8 75
实施例9′ 单层9′ 87.5
实施例10′ 单层10′ 88.6
对比 对比 水CA
对比例C 单层C 90
对比例D 单层D 93
形成双层涂层
膜按照形成单层涂层部分所描述地进行涂布。然后将ArF PTD光致抗蚀剂通过旋涂施涂于涂布的晶片上,将涂布的晶片适度烘烤(soft-baked)100°C达60秒,从而得到100nm的光致抗蚀剂膜厚度(在Therma-Wave光谱椭率计上测得)。双层的概要提供于表7。
表7:双层的描述
评价双层涂层的粘合性
在双层涂层形成之后,进行粘合性测试。粘合性测试使用根据ASTMD3359牵拉的带。首先,将一条Scotch带(3M,MN)牢固地按压在ArF PTD光致抗蚀剂涂层上。粘合部分的长度为1英寸,剩余连接的自由部分为至少1英寸。用两个手指夹紧带的自由部分并以约270°的与涂层表面的夹角快速向后拉。在牵拉带之后,视觉检查剩余的涂层,涂层上转移至带的面积评价为粘合至涂层的带表面积的百分比。“0%剥离”表示良好的粘合性,而“100%剥离”表示粘合性失效。将测试重复至少五次,报告粘合的涂层的面积的百分比,如表8所示。
图案光刻法的三层涂层需要具有良好的层间粘合性。如果粘合性弱,在光刻过程中可能发生分层,或者当粘合力小于不同类型的力(例如剩余力、热应力、溶剂溶胀应力、和毛细管力)时可能发生图案破坏。表8表明,所有的本发明实施例都具有良好的粘合性,而对比例具有差的层间粘合性。
表8:本发明实施例和对比例的粘合性测量结果
干燥粘合性
实施例13 双层1 0%剥离
实施例14 双层2 0%剥离
实施例15 双层3 0%剥离
实施例16 双层4 0%剥离
实施例17 双层5 0%剥离
实施例18 双层6 0%剥离
实施例19 双层7 0%剥离
实施例20 双层8 0%剥离
对比例E 三层A 100%剥离
对比例F 三层B 100%剥离
形成三层涂层
本发明层用于三层方案以检验光刻性能。三层的一般结构如图1所示。
形成底层
通过旋涂将基于有机聚(甲基丙烯酸酯)的底层涂层组合物施涂于购自WaferNet,Inc.的“300mm”直径的硅晶片,将涂布的晶片在240°C烘烤60秒,以实现135nm的膜厚度。适宜的底层涂层组合物包括AR26N,购自Dow Chemical Company。
在底层上形成本发明的层
通过旋涂将表9中描述的本发明的涂层组合物施涂于来自前一步骤的底层涂布的晶片。将各涂层在240°C烘烤60秒,以实现35nm的本发明膜厚度。
形成三层
通过旋涂将ArF光致抗蚀剂(PTD和NTD都如前描述)施涂于涂布的晶片(来自前一步骤),将涂布的晶片在100°C适度烘烤60秒,以实现100nm的光致抗蚀剂涂层膜厚度。可以将顶部涂层施涂于PTD光致抗蚀剂涂层以提供“193nm”沉浸光刻加工所需的滤取控制。适宜的顶部涂层包括OC2000,得自Dow Chemical Company。
表9:三层涂层的描述
三层1 三层2 三层3 三层4 三层A 三层B
第二组合物1 第二组合物8 第二组合物6 第二组合物3 第二组合物B 第二组合物B
形成光刻图案
光刻加工
形成的三层涂层根据以下步骤加工:
1)曝光:使用ASML 1900i,使施涂的光致抗蚀剂的层暴露于组成图案的193nm辐射;
2)曝光后烘烤:120°C进行60秒;
3)显影:潜像用0.26N碱水溶液显影剂显影以得到正光致抗蚀剂浮雕图像,或用适当的有机溶剂(例如OSD-1000有机溶剂显影剂,得自Dow Chemical Company)显影以得到负光致抗蚀剂浮雕图像。
评价三层涂层的光刻性能
图2描述了两种光致抗蚀剂线横截面的示意图。所需光致抗蚀剂线横截面如图2a所示。横截面显示方形外形。不期望的横截面外形如图2b所示。不期望的横截面表现出在特征底部的CD(关键尺寸)增加。这种外形缺陷通常称为足迹或浮渣。
光致抗蚀剂性能的另一个方面是“图案破坏极限”。期望能够获得极小行间隔的图案,同时防止、或减少这样的小行间隔的图案的“塌落(fall over)”、或破坏。不提供对光致抗蚀剂的适当粘合性的硬掩模组合物可能导致图案破坏,如图3中的自顶向下SEM图像所示。图4说明图案破坏极限的定量定义。该图中的数字是“140nm节距图案”中沟槽的宽度。图案破坏极限越高,竖直线(standing lines)的宽度就越窄,因此在可以形成的最小尺寸方面光刻性能越好。
在光刻法之后,高度期望获得,不具有浮渣的光致抗蚀剂图案,并且该图案具有高图案破坏极限。表10比较了本发明实施例和对比例的外形清洁度(缺少浮渣)。图5表明,本发明实施例16具有不含有浮渣的方形外形,而在对比例G的外形中观察到浮渣,如图6所示。表11比较了本发明实施例和对比例的图案破坏极限。如该表所示,本发明实施例具有良好的图案破坏极限,而对比例具有完全图案破坏。
表10:本发明实施例和对比例的光刻图案性能
实施例 三层 显影模式 浮渣 图像
实施例21 三层1 正显影 图5
实施例22 三层2 正显影
对比例G 三层A 正显影 图6
表11:本发明实施例和对比例的光刻图案性能
显影模式 浮渣 图案破坏极限(nm)
本发明 本发明
实施例23 三层3 负显影 85
实施例24 三层4 负显影 95
对比 对比
对比例H 三层B 负显影 完全图案破坏

Claims (16)

1.用于光刻蚀法的第一组合物,包含至少以下组分:
A)选自式1的化合物F1:
其中Ra包括一个或多个多重键,条件是,如果Ra包括多于一个多重键,那么这些多重键不为共轭的构型;和
R1、R2、和R3各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
B)选自式2的化合物F2
其中Rb选自H或饱和的基团,所述饱和的基团包括烷基、烷撑、或烷叉;R4、R5、和R6各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
C)选自式3的化合物F3:
其中Rc包括多于一个多重键,并且这些多重键为共轭的构型;R7、R8、和R9各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基;和
D)选自式4的化合物F4:
其中R10、R11、R12、和R13各自独立地选自烷氧基、羟基、卤素、OC(O)R、或OC(O)OR,其中R是烷基或取代的烷基。
2.权利要求1的第一组合物,包含大于或等于5wt%的Si,基于化合物F1、F2、F3和F4的总重量。
3.权利要求1的第一组合物,其中化合物F2和化合物F4的总摩尔量大于或等于40摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
4.权利要求2的第一组合物,其中化合物F2和化合物F4的总摩尔量大于或等于40摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
5.前述权利要求1-4中任一项的第一组合物,其中化合物F4的存在量大于10摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
6.前述权利要求1-4中任一项的第一组合物,其中化合物F1的存在量大于10摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
7.前述权利要求1-4中任一项的第一组合物,其中化合物F4的存在量小于65摩尔%,基于化合物F1、F2、F3和F4的总摩尔数。
8.由前述权利要求1-7中任一项的第一组合物形成的预聚物。
9.用于光刻蚀法的第二组合物,其包含权利要求8的预聚物;和以下物质中的至少一种:含胺的化合物,含卤素的化合物,氢氯化物,含铵的化合物,或其混合物。
10.由权利要求9的第二组合物形成的交联的组合物。
11.包含至少一个由前述权利要求1-7和9-10中任一项的组合物形成的部件的制品。
12.包含至少一个由权利要求1-7和9-10中任一项的组合物形成的层的膜。
13.权利要求12的膜,还包含由包含聚合物的第三组合物形成的第二层。
14.在基底上形成涂层的方法,所述方法包括至少以下步骤:
提供基底,
在所述基底上形成底层,其中所述底层包含至少一种聚合物,
将权利要求1-7中任一项的第一组合物或权利要求9的第二组合物施涂在所述底层上,和
使第一组合物或第二组合物固化形成所述涂层。
15.权利要求14的方法,其中将第一组合物或第二组合物的多个层施涂在所述底层上。
16.权利要求14或权利要求15的方法,其中所述涂层是防反射层。
CN201210355169.XA 2011-09-21 2012-09-21 用于光刻蚀法的组合物和防反射涂层 Active CN103031059B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161537098P 2011-09-21 2011-09-21
US61/537,098 2011-09-21

Publications (2)

Publication Number Publication Date
CN103031059A CN103031059A (zh) 2013-04-10
CN103031059B true CN103031059B (zh) 2017-09-26

Family

ID=47018789

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210355169.XA Active CN103031059B (zh) 2011-09-21 2012-09-21 用于光刻蚀法的组合物和防反射涂层

Country Status (6)

Country Link
US (1) US9011591B2 (zh)
EP (1) EP2597518B1 (zh)
JP (1) JP6006594B2 (zh)
KR (1) KR102066468B1 (zh)
CN (1) CN103031059B (zh)
TW (1) TWI641912B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
KR102013960B1 (ko) * 2011-12-21 2019-08-23 다우 글로벌 테크놀로지스 엘엘씨 반사방지 코팅용 조성물
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
US9123656B1 (en) 2014-05-13 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Organosilicate polymer mandrel for self-aligned double patterning process
US10544329B2 (en) * 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JPWO2016190261A1 (ja) * 2015-05-25 2018-03-08 日産化学工業株式会社 レジストパターン塗布用組成物
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
JP2017097240A (ja) * 2015-11-26 2017-06-01 Jsr株式会社 ケイ素含有膜形成用材料及びパターン形成方法
KR102581806B1 (ko) 2020-12-30 2023-09-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101523292A (zh) * 2006-10-12 2009-09-02 日产化学工业株式会社 利用4层系叠层体进行的半导体器件的制造方法
WO2010087233A1 (ja) * 2009-01-28 2010-08-05 Jsr株式会社 シリコン含有膜、樹脂組成物およびパターン形成方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
FR2525846A1 (fr) 1982-04-22 1983-10-28 Boga Sa Procede et appareil de selection des points de connexion d'un repartiteur telephonique
EP0100891A1 (de) 1982-08-17 1984-02-22 Contraves Ag Verfahren und Vorrichtung zur Korrektur von Koinzidenzfehlern beim Zählen von Teilchen zweier Sorten
DE3565013D1 (en) 1984-02-10 1988-10-20 Ciba Geigy Ag Process for the preparation of a protection layer or a relief pattern
IE57143B1 (en) 1984-06-01 1992-05-06 Rohm & Haas Photosensitive coating compositions,thermally stable coating prepared from them,and the use of such coatings in forming thermally stable polymer images
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
DE19515540A1 (de) 1995-04-27 1996-10-31 Wacker Chemie Gmbh Stabilisierung von reaktiven Organopolysiloxanharzen
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
KR100220951B1 (ko) 1996-12-20 1999-09-15 김영환 비닐 4-테트라히드로피라닐옥시벤잘-비닐 4-히드록시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체, 비닐 4-테트라히드로피라닐옥시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체 및 그들의 제조방법
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
WO2000017712A1 (en) 1998-09-23 2000-03-30 E.I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
KR20000047909A (ko) 1998-12-10 2000-07-25 마티네즈 길러모 이타콘산 무수물 중합체 및 이를 함유하는 포토레지스트조성물
US6048662A (en) 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
US6048664A (en) 1999-03-12 2000-04-11 Lucent Technologies, Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
MXPA02008385A (es) * 2000-02-28 2004-07-30 Adsil Lc Composiciones de recubrimiento basadas en silano, productos recubiertos obtenidos de las mismas y metodos para usarlas.
US6306554B1 (en) 2000-05-09 2001-10-23 Shipley Company, L.L.C. Polymers containing oxygen and sulfur alicyclic units and photoresist compositions comprising same
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
KR100882409B1 (ko) 2003-06-03 2009-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지용 실리콘 수지, 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴 형성 방법
US7223517B2 (en) 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4553113B2 (ja) * 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
JP4638380B2 (ja) 2006-01-27 2011-02-23 信越化学工業株式会社 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
EP1845416A3 (en) 2006-04-11 2009-05-20 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for photolithography
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US7736837B2 (en) 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
TWI434891B (zh) * 2007-02-22 2014-04-21 Silecs Oy 積體電路用高矽含量矽氧烷聚合物
JP5099381B2 (ja) * 2007-10-01 2012-12-19 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いた半導体装置の製造方法並びにレジスト下層膜形成組成物用添加剤
EP2071400A1 (en) 2007-11-12 2009-06-17 Rohm and Haas Electronic Materials LLC Coating compositions for use with an overcoated photoresist
KR101524712B1 (ko) * 2007-11-30 2015-06-01 닛산 가가쿠 고교 가부시키 가이샤 블록화 이소시아네이트기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
CN101910255B (zh) 2008-01-08 2013-07-10 道康宁东丽株式会社 倍半硅氧烷树脂
EP2249204A4 (en) * 2008-02-18 2012-01-11 Nissan Chemical Ind Ltd SILICON-CONTAINING SILICON RESIN SUB-LAYER FILM COMPOSITION CONTAINING CYCLIC AMINO GROUP
KR101013134B1 (ko) * 2008-06-10 2011-02-10 삼성전자주식회사 슬라이딩 개폐 가능한 모듈 및 이를 구비하는 휴대 단말기
JP5015891B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
EP2372458A4 (en) * 2008-12-19 2012-06-06 Nissan Chemical Ind Ltd COMPOSITION COMPRISING ANIONIC GROUP FOR FORMATION OF A PHOTOSENSITIVE RESIN SUB-LAYER CONTAINING SILICON
JP4941684B2 (ja) * 2009-03-27 2012-05-30 信越化学工業株式会社 フォトマスクブランク及びその加工方法
CN102498440B (zh) * 2009-09-16 2016-11-16 日产化学工业株式会社 含有具有磺酰胺基的硅的形成抗蚀剂下层膜的组合物

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101523292A (zh) * 2006-10-12 2009-09-02 日产化学工业株式会社 利用4层系叠层体进行的半导体器件的制造方法
WO2010087233A1 (ja) * 2009-01-28 2010-08-05 Jsr株式会社 シリコン含有膜、樹脂組成物およびパターン形成方法

Also Published As

Publication number Publication date
KR20130031798A (ko) 2013-03-29
TWI641912B (zh) 2018-11-21
TW201317716A (zh) 2013-05-01
JP2013067798A (ja) 2013-04-18
EP2597518A2 (en) 2013-05-29
JP6006594B2 (ja) 2016-10-12
EP2597518A3 (en) 2015-07-01
CN103031059A (zh) 2013-04-10
EP2597518B1 (en) 2016-12-07
KR102066468B1 (ko) 2020-01-16
US20130071560A1 (en) 2013-03-21
US9011591B2 (en) 2015-04-21

Similar Documents

Publication Publication Date Title
CN103031059B (zh) 用于光刻蚀法的组合物和防反射涂层
JP5093352B2 (ja) ネガ型感光性組成物、それを用いた光学素子用隔壁および該隔壁を有する光学素子
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
JP6342993B2 (ja) ポリマー型熱酸発生剤を含む組成物及びそれの方法
JP2011510133A (ja) シルセスキオキサン樹脂
US20040229158A1 (en) Thermally curable middle layer for 193-NM trilayer resist process
KR20160113155A (ko) 네거티브형 감광성 수지 조성물, 그것을 경화시켜서 이루어지는 경화막과 그 제조 방법 및 그것을 구비하는 광학 디바이스, 그리고 이면 조사형 cmos 이미지 센서
CN107077070A (zh) 感光性树脂组合物、固化膜、具备固化膜的元件及半导体器件的制造方法
TW201120577A (en) Composition for forming a silicon-containing antireflection film, substrate having the silicon-containing antireflection film from the composition and patterning process using the same
JP6424832B2 (ja) ネガ型感光性樹脂組成物、樹脂硬化膜、隔壁および光学素子
WO2012077770A1 (ja) ネガ型感光性樹脂組成物、光学素子用隔壁およびその製造方法、該隔壁を有する光学素子の製造方法、ならびに、撥インク剤溶液
KR20180136942A (ko) 수지 조성물, 그 경화막과 그 제조방법, 및 고체촬상소자
TWI588610B (zh) 用於裝置製造的可光圖案化及可顯影之倍半矽氧烷樹脂
CN103113827B (zh) 用于光蚀刻法的组合物和防反射涂层
TW201934618A (zh) 感光性矽氧烷組成物、及使用其之圖案形成方法
KR102013960B1 (ko) 반사방지 코팅용 조성물
JP2024049758A (ja) 感光性樹脂組成物、硬化物および表示装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant