CN101937424A - FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing - Google Patents

FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing Download PDF

Info

Publication number
CN101937424A
CN101937424A CN 201010278997 CN201010278997A CN101937424A CN 101937424 A CN101937424 A CN 101937424A CN 201010278997 CN201010278997 CN 201010278997 CN 201010278997 A CN201010278997 A CN 201010278997A CN 101937424 A CN101937424 A CN 101937424A
Authority
CN
China
Prior art keywords
fft
fourier transform
fpga
discrete fourier
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 201010278997
Other languages
Chinese (zh)
Inventor
王旭东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanjing University of Aeronautics and Astronautics
Original Assignee
Nanjing University of Aeronautics and Astronautics
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing University of Aeronautics and Astronautics filed Critical Nanjing University of Aeronautics and Astronautics
Priority to CN 201010278997 priority Critical patent/CN101937424A/en
Publication of CN101937424A publication Critical patent/CN101937424A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Complex Calculations (AREA)

Abstract

The invention discloses a FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing. The method comprises the following steps of: combining a discrete fourier transform X(k) and an input signal x(n) into a discrete fourier transform pair, wherein the discrete fourier transform X(k) and the input signal x(n) are complex sequences with the same length of N, decomposing the discrete fourier transform X(k) and the input signal x(n) into short sequences, wherein the even number items are in one group and the odd number items are in another group, and thus, the subsequences of two N/2 points are obtained; and so forth, obtaining the rapid algorithm FFT of the discrete fourier transform. By improving the FFT algorithm structure, the invention obtains high-speed parallel FFT so that the FFT operation speed is greatly improved compared with the traditional method.

Description

Realize the method that high speed FFT handles based on FPGA
Technical field
The invention discloses a kind of method that fpga chip realizes that high speed FFT handles of using, it relates to digital processing field.
Background technology
DFT (Discrete Fourier Transfer) and fast algorithm FFT thereof are the core ingredients of digital processing field, and fft algorithm is varied, can be divided into base 2, base 4 etc. again by data pick-up mode difference.Each algorithm relative merits is looked different restraining factors and difference.The FFT implementation method is also varied, can realize with software, also can realize with hardware.Realize that with software then computing velocity is very slow on PC or workstation, the concrete system of general many combinations realizes with hardware, for example realize with single-chip microcomputer or DSP (DigitalSignal Process) processor, but speed still can not satisfy the demand of some application, is difficult to and A/D device matching fast.Pursue arithmetic speed in applications such as radar, electronic countermeasures, require very high real-time.FPGA is the abbreviation of English Field Programmable Gate Array, it is field programmable gate array, it is the product that further develops on the basis of PAL (Programmable Array Logic), GAL (GateArray Logic), EPLD programming devices such as (Embedded Programmable Logic Device), has higher integrated level, stronger logic realization ability and better design flexibility.FPGA is made up of many independently programmed logical module, the user can couple together these modules by programming and realize different designs, it is as special IC (ASIC, Application Specific Integrated Chip) a kind of semi-custom circuit in the field occurs, both solve the deficiency of custom circuit, overcome the limited shortcoming of original programming device gate circuit number again.In the last few years, the FPGA technical development was rapid.On the one hand, the programmable logic device (PLD) of various high capacity, high-performance, low-power consumption is constantly released.On the other hand, many FPGA design aids occurred, these instruments have improved the design efficiency based on the new type integrated circuit of FPGA greatly, make more low-cost, more short-period complex digital system development become possibility.
In the digital signal processing, establishing input signal X (n) is that length is the complex sequences of N, and its DFT (DiscreteFourier Transfer) is defined as:
X ( k ) = DFT [ x ( n ) ] = Σ n = 0 N - 1 x ( n ) W N nk , ( 0 ≤ k ≤ N - 1 ) - - - ( 1 )
Its IDFT (Inverse Discrete Fourier Transfer) is defined as:
x ( n ) = IDFT [ X ( k ) ] = 1 N Σ n = 0 N - 1 x ( n ) W N - nk , ( 0 ≤ k ≤ N - 1 ) - - - ( 2 )
Wherein,
Figure BSA00000266303100023
Formula (1) is called the discrete fourier direct transform, and formula (2) is called the discrete fourier inverse transformation, and it is right that x (n) and X (k) have constituted discrete Fourier transformation.
According to above-mentioned formula, calculate an X (k), need N complex multiplication and N-1 complex addition, and calculate whole X (k) (0≤k≤N-1), need N altogether 2The inferior complex addition of inferior complex multiplication and N (N-1), directly calculating whole X (k) needs 4N altogether 2The inferior real number addition of inferior real multiplications and 2N (2N-1).As seen workload and N 2Be directly proportional, N is big more, and operand will significantly increase.
List of references:
[1]Cooley?J?W,Tukey.An?algorithm?for?the?machine?calculationof?complex?Fourier?series[J].Math.Compute,1965,Vol.19,No.4,p297~301
[2] Ren Shuyan. the fft algorithm of using VHDL language is realized [J], Harbin University of Science and Technology's journal, Vol.8, No.6,2003.12, P.24-26
[3] Liu Chaohui, Han Yueqiu. realize the research [J] of FFT with FPGA. Beijing Institute of Technology's journal [J], Vol.19, No.2,1999.4, P.234-238
[4] Wang Xudong, Liu Yu. full parallel structure for FFT and FPGA thereof realize [J]. Nanjing Aero-Space University's journal, Vol.38 No.1 Feb.2006, p96-100
[5]Lo?Sing?Cheng,Ali?Miri,Tet?Hin?Yeap.Efficient?FPGAimplementation?of?FFT?based?Multipliers[C],Proc.IEEE?CCECE/CCGEI,Saskatoon,May?2005,pp.1300-1303
[6]Ayan?Banerjee,Anindya?Sundar?Dhar,Swapna?Banerjee.FPGArealization?of?a?CORDIC?based?FFT?processor?for?biomedical?signalprocessing[J],Microprocessor?and?Microsystems?2001,25(1),pp.131-142
[7]PEREZ-PASCUAL,A.,SANSALONI,T.,and?VALLS,J.On-lineradix-2?butterflies?on?FPGA[C].Proc.WSES?International?Conferenceon?Speech,signal?and?image?processing,2002,pp.2201-2205
[8]PEREZ-PASCUAL,A.,SANSALONI,T.,and?VALLS,J.FPGA?basedradix-4?butterflies?for?HiperLAN2[C].Proc.IEEE?InternationalSymposium?on?Circuits?and?Systems(ISCAS?2002),2002,pp.III.277-III.280
[9]Z.Szadkowski.16-point?Discrete?Fourier?transform?based?onthe?Radix-2?FFT?algorithm?implemented?into?Cyclone?FPGA?as?the?UHERCtrigger?for?horizontal?air?showers[C],Proc.of?the?29th?ICRC,Pune,2005.
[10]Wen-Chang?Yeh,Chein-Wei?Jen.High-Speed?and?Low-PowerSplit-Radix?FFT[J].IEEE?Tans.Signal?Processing,2003,Vol.51,No.3,p864-874.
[11]Yutai?Ma,Lars?Wanhammar.A?Hardware?Efficient?Control?ofMemory?Addressing?for?High-Performance?FFT?Proces?sors[J].IEEE?Tans.Signal?Processing,2000,Vol.48,No.3,p917-920.
Summary of the invention
Technical matters: the present invention seeks to improve arithmetic speed into reducing operand, the defective that exists at background technology provides a kind of method that realizes high speed FFT processing based on FPGA.
Technical scheme: the present invention adopts following technical scheme for achieving the above object:
The present invention is based on the method that FPGA realizes that high speed FFT handles, it is right to it is characterized in that discrete Fourier transformation X (k) and input signal x (n) have constituted discrete Fourier transformation, all is that length is that the complex sequences of N, sequence length N are 2 integer power power, i.e. N=2 M, wherein M is a positive integer, expression power number of times, and wherein k, n represent the sequence of discrete Fourier transformation X (k) and input signal x (n) respectively;
At first discrete Fourier transformation x (n) is decomposed into two groups, even number Xiang Weiyi group, odd term is one group, obtains the subsequence that two N/2 are ordered, that is:
x 1(r)=x(2r),x 2(r)=x(2r+1),(0≤r≤N/2-1) (3)
X ( k ) = DFT [ x ( n ) ] = Σ n = 0 N - 1 x ( n ) W N kn = Σ r = 0 N / 2 - 1 x ( 2 r ) W N 2 kr + Σ r = 0 N / 2 - 1 x ( 2 r + 1 ) W N k ( 2 r + 1 ) - - - ( 4 )
Utilize
Figure BSA00000266303100042
Formula (4) can be write as:
X ( k ) = X 1 ( k ) + W N k X 2 ( k ) X ( k + N / 2 ) = X 1 ( k ) - W N k X 2 ( k ) , ( 0 ≤ k ≤ N / 2 - 1 ) - - - ( 5 )
X wherein 1(k) and X 2(k) be respectively x 1(r) and x 2(r) DFT.
Beneficial effect:
The operation time of its 32 FFT IP kernels of fft algorithm of altera corp's employing traditional structure is greater than 1.0us.Also want more than the 1.0us with 32 FFT times that DSP does.The present invention utilizes the abundant resources such as logic, RAM (Read Access Memory), ROM (Read Only Memory) and DSP of FPGA device, adopts a kind of new construction fft algorithm, makes the FFT arithmetic speed than classic method large increase arranged.Along with improving constantly of chip integration, this its superiority of high speed FFT disposal route will be more and more obvious.And this method is easily expanded.
Description of drawings
Fig. 1: butterfly computation figure;
Fig. 2: decimation in time: 8 DFT are decomposed into 42 DFT;
Fig. 3: the parallel full fft algorithm structural drawing of basic 2 decimations in time;
Fig. 4: FFT structural drawing after the step exchange;
Fig. 5: high-speed parallel FFT Processing Structure;
The FPGA of Fig. 6: high speed FFT realizes block diagram;
Fig. 7: emulation sequential chart;
Fig. 8: FPGA operation result;
Fig. 9: theoretical output result.
Embodiment
The present invention adopts a kind of base-2 new structure fft algorithm, uses the advanced FPGA device Stratix of altera corp series EP1S25F780-5 and does simulation hardware.The Stratix device is the FPGA device of a employing high performance structures system.It combines powerful core performance, big memory bandwidth, digital signal processing (DSP) function, the FPGA of High Speed I/O performance and modular design and one.Its embedded DSP module has very high multiplying speed, when programming, can specify with device inside DSP module and generate multiplier with the MegaWizard method with VHDL, do butterfly with this multiplier, constitute FFT computing level with a plurality of butterflies, can realize FFT computing parallelization by water operation.The present invention also will adopt altera corp's Quartus II software to do logical design and time series analysis, and utilize powerful simulation hardware of Quartus II software and logic analysis function, the VHDL hardware description language is designed compiling, comprehensive, layout, wiring, download at last and generated special-purpose FFT process chip in the fpga chip.
Calculate in the coefficient that needs the computing finished in the DFT process, have considerable symmetry.By studying this symmetry, can simplify the computing in the computation process, calculate the required time of DFT thereby reduce.
Utilize
Figure BSA00000266303100051
Periodicity, symmetry, reducibility three big characteristics, x (n) or X (k) sequence can be resolved into short sequence according to certain rules and carry out computing, can avoid a large amount of repetitive operations like this, improve the arithmetic speed of calculating DFT.Algorithm pattern can be divided into two big class, i.e. decimation in time fft algorithm and decimation in frequency fft algorithms.
This patent adopts base-2 decimation in time fft algorithms.If sequence length N is 2 integer power power, i.e. N=2 M, wherein M is a positive integer, expression power number of times.
At first sequence x (n) is decomposed into two groups, even number Xiang Weiyi group, odd term is one group, obtains the subsequence that two N/2 are ordered, that is:
x 1(r)=x(2r),x 2(r)=x(2r+1),(0≤r≤N/2-1) (3)
X ( k ) = DFT [ x ( n ) ] = Σ n = 0 N - 1 x ( n ) W N kn = Σ r = 0 N / 2 - 1 x ( 2 r ) W N 2 kr + Σ r = 0 N / 2 - 1 x ( 2 r + 1 ) W N k ( 2 r + 1 ) - - - ( 4 )
X wherein 1(k) and X 2(k) be respectively x 1(r) and x 2(r) DFT.Utilize Formula (4) can be write as:
X ( k ) = X 1 ( k ) + W N k X 2 ( k ) X ( k + N / 2 ) = X 1 ( k ) - W N k X 2 ( k ) , ( 0 ≤ k ≤ N / 2 - 1 ) - - - ( 5 )
Represent as shown in Figure 1 with patterned mode.Each butterfly computation needs a complex multiplication and twice complex addition computing.Adopt this method for expressing, the procedure graph of above-mentioned decomposition operation as shown in Figure 2.After decomposing, each N/2 point DFT needs N 2/ 4 complex multiplication, two N/2 point DFT need N altogether 2/ 2 complex multiplication, combinatorial operation need N/2 butterfly computation altogether, need N/2 complex multiplication, therefore need N altogether 2/ 2+N/2 ≈ N 2/ 2 complex multiplication are compared the closely operand of half of saving with direct computing.
Because N/2=2 M-1Still be integer, this sequence can be decomposed down always, to the last be 2 DFT till.For one 8 FFT, can obtain the computing flow graph of base-2FFT of a complete N=8 according to above-mentioned algorithm, as shown in Figure 3.
According to above-mentioned fft algorithm principle and Fig. 3, can summarize the certain law and the characteristics of base-2FFT algorithm:
1, whole FFT flow graph is made up of butterfly computation entirely, so butterfly computation is the core of FFT computing, and the specific implementation of this algorithm is exactly how to calculate successively in certain sequence to finish whole butterfly computations.Each butterfly computation needs once the addition of multiplying each other of plural number and twice plural number.For a N=2 MSequence, can progressively decompose at last is 2 DFT computing entirely.The FFT computing that whole N are ordered is total
Figure BSA00000266303100062
Individual butterfly computation needs complex multiplication altogether Inferior, complex addition a f=NM=Nlog 2N time, compare operand with direct calculating and significantly reduce.
2, the input and output amount of each butterfly is not heavy mutually mutually in the flow graph, two input quantities of any one butterfly are behind butterfly computation, just lost value, do not need to preserve, therefore can realize with the location computing, promptly can leave in the unit of the same address of original storage input data through the one-level calculated result.Therefore, only need the storage unit of N plural number, both can deposit the raw data of input, can deposit intermediate result again, but also can deposit last operation result.This original position compute mode has been saved a large amount of storage unit, is a big advantage of fft algorithm, thereby effectively reduces equipment cost.
3, for location computing structure, computing finish back output as a result X (k) still deposit by natural order.And list entries x (n) owing to press the decomposition result of idol, strange time sequencing extraction one by one, has rearranged the order of depositing of sequence data, so it is to discharge by the order of sign indicating number bit reversal.So-called sign indicating number bit reversal, be exactly with binary highest significant position to the bit-reversed of least significant bit (LSB) place and must binary number.For example, during N=8, the binary code position 001 of n=1 is 100 behind the sign indicating number bit reversal, and promptly corresponding binary number is 4.In the actual operation, directly x (n) is not exported according to bit reversed order, earlier it is imported storage unit according to natural order usually, and according to fft algorithm, the operation transform that indexes then obtains the arrangement of bit reversed order, as table 1.
4, the required coefficient of butterfly computation At different levels different.Observe from top to bottom for every grade, all be with Beginning increases progressively successively by geometric progression, and the cycle repeats.For example, the computing of m level, coefficient is
Figure BSA00000266303100073
1=0,1 ..., 2 M-1-1, totally 2 M-1Individual coefficient, index 1 increases 1 one by one, and the cycle repeats 2 M-mInferior.Required coefficient can calculate good back in advance and exists in the numerical table during calculating, fast operation like this, but need the expense internal memory.Recursion calculating successively when needed can be saved internal memory like this, but will be increased the certain calculation workload.
Table 1 yard position ordering (N=8)
Figure BSA00000266303100074
This full parallel organization characteristics shown in Figure 3, if employing synchronizing sequential circuit, can realize that then each timeticks exports one group of FFT result of calculation, thereby give full play to the parallel fast processing characteristics that add flowing structure, make the FFT arithmetic speed be greatly improved.
[high speed FFT Processing Algorithm]
Introduction by the front knows that 2,8 fft algorithms of tradition base as shown in Figure 3.Digitized representation twiddle factor on the arrow
Figure BSA00000266303100075
In k.Input is by the order discharging of sign indicating number bit reversal, and output is natural order.The characteristics of this structure are that each butterfly output data still is placed in the original input data storage cell, so only need 2N storage unit (data are plural forms among the FFT, and two unit of every some needs are stored, and N is that the FFT computing is counted).Its shortcoming is that same position butterfly input data addressing not at the same level is unfixing, is difficult to realize cycle control.Be difficult to Parallel Implementation when programming with FPGA, data processing speed is slow.It is all the more so when FFT counts increase.
Can find by observing the traditional structure fft algorithm, if, then can obtain structure as shown in Figure 4 two butterfly exchanges in the middle of the first order.To the further conversion of this structure, with do not bother to see me out reposition but it is stored and deposits in order of second level output, then two and then transposings of butterflies in the middle of the third level, and input arranged in order, then can constitute high-speed parallel FFT Processing Structure as shown in Figure 5.In the butterfly conversion simultaneously, its twiddle factor is also followed conversion.
The input of this structure is an order, and output is the bit code inverted order, and every grade of twiddle factor all is stored in the FPGA sheet in the ROM, and wherein the addressing of twiddle factor is crucial.By the above FFT structural drawing conversion process every grade of twiddle factor addressing rule of can deriving, the FFT (N=2 of ordering for N k, k is a progression), twiddle factor has
Figure BSA00000266303100081
N/2 altogether, they are lined up an array that contains N/2 element by bit code inverted order form count:
Figure BSA00000266303100082
I level (i=0,1,2 then ..., it is with w (0) that twiddle factor k-1) puts in order, w (1), and w (2) ...., w (2 i) repeat 2 K-i-1Inferior obtain.This design feature is that every grade of input, output data order are constant, and therefore every grade of geometry is fixed, and is easy to realize parallel FFT hardware configuration with FPGA, thereby obviously accelerates the FFT arithmetic speed.
[realization of FPGA hardware]
The characteristics of FPGA device are that the available hardware descriptive language carries out flexible programming to it.But utilize the function of the software simulation hardware that FPGA manufacturer provides, make hardware design flexible as software design.Shortened the system research and development cycle.Utilize JTAG (Joint Test Action Group) interface to carry out the dirigibility that ISP (In System Programmable, in-system programming) has improved system to it.Along with the raising of chip integration, not only have in the monolithic FPGA a large amount of logical blocks and also can also integrated RAM, ROM, I/O and DSP piece etc., thereby SOC (System On a Chip, SOC (system on a chip)) is become a reality.That the present invention adopts is the Stratix family chip EP1S25F780-5 of altera corp, does simulation hardware and logic analysis with QuartusII software, and will export the result and Matlab K-theoretic operation K result compares.System architecture diagram as shown in Figure 6.The design feature of native system is:
1. for improving data precision, system all uses 16 bit wides.Use data-array, three arrays of write-array and fly-array have realized the parallel processing of kernel, can calculate 32 multiple FFT in 10 clock period.Clock period was 25 nanoseconds, and therefore 32 FFT only needed for 250 nanoseconds.
2. the flowing water input and output of data have been realized.Calculating i group data simultaneously, i-1 group data FFT result is just in serial output, and i+1 group data are then just imported in serial.Because that kernel calculate to be to walk abreast, speed is fast, input tape is roomy.Native system data input rate can reach 200MHz.For the correctness of checking design, below it is carried out emulation, input signal is as follows:
x(n)=sin(2*n*pi/4.7)+sin(2*n*pi/16.3)+w(n)?(n=0,1,2…31) (6)
Emulated data is made of two sine wave signal superimposed noises, and signal to noise ratio (S/N ratio) is 3dB, and sinusoidal signal frequency is respectively 0.213f sWith 0.061f s, f s=1 is the normalization sample frequency, and w (n) is that average is that 0 variance is 1 Gaussian noise.The system emulation waveform as shown in Figure 7.
The FFT operation result of FPGA output and the FFT notional result of calculating with Matlab are compared, shown in Fig. 8,9.What simulate signal adopted is real signal, and its frequency spectrum has symmetry, and half of only having drawn 32 simulation results among the figure is preceding 16 output results.

Claims (1)

1. realize the method that high speed FFT handles based on FPGA for one kind, it is right to it is characterized in that discrete Fourier transformation X (k) and input signal x (n) have constituted discrete Fourier transformation, all is longly to be that the complex sequences of N, sequence length N are 2 integer power power, i.e. N=2 M, wherein M is a positive integer, wherein k, n represent the sequence of discrete Fourier transformation X (k) and input signal x (n) respectively;
At first discrete Fourier transformation x (n) is decomposed into two groups, even number Xiang Weiyi group, odd term is one group, obtains the subsequence that two N/2 are ordered, that is:
x 1(r)=x(2r),x 2(r)=x(2r+1),(0≤r≤N/2-1) (1)
X ( k ) = DFT [ x ( n ) ] = Σ n = 0 N - 1 x ( n ) W N kn = Σ r = 0 N / 2 - 1 x ( 2 r ) W N 2 kr + Σ r = 0 N / 2 - 1 x ( 2 r + 1 ) W N k ( 2 r + 1 ) - - - ( 2 )
Utilize
Figure FSA00000266303000012
Formula (2) can be write as:
X ( k ) = X 1 ( k ) + W N k X 2 ( k ) X ( k + N / 2 ) = X 1 ( k ) - W N k X 2 ( k ) , ( 0 ≤ k ≤ N / 2 - 1 ) - - - ( 3 )
X wherein 1(k) and X 2(k) be respectively x 1(r) and x 2(r) DFT.
CN 201010278997 2010-09-10 2010-09-10 FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing Pending CN101937424A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010278997 CN101937424A (en) 2010-09-10 2010-09-10 FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010278997 CN101937424A (en) 2010-09-10 2010-09-10 FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing

Publications (1)

Publication Number Publication Date
CN101937424A true CN101937424A (en) 2011-01-05

Family

ID=43390758

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010278997 Pending CN101937424A (en) 2010-09-10 2010-09-10 FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing

Country Status (1)

Country Link
CN (1) CN101937424A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102651121A (en) * 2011-02-23 2012-08-29 中国科学院声学研究所 Realization method of 3*3 average filter algorithm based on FPGA (Field Programmable Gate Array)
CN102830127A (en) * 2012-08-21 2012-12-19 西安工程大学 Discrete fourier transform (DFT) frequency sampling-based sliver spectrum analysis IP soft core and test method thereof
CN102929837A (en) * 2012-09-18 2013-02-13 西安电子科技大学 High-speed fixed point fast fourier transformation (FFT) processor based on field programmable gate array (FPGA) and processing method for high-speed fixed point FFT processor
CN102945224A (en) * 2012-09-18 2013-02-27 西安电子科技大学 High-speed variable point FFT (Fast Fourier Transform) processor based on FPGA (Field-Programmable Gate Array) and processing method of high-speed variable point FFT processor
CN106027355A (en) * 2016-07-01 2016-10-12 合肥海本蓝科技有限公司 SCE-MI protocol bridge and simulation system
CN106895958A (en) * 2016-12-30 2017-06-27 江苏骏龙光电科技股份有限公司 A kind of fast method for high-precision optical fiber measurement
CN108021781A (en) * 2018-01-31 2018-05-11 中国电子科技集团公司第五十四研究所 The FFT IP core designs and optimization method of a kind of parameterisable
CN110096672A (en) * 2019-04-25 2019-08-06 天津大学 Inexpensive pipeline-type fft processor implementation method based on FPGA
CN110123320A (en) * 2019-05-13 2019-08-16 南京航空航天大学 A kind of portable frequency sweep impedance bioelectrical measurement system and its measurement method
CN112732339A (en) * 2021-01-20 2021-04-30 上海微波设备研究所(中国电子科技集团公司第五十一研究所) Time division multiplexing time extraction FFT implementation method, system and medium
CN113567788A (en) * 2021-07-30 2021-10-29 北京易艾斯德科技有限公司 Application method, device, equipment and medium of mixed base FFT (fast Fourier transform) in power system
CN117076833A (en) * 2023-10-16 2023-11-17 深圳大学 FPGA-based single-bit dimension-reduction fast Fourier transform method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050040849A1 (en) * 2001-10-16 2005-02-24 Leopard Logic, Inc. Field programmable gate array core cell with efficient logic packing
CN101582059A (en) * 2009-06-26 2009-11-18 上海华魏光纤传感技术有限公司 Method of realizing parallel structure for FFT processor based on FPGA

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050040849A1 (en) * 2001-10-16 2005-02-24 Leopard Logic, Inc. Field programmable gate array core cell with efficient logic packing
CN101582059A (en) * 2009-06-26 2009-11-18 上海华魏光纤传感技术有限公司 Method of realizing parallel structure for FFT processor based on FPGA

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
《南京航空航天大学学报》 20060228 王旭东等 全并行结构FFT 的FPGA 实现 96-100 1 第38卷, 第1期 *
《南京航空航天大学学报》 20060228 王旭东等 全并行结构FFT 的FPGA 实现 96-100 1 第38卷, 第1期 2 *
《数字信号处理》 20020831 俞卞章 快速傅里叶变换(FFT) 67-77 1 , 第2版 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102651121A (en) * 2011-02-23 2012-08-29 中国科学院声学研究所 Realization method of 3*3 average filter algorithm based on FPGA (Field Programmable Gate Array)
CN102651121B (en) * 2011-02-23 2014-04-02 中国科学院声学研究所 Realization method of 3*3 average filter algorithm based on FPGA (Field Programmable Gate Array)
CN102830127A (en) * 2012-08-21 2012-12-19 西安工程大学 Discrete fourier transform (DFT) frequency sampling-based sliver spectrum analysis IP soft core and test method thereof
CN102830127B (en) * 2012-08-21 2014-08-06 西安工程大学 Discrete fourier transform (DFT) frequency sampling-based sliver spectrum analysis IP soft core and test method thereof
CN102929837A (en) * 2012-09-18 2013-02-13 西安电子科技大学 High-speed fixed point fast fourier transformation (FFT) processor based on field programmable gate array (FPGA) and processing method for high-speed fixed point FFT processor
CN102945224A (en) * 2012-09-18 2013-02-27 西安电子科技大学 High-speed variable point FFT (Fast Fourier Transform) processor based on FPGA (Field-Programmable Gate Array) and processing method of high-speed variable point FFT processor
CN102929837B (en) * 2012-09-18 2015-06-17 西安电子科技大学 High-speed fixed point fast fourier transformation (FFT) processor based on field programmable gate array (FPGA) and processing method for high-speed fixed point FFT processor
CN106027355A (en) * 2016-07-01 2016-10-12 合肥海本蓝科技有限公司 SCE-MI protocol bridge and simulation system
CN106895958A (en) * 2016-12-30 2017-06-27 江苏骏龙光电科技股份有限公司 A kind of fast method for high-precision optical fiber measurement
CN108021781A (en) * 2018-01-31 2018-05-11 中国电子科技集团公司第五十四研究所 The FFT IP core designs and optimization method of a kind of parameterisable
CN110096672A (en) * 2019-04-25 2019-08-06 天津大学 Inexpensive pipeline-type fft processor implementation method based on FPGA
CN110123320A (en) * 2019-05-13 2019-08-16 南京航空航天大学 A kind of portable frequency sweep impedance bioelectrical measurement system and its measurement method
CN112732339A (en) * 2021-01-20 2021-04-30 上海微波设备研究所(中国电子科技集团公司第五十一研究所) Time division multiplexing time extraction FFT implementation method, system and medium
CN112732339B (en) * 2021-01-20 2022-09-13 上海微波设备研究所(中国电子科技集团公司第五十一研究所) Time division multiplexing time extraction FFT implementation method, system and medium
CN113567788A (en) * 2021-07-30 2021-10-29 北京易艾斯德科技有限公司 Application method, device, equipment and medium of mixed base FFT (fast Fourier transform) in power system
CN117076833A (en) * 2023-10-16 2023-11-17 深圳大学 FPGA-based single-bit dimension-reduction fast Fourier transform method
CN117076833B (en) * 2023-10-16 2023-12-12 深圳大学 FPGA-based single-bit dimension-reduction fast Fourier transform method

Similar Documents

Publication Publication Date Title
CN101937424A (en) FPGA (Field Programmable Gate Array) based method for realizing high-speed FFT (Fast Fourier Transform) processing
CN101231632A (en) Method for processing floating-point FFT by FPGA
Gertner et al. VLSI architectures for multidimensional Fourier transform processing
CN110765709A (en) FPGA-based 2-2 fast Fourier transform hardware design method
CN103226543A (en) FFT processor with pipeline structure
WO2018027706A1 (en) Fft processor and algorithm
CN112231626A (en) FFT processor
CN108021781A (en) The FFT IP core designs and optimization method of a kind of parameterisable
Revanna et al. A scalable FFT processor architecture for OFDM based communication systems
CN103176949B (en) Realize circuit and the method for FFT/IFFT conversion
Meher Unified systolic-like architecture for DCT and DST using distributed arithmetic
Cui-xiang et al. Some new parallel fast Fourier transform algorithms
JP2001101160A (en) Data storage pattern for fast fourier transform
Minallah et al. Real time FFT processor implementation
CN102004720A (en) Variable-length fast fourier transform circuit and implementation method
CN103176950B (en) Realize the circuit and method of FFT/IFFT conversion
Sankaran et al. Design and Implementation of 1024 Point Pipelined Radix 4 FFT Processor on FPGA for Biomedical Signal Processing Applications
Ranganathan et al. Efficient hardware implementation of scalable FFT using configurable Radix-4/2
Dawwd et al. Reduced Area and Low Power Implementation of FFT/IFFT Processor.
Andersson FFT hardware architectures with reduced twiddle factor sets
CN103870437A (en) Digital signal processing device and processing method thereof
CN201886472U (en) Variable-length fast Fourier transform circuit
Ghosh et al. FPGA based implementation of FFT processor using different architectures
Mamatha et al. Triple-matrix product-based 2D systolic implementation of discrete Fourier transform
Mellqvist et al. One million-point fft

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110105