CA2145423A1 - Inverse modeller, system including same, and methods relating thereto - Google Patents

Inverse modeller, system including same, and methods relating thereto

Info

Publication number
CA2145423A1
CA2145423A1 CA002145423A CA2145423A CA2145423A1 CA 2145423 A1 CA2145423 A1 CA 2145423A1 CA 002145423 A CA002145423 A CA 002145423A CA 2145423 A CA2145423 A CA 2145423A CA 2145423 A1 CA2145423 A1 CA 2145423A1
Authority
CA
Canada
Prior art keywords
token
data
stage
recited
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002145423A
Other languages
French (fr)
Inventor
Adrian Philip Wise
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Discovision Associates
Original Assignee
Discovision Associates
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GB9405914A external-priority patent/GB9405914D0/en
Application filed by Discovision Associates filed Critical Discovision Associates
Publication of CA2145423A1 publication Critical patent/CA2145423A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0207Addressing or allocation; Relocation with multidimensional access, e.g. row/column, matrix
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/04Addressing variable-length words or parts of words
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0607Interleaved addressing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1673Details of memory controller using buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/42Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation
    • H04N19/423Methods or arrangements for coding, decoding, compressing or decompressing digital video signals characterised by implementation details or hardware specially adapted for video compression or decompression, e.g. dedicated software implementation characterised by memory arrangements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/60Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding
    • H04N19/61Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using transform coding in combination with predictive coding
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/102Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or selection affected or controlled by the adaptive coding
    • H04N19/13Adaptive entropy coding, e.g. adaptive variable length coding [AVLC] or context adaptive binary arithmetic coding [CABAC]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/90Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using coding techniques not provided for in groups H04N19/10-H04N19/85, e.g. fractals
    • H04N19/91Entropy coding, e.g. variable length coding [VLC] or arithmetic coding

Abstract

A multi-standard video decompression apparatus has a plurality of stages interconnected by a two-wire interface arranged as a pipeline processing machine. Control tokens and DATA Tokens pass over the single two-wire interface for carrying both control and data in token format. A
token decode circuit is positioned in certain of the stages for recognizing certain of the tokens as control tokens pertinent to that stage and for passing unrecognized control tokens along the pipeline.
Reconfiguration processing circuits are positioned in selected stages and are responsive to a recognized control token for reconfiguring such stage to handle an identified DATA Token. A wide variety of unique supporting subsystem circuitry and processing techniques are disclosed for implementing the system.

Description

DEMANDES OU BR~VETS VOLUMINEUX

~ lTE PARTIE DE C~ I t DEMANDE OU CE BREVET
COMPREND PLUS D'UN TOME.

CECI EST I E TOME DE

NOTE: Pour les tomes additionels, veuillez contacter le Bureau canadien des brevets 2 1~t5 SL~3 JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLICATION/iATENT CONTAINS MORE
THAN ONE VOLUME

THIS IS VOLUME l- OF ~; -NOTE: Fcr additional volumes please c~ntact the Canadian Patent Office - 21~5423 CONTROL AND DATA TOKENS
This application claims priority from British Application No. British Application No. 9405914.4 filed March 24, 1994 and British Application No. (not yet known) filed February 28, 1995.
R~rRrROUND OF THE lNv~:L.LlON
The present invention is directed to improvements in methods and apparatus for decompression which operates to decompress and/or decode a plurality of differently encoded input signals. The illustrative embodiment chosen for description hereinafter relates to the decoding of a plurality of encoded picture standards. More specifically, this embodiment relates to the decoding of any one of the well known standards known as JPEG, MPEG and H.261.
A serial pipeline processing system of the present invention comprises a single two-wire bus used for carrying unique and specialized interactive interfacing tokens, in the form of control tokens and data tokens, to a plurality of adaptive decompression circuits and the like positioned as a reconfigurable pipeline processor.
Video compression/decompression systems are generally well-known in the art. However, such systems have generally been dedicated in design and use to a single compression standard.
They have also suffered from a number of other inefficiencies and inflexibility in overall system and subsystem design and data flow management.
Examples of prior art systems and subsystems are enumerated as follows:
One prior art system is described in United States Patent No. 5,216,724. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in parallel. Each of the compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration mechanism. A first bus couples the compute modules and a host processor. The device comprises a shared memory which is coupled to the host processor and to the compute modules with a second bus.
United States Patent No. 4,785,349 discloses a full motion color digital video signal that is compressed, formatted for transmission, recorded on compact disc media and decoded at conventional video frame rates. During compression, regions of a frame are individually analyzed to select optimum fill coding methods specific to each region.
Region decoding time estimates are made to optimize compression thresholds. Region descriptive codes conveying the size and locations of the regions are grouped together in a first segment of a data stream. Region fill codes conveying pixel amplitude indications for the regions are grouped together according to fill code type and placed in other segments of the data stream. The data stream segments are individually variable length coded according to their respective statistical distributions and formatted to form data frames. The number of bytes per frame is withered by the addition of auxiliary data determined by a reverse frame sequence analysis to provide an average number selected to minimize pauses of the compact disc during playback, thereby avoiding unpredictable seek mode latency periods characteristic of compact discs. A decoder includes a variable length decoder responsive to statistical information in the code stream for separately variable length decoding individual segments of the data stream. Region location data is derived from region descriptive data and applied with region fill codes to a plurality of region specific decoders selected by detection of the fill code type (e.g., relative, absolute, dyad and DPCM) and decoded region pixels are stored in a bit map for subsequent display.

21~5-423 United States Patent No. 4,922,341 discloses a method for scene-model-assisted reduction of image data for digital television signals, whereby a picture signal supplied at time is to be coded, whereby a predecessor frame from a scene already coded at time t-1 is present in an image store as a reference, and whereby the frame-to-frame information is composed of an amplification factor, a shift factor, and an adaptively acquired quad-tree division structure. Upon initialization of the system, a uniform, prescribed gray scale value or picture half-tone expressed as a defined luminance value is written into the image store of a coder at the transmitter and in the image store of a decoder at the receiver store, in the same way for all picture elements (pixels). Both the image store in the coder as well as the image store in the decoder are each operated with feed back to themselves in a manner such that the content of the image store in the coder and decoder can be read out in blocks of variable size, can be amplified with a factor greater than or less than 1 of the luminance and can be written back into the image store with shifted addresses, whereby the blocks of variable size are organized according to a known quad tree data structure.
United States Patent No. 5,122,875 discloses an apparatus for encoding/decoding an HDTV signal. The apparatus includes a compression circuit responsive to high definition video source signals for providing hierarchically layered codewords CW representing compressed video data and associated codewords T, defining the types of data represented by the codewords CW. A priority selection circuit, responsive to the codewords CW and T, parses the codewords CW into high and low priority codeword sequences wherein the high and low priority codeword sequences correspond to compressed video data of relatively greater and lesser importance to image reproduction respectively. A

transport processor, responsive to the high and low priority codeword sequences, forms high and low priority transport blocks of high and low priority codewords, respectively.
Each transport block includes a header, codewords CW and error detection check bits. The respective transport blocks are applied to a forward error check circuit for applying additional error check data. Thereafter, the high and low priority data are applied to a modem wherein quadrature amplitude modulates respective carriers for transmission.
United States Patent No. 5,146,325 discloses a video decompression system for decompressing compressed image data wherein odd and even fields of the video signal are independently compressed in sequences of intraframe and interframe compression modes and then interleaved for transmission. The odd and even fields are independently decompressed. During intervals when valid decompressed odd/even field data is not available, even/odd field data is substituted for the unavailable odd/even field data.
Independently decompressing the even and odd fields of data and substituting the opposite field of data for unavailable data may be used to advantage to reduce image display latency during system start-up and channel changes.
United States Patent No. 5,168,356 discloses a video signal encoding system that includes apparatus for segmenting encoded video data into transport blocks for signal transmission. The transport block format enhances signal recovery at the receiver by virtue of providing header data from which a receiver can determine re-entry points into the data stream on the occurrence of a loss or corruption of transmitted data. The re-entry points are maximized by providing secondary transport headers embedded within encoded video data in respective transport blocks.
United States Patent No. 5,168,375 discloses a method for processing a field of image data samples to provide for one or more of the functions of decimation, interpolation, and sharpening. This is accomplished by an array transform processor such as that employed in a JPEG compression system.
Blocks of data samples are transformed by the discrete even cosine transform (DECT) in both the decimation and interpolation processes, after which the number of frequency terms is altered. In the case of decimation, the number of frequency terms is reduced, this being followed by inverse transformation to produce a reduced-size matrix of sample points representing the original block of data. In the case of interpolation, additional frequency components of zero value are inserted into the array of frequency components after which inverse transformation produces an enlarged data sampling set without an increase in spectral bandwidth. In the case of sharpening, accomplished by a convolution or filtering operation involving multiplication of transforms of data and filter kernel in the frequency domain, there is provided an inverse transformation resulting in a set of blocks of processed data samples. The blocks are overlapped followed by a savings of designated samples, and a discarding of excess samples from regions of overlap. The spatial representation of the kernel is modified by reduction of the number of components, for a linear-phase filter, and zero-padded to equal the number of samples of a data block, this being followed by forming the discrete odd cosine transform (DOCT) of the padded kernel matrix.
United States Patent No. 5,175,617 discloses a system and method for transmitting logmap video images through telephone line band-limited analog channels. The pixel organization in the logmap image is designed to match the sensor geometry of the human eye with a greater concentration of pixels at the center. The transmitter divides the frequency band into channels, and assigns one or two pixels to each channel, for example a 3KHz voice quality telephone line is divided into 768 channels spaced about 3.9Hz apart.
Each channel consists of two carrier waves in quadrature, so each channel can carry two pixels. Some channels are reserved for special calibration signals enabling the receiver to detect both the phase and magnitude of the received signal. If the sensor and pixels are connected directly to a bank of oscillators and the receiver can continuously receive each channel, then the receiver need not be synchronized with the transmitter. An FFT algorithm implements a fast discrete approximation to the continuous case in which the receiver synchronizes to the first frame and then acquires subsequent frames every frame period. The frame period is relatively low compared with the sampling period so the receiver is unlikely to lose frame synchrony once the first frame is detected. An experimental video telephone transmitted 4 frames per second, applied quadrature coding to 1440 pixel logmap images and obtained an effective data transfer rate in excess of 40,000 bits per second.
United States Patent No. 5,185,819 discloses a video compression system having odd and even fields of video signal that are independently compressed in sequences of intraframe and interframe compression modes. The odd and even fields of independently compressed data are interleaved for transmission such that the intraframe even field compressed data occurs midway between successive fields of intraframe odd field compressed data. The interleaved sequence provides receivers with twice the number of entry points into the signal for decoding without increasing the amount of data transmitted.
United States Patent No. 5,212,742 discloses an apparatus and method for processing video data for compression/decompression in real-time. The apparatus comprises a plurality of compute modules, in a preferred embodiment, for a total of four compute modules coupled in 21~S423 parallel. Each of the compute modules has a processor, dual port memory, scratch-pad memory, and an arbitration mechanism. A first bus couples the compute modules and host processor. Lastly, the device comprises a shared memory which is coupled to the host processor and to the compute modules with a second bus. The method handles assigning portions of the image for each of the processors to operate upon.
United States Patent No. 5,231,484 discloses a system and method for implementing an encoder suitable for use with the proposed ISO/IEC MPEG standards. Included are three cooperating components or subsystems that operate to variously adaptively pre-process the incoming digital motion video sequences, allocate bits to the pictures in a sequence, and adaptively quantize transform coefficients in different regions of a picture in a video sequence so as to provide optimal visual quality given the number of bits allocated to that picture.
United States Patent No. 5,267,334 discloses a method of removing frame redundancy in a computer system for a sequence of moving images. The method comprises detecting a first scene change in the sequence of moving images and generating a first keyframe containing complete scene information for a first image. The first keyframe is known, in a preferred embodiment, as a "forward-facing" keyframe or intraframe, and it is normally present in CCITT compressed video data. The process then comprises generating at least one intermediate compressed frame, the at least one intermediate compressed frame containing difference information from the first image for at least one image following the first image in time in the sequence of moving images. This at least one frame being known as an interframe. Finally, detecting a second scene change in the sequence of moving images and generating a second keyframe containing complete scene information for an 2145~23 ._ image displayed at the time just prior to the second scene change, known as a "backward-facing" keyframe. The first keyframe and the at least one intermediate compressed frame are linked for forward play, and the second keyframe and the intermediate compressed frames are linked in reverse for reverse play. The intraframe may also be used for generation of complete scene information when the images are played in the forward direction. When this sequence is played in reverse, the backward-facing keyframe is used for the generation of complete scene information.
United States Patent No. 5,276,513 discloses a first circuit apparatus, comprising a given number of prior-art image-pyramid stages, together with a second circuit apparatus, comprising the same given number of novel motion-vector stages, perform cost-effective hierarchical motion analysis (HMA) in real-time, with minimum system processing delay and/or employing minimum system processing delay and/or employing minimum hardware structure. Specifically, the first and second circuit apparatus, in response to relatively high-resolution image data from an ongoing input series of successive given pixel-density image-data frames that occur at a relatively high frame rate (e.g., 30 frames per second), derives, after a certain processing-system delay, an ongoing output series of successive given pixel-density vector-data frames that occur at the same given frame rate. Each vector-data frame is indicative of image motion occurring between each pair of successive image frames.
United States Patent No. 5,283,646 discloses a method and apparatus for enabling a real-time video encoding system to accurately deliver the desired number of bits per frame, while coding the image only once, updates the quantization step size used to quantize coefficients which describe, for example, an image to be transmitted over a communications channel. The data is divided into sectors, each sector 21~542~

including a plurality of blocks. The blocks are encoded, for example, using DCT coding, to generate a sequence of coefficients for each block. The coefficients can be quantized, and depending upon the quantization step, the number of bits required to describe the data will vary significantly. At the end of the transmission of each sector of data, the accumulated actual number of bits expended is compared with the accumulated desired number of bits expended, for a selected number of sectors associated with the particular group of data. The system then readjusts the quantization step size to target a final desired number of data bits for a plurality of sectors, for example describing an image. Various methods are described for updating the quantization step size and determining desired bit allocations.
The article, Chong, Yong M., A Data-Flow Architecture for Diqital Image Processing, Wescon Technical Papers: No.
2 Oct./Nov. 1984, discloses a real-time signal processing system specifically designed for image processing. More particularly, a token based data-flow architecture is disclosed wherein the tokens are of a fixed one word width having a fixed width address field. The system contains a plurality of identical flow processors connected in a ring fashion. The tokens contain a data field, a control field and a tag. The tag field of the token is further broken down into a processor address field and an identifier field. The processor address field is used to direct the tokens to the correct data-flow processor, and the identifier field is used to label the data such that the data-flow processor knows what to do with the data. In this way, the identifier field acts as an instruction for the data-flow processor. The system directs each token to a specific data-flow processor using a module number (MN). If the MN matches the MN of the particular stage, then the appropriate operations are 21~5423 performed upon the data. If unrecognized, the token is directed to an output data bus.
The article, Kimori, S. et al. An Elastic PiPeline Mechanism by Self-Timed Circuits, IEEE J. of Solid-State Circuits, Vol. 23, No. 1, February 1988, discloses an elastic pipeline having self-timed circuits. The asynchronous pipeline comprises a plurality of pipeline stages. Each of the pipeline stages consists of a group of input data latches followed by a combinatorial logic circuit that carries out logic operations specific to the pipeline stages. The data latches are simultaneously supplied with a triggering signal generated by a data-transfer control circuit associated with that stage. The data-transfer control circuits are interconnected to form a chain through which send and acknowledge signal lines control a hand-shake mode of data transfer between the successive pipeline stages.
Furthermore, a decoder is generally provided in each stage to select operations to be done on the operands in the present stage. It is also possible to locate the decoder in the preceding stage in order to pre-decode complex decoding processing and to alleviate critical path problems in the logic circuit. The elastic nature of the pipeline eliminates any centralized control since all the interworkings between the submodules are determined by a completely localized decision and, in addition, each submodule can autonomously perform data buffering and self-timed data-transfer control at the same time. Finally, to increase the elasticity of the pipeline, empty stages are interleaved between the occupied stages in order to ensure reliable data transfer between the stages.

2145~23 Accordingly, those concerned with the design, development and use of video compression/decompression systems and related subsystems have long recognized a need for improved methods and apparatus providing enhanced S flexibility, efficiency and performance. The present invention clearly fulfills all these needs.

.

Briefly, and in general terms the present invention provides, in a system having an input, an output and a plurality of processing stages between the input and the S output, an improvement characterized by an interactive interfacing control token, defining a universal adaptation unit, for control and/or data functions among said processing stages, so that the processing stages are afforded enhanced flexibility in the pe~formance of diverse tasks. The invention also provides an interactive metamorphic interfacing DATA token, defining a universal adaptation unit, for data functions among said processing stages, whereby the processing stages are afforded enhanced flexibility in the processing of data. A control token may be position independent of the processing stages for performance of functions. A DATA token may be position dependent upon the processing stages for its performance and may have unlimited word length. A control token may have a fixed, invariant word length. A token may be position dependent for some functions and position independent for other functions.
Tokens may be generated, created or converted by one of the processing stages. Tokens may be dynamically adaptive and may be altered by interfacing with the various stages. A
token may interact with all of the stages or interact with some, but less than all of the stages. Tokens may interact with adjacent stages or a token may interact with non-adjacent stages. Control tokens may cause processing stages to reconfigure. Control/DATA tokens provide a basic building block for the system and the interaction of a token with a stage may be conditioned by the previous processing history of that stage. A token may have an address field which characterizes that token, the interaction with a selected processing stage being determined by the address field. A token may also include an extension bit which indicates the presence of additional words in that token and also identifies the last word in the token. The address field may be of variable length and also may be Huffman coded.
A DATA token includes data for transfer to the processing stages. A control token may be devoid of data.
A control token may only condition the processing stages, the conditioning including reconfiguring of the processing stages. A token may also be a hybrid control and DATA token and provide both data and conditioning to processing stages.
A control token may identify a coding standard to the processing stages. A token may also operate independent of any coding standard among the processing stages.
A token may be capable of successive alteration by the processing stages and the interactive flexibility of control/DATA tokens in cooperation with the processing stages facilitates greater functional diversity of the processing stages for resident structure and facilitates system expansion and/or alteration.
A control token may be capable of facilitating a plurality of functions within a processing stage. The tokens may be hardware based, software based, or both. A token may also provide data and control simultaneously to`a processing stage. Certain of the control tokens may carry control bits containing indices indicating information for use in corresponding state machines to create a set of picture standard-independent indexer signals.

The above and other objectives and advantages of the invention will become apparent from the following more detailed description when taken in conjunction with the accompanying drawings.

Figure. 1 illustrates six cycles of a six-stage pipeline for different combinations of two internal control signals;
Figures. 2a and 2b illustrate a pipeline in which each stage includes auxiliary data storage. They also show the manner in which pipeline stages can "compress" and "expand" in response to delays in the pipeline;
Figures. 3a(1), 3a(2), 3b(1) and 3b(2) illustrate the control of data transfer between stages of a preferred embodiment of a pipeline using a two-wire interface and a multi-phase clock;
Figure. 4 is a block diagram that illustrates a basic embodiment of a pipeline stage that incorporates a two-wire transfer control and also shows two consecutive pipeline processing stages with the two-wire transfer control;
Figures. 5a and 5b taken together depict one example of a timing diagram that shows the relationship between timing signals, input and output data, and internal control signals used in the pipeline stage as shown in Figure. 4;
Figure. 6 is a block diagram of one example of a pipeline stage that holds its state under the control of an extension bit;
Figure. 7 is a block diagram of a pipeline stage that decodes stage activation data words;
Figures. 8a and 8b taken together form a block diagram showing the use of the two-wire transfer control in an exemplifying "data duplication" pipeline stage;
Figures. 9a and 9b taken together depict one example of a timing diagram that shows the two-phase clock, the two-wire transfer control signals and the other internal data and control signals used in the exemplifying embodiment shown in Figures. 8a and 8b.
Figure 10 is a block diagram of a reconfigurable processing stage;

21~5423 Figure 11 is a block diagram of a spatial decoder;
Figure 12 is a block diagram of a temporal decoder;
Figure 13 is a block diagram of a video formatter;
Figures 14a-c show various arrangements of memory blocks used in the present invention:
Figure 14a is a memory map showing a first arrangement of macroblocks;
Figure 14b is a memory map showing a second arrangement of macroblocks;
Figure 14c is a memory map showing a further arrangement of macroblocks;
Figure 15 shows a Venn diagram of possible table selection values;
Figure 16 shows the variable length of picture data used in the present invention;
Figure 17 is a block diagram of the temporal decoder including the prediction filters;
Figure 18 is a pictorial representation of the prediction filtering process;
Figure 19 shows a generalized representation of the macroblock structure;
Figure 20 shows a generalized block diagram of a Start Code Detector;
Figure 21 illustrates examples of start codes in a data stream;
Figure 22 is a block diagram depicting the relationship between the flag generator, decode index, header generator, extra word generator and output latches;
Figure 23 is a block diagram of the Spatial Decoder DRAM
interface;
Figure 24 is a block diagram of a write swing buffer;
Figure 25 is a pictorial diagram illustrating prediction data offset from the block being processed;
Figure 26 is a pictorial diagram illustrating prediction data 21~23 offset by (1,1);
Figure 27 is a block diagram illustrating the Huffman decoder and parser state machine of the Spatial Decoder.
Figure 28 is a block diagram illustrating the prediction filter.

-- 214542~

Figure 29 shows a typical decoder system;
Figure 30 shows a JPEG still picture decoder;
Figure 31 shows a JPEG video decoder;
5 Figure 32 shows a multi-standard video decoder;
Figure 33 shows the start and the end of a token;
Figure 34 shows a token address and data fields;
Figure 35 shows a token on an interface wider than 8 bits;
10 Figure 36 shows a macroblock structure;
Figure 37 shows a two-wire interface protocol;
Figure 38 shows the location of external two-wire interfaces;
Figure 39 shows clock propagation;
15 Figure 40 shows two-wire interface timing;
Figure 41 shows examples of access structure;
Figure 42 shows a read transfer cycle;
Figure 43 shows an access start timing;
Figure 44 shows an example access with two write 20 transfers;
Figure 45 shows a read transfer cycle;
Figure 46 shows a write transfer cycle;
Figure 47 shows a refresh cycle;
Figure 48 shows a 32 bit data bus and a 256 kbit deep DRAMs (9 bit row address);
Figure 49 shows timing parameters for any strobe signal;
Figure 50 shows timing parameters between any two strobe signals;
30 Figure 51 shows timing parameters between a bus and a strobe;
Figure 52 shows timing parameters between a bus and a strobe;
Figure 53 shows an MPI read timing;
35 Figure 54 shows an MPI write timing;
Figure 55 shows organization of large integers in the memory map;
Figure 56 shows a typical decoder clock regime;
Figure 57 shows input clock requirements;
40 Figure 58 shows the Spatial Decoder;
Figure 59 shows the inputs and outputs of the input circuit;
Figure 60 shows the coded port protocol;
Figure 61 shows the start code detector;
45 Figure 62 shows start codes detected and converted to Tokens;
Figure 63 shows the start codes detector passing Tokens;
Figure 64 shows overlapping MPEG start codes (byte 50 aligned);

-Figure 65 shows overlapping MPEG start codes (not byte aligned);
Figure 66 shows jumping between two video sequences;
5 Figure 67 shows a sequence of extra Token insertion;
Figure 68 shows decoder start-up control;
Figure 69 shows enabled streams queued before the output;
10 Figure 70 shows a spatial decoder buffer;
Figure 71 shows a buffer pointer;
Figure 72 shows a video demux;
Figure 73 shows a construction of a picture;
Figure 74 shows a construction of a 4:2:2 15 macroblock;
Figure 75 shows a calculating macroblock dimension from pel ones;
Figure 76 shows spatial decoding;
Figure 77 shows an overview of H.261 inverse 20 quantization;
Figure 78 shows an overview of JPEG inverse quantization;
Figure 79 shows an overview of MPEG inverse quantization;
25 Figure 80 shows a quantization table memory map;
Figure 81 shows an overview of JPEG baseline sequential structure;
Figure 82 shows a tokenised JPEG picture;
Figure 83 shows a temporal decoder;
30 Figure 84 shows a picture buffer specification;
Figure 85 shows an MPEG picture sequence (m=3);
Figure 86 shows how "I" pictures are stored and output;
Figure 87 shows how "P" pictures are formed, stored 35 and output;
Figure 88 shows how "B" pictures are formed and output;
Figure 89 shows P picture formation;
Figure 90 shows H.261 prediction formation;
Figure 91 shows an H.261 "sequence";
Figure 92 shows a hierarchy of H.261 syntax;
Figure 93 shows an H.261 picture layer;
Figure 94 shows an H.261 arrangement of groups of blocks;
Figure 95 shows an H.261 "slice" layer;
Figure 96 shows an H.261 arrangement of macroblocks;
Figure 97 shows an H.261 sequence of blocks;
Figure 98 shows an H.261 macroblock layer;
Figure 99 shows an H.261 arrangement of pels in blocks;

21~5~23 Figure 100 shows a hierarchy of MPEG syntax;
Figure 101 shows an MPEG sequence layer;
Figure 102 shows an MPEG group of pictures layer;
Figure 103 shows an MPEG picture layer;
5 Figure 104 shows an MPEG "slice" layer;
Figure 105 shows an MPEG sequence of blocks;
Figure 106 shows an MPEG macroblock layer;
Figure 107 shows an "open GOP";
Figure 108 shows examples of access structure;
10 Figure 109 shows access start timing;
Figure 110 shows a fast page read cycle;
Figure 111 shows a fast page write cycle;
Figure 112 shows a refresh cycle;
Figure 113 shows extracting row and column address 15 from a chip address;
Figure 114 shows timing parameters for any strobe signal;
Figure 115 shows timing parameters between any two strobe signals;
20 Figure 116 shows timing parameters between a bus and a strobe;
Figure 117 shows timing parameters between a bus and a strobe;
Figure 118 shows a Huffman decoder and parser;
Figure 119 shows an H.261 and an MPEG AC Coefficient Decoding Flow Chart;
Figure 120 shows a block diagram for JPEG (AC and DC) coefficient decoding;
Figure 121 shows a flow diagram for JPEG (AC and DC) coefficient decoding;
Figure 122 shows an interface to the Huffman Token Formatter;
Figure 123 shows a token formatter block diagram;
Figure 124 shows an H.261 and an MPEG AC Coefficient Decoding;
Figure 125 shows the interface to the Huffman ALU;
Figure 126 shows the basic structure of the Huffman ALU;
Figure 127 shows the buffer manager;
40 Figure 128 shows an imodel and hsppk block diagram;
Figure 129 shows an imex state diagram;
Figure 130 illustrates the buffer start-up;
Figure 131 shows a DRAM interface;
Figure 132 shows a write swing buffer;
45 Figure 133 shows an arithmetic block;
Figure 134 shows an iq block diagram;
Figure 135 shows an iqca state machine;
Figure 136 shows an IDCT 1-D Transform Algorithm;
Figure 137 shows an IDCT 1-D Transform Architecture;
50 Figure 138 shows a token stream block diagram;
Figure 139 shows a standard block structure;

, 20 Figure 140 is a block diagram showing;
microprocessor test access;
Figure 141 shows 1-D Transform Micro-Architecture;
Figure 142 shows a temporal decoder block diagram;
5 Figure 143 shows the structure of a Two-wire interface stage;
Figure 144 shows the address generator block diagram;
Figure 145 shows the block and pixel offsets;
10 Figure 146 shows multiple prediction filters;
Figure 147 shows a single prediction filter;
Figure 148 shows the 1-D prediction filter;
Figure 149 shows a block of pixels;
Figure 150 shows the structure of the read rudder;
15 Figure 151 shows the block and pixel offsets;
Figure 152 shows a prediction example;
Figure 153 shows the read cycle;
Figure 154 shows the write cycle;
Figure 155 shows the top-level registers block diagram with timing references;
Figure 156 shows the control for incrementing presentation numbers;
Figure 157 shows the buffer manager state machine (complete);
Figure 158 shows the state machine main loop;
Figure 159 shows the buffer 0 containing an SIF (22 by 18 macroblocks) picture;
Figure 160 shows the SIF component 0 with a display window;
Figure 161 shows an example picture format showing storage block address;
Figure 162 shows a buffer 0 containing a SIF (22 by 18 macroblocks) picture;
Figure 163 shows an example address calculation;
35 Figure 164 shows a write address generation state machine;
Figure 165 shows a slice of the datapath;
Figure 166 shows a two cycle operation of the datapath;
40 Figure 167 shows mode 1 filtering;
Figure 168 shows a horizontal up-sampler datapath;
and Figure 169 shows the structure of the color-space converter.

21 ` 2145g23 THIS PAGE IS INTENTIONALLY
LEFT BLANK.

2145~2~

In the ensuing description of the practice of the invention, the following terms are frequently used and are generally defined by the following glossary:

BLOCR: An 8-row by 8-column matrix of pels, or 64 DCT
coefficients (source, quantized or dequantized).
~U~o~T~NcB (COMPONENT): A matrix, block or single pel representing one of the two color difference signals related to the primary colors in the manner defined in the bit stream. The symbols used for the color difference signals are Cr and Cb.
CODED REPRESENTATION: A data element as represented in its encoded form.
CODED VIDEO BIT 8TREAM: A coded representation of a series of one or more pictures as defined in this specification.
CODED ORDER: The order in which the pictures are transmitted and decoded. This order is not necessarily the same as the display order.
COMPONENT: A matrix, block or single pel from one of the three matrices (luminance and two chrominance) that make up a picture.
COMPRES8ION: Reduction in the number of bits used to represent an item of data.
DECODER: An embodiment of a decoding process.
DECODING (PROCES8): The process defined in this specification that reads an input coded bitstream and produces decoded pictures or audio samples.
DI8PLAY ORDER: The order in which the decoded pictures are displayed. Typically, this is the same order in which they were presented at the input of the encoder.
ENCODING (PROCES8): A process, not specified in this specification, that reads a stream of input pictures or audio samples and produces a valid coded bitstream as defined in this specification.

23 21~5423 INTRA CODING: Coding of a macroblock or picture that uses information only from that macroblock or picture.
LUMINANCE (COMPONENT): A matrix, block or single pel representing a monochrome representation of the signal and related to the primary colors in the manner defined in the bit stream. The symbol used for luminance is Y.
MACROBLOCR: The four 8 by 8 blocks of luminance data and the two (for 4:2:0 chroma format) four (for 4:2:2 chroma format) or eight (for 4:4:4 chroma format) corresponding 8 by 8 blocks of chrominance data coming from a 16 by 16 section of the luminance component of the picture. Macroblock is sometimes used to refer to the pel data and sometimes to the coded representation of the pel values and other data elements defined in the macroblock header of the syntax defined in this part of this specification. To one of ordinary skill in the art, the usage is clear from the context.
MOTION COMPENSATION: The use of motion vectors to improve the efficiency of the prediction of pel values. The prediction uses motion vectors to provide offsets into the past and/or future reference pictures containing previously decoded pel values that are used to form the prediction error signal.
MOTION VECTOR: A two-dimensional vector used for motion compensation that provides an offset from the coordinate position in the current picture to the coordinates in a reference picture.
NON-INTRA CODING: Coding of a macroblock or picture that uses information both from itself and from macroblocks and pictures occurring at other times.
PEL: Picture element.
PICTURE: Source, coded or reconstructed image data. A source or reconstructed picture consists of three rectangular matrices of 8-bit numbers representing the luminance and two chrominance signals. For progressive video, a picture is 2145~23 -identical to a frame, while for interlaced video, a picture can refer to a frame, or the top field or the bottom field of the frame depending on the context.
PREDICTION: The use of a predictor to provide an estimate of the pel value or data element currently being decoded.
RECONFIGURA_LE PROCESS 8TAGE (RP8): A stage, which in response to a recognized token, reconfigures itself to perform various operations.
8LICE: A series of macroblocks.
TOREN: A universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions.
8TART CODE8 t8YSTE~ AND VIDEo]: 32-bit codes embedded in a coded bitstream that are unique. They are used for several purposes including identifying some of the structures in the coding syntax.
V~T~RTT~ LENGT~ CODING; VLC: A reversible procedure for coding that assigns shorter code-words to frequent events and longer code-words to less frequent events.
VIDEO 8EQ~ENCE: A series of one or more pictures.
Detailed Descriptions 21~5423 -DESCRIPTION OF THE PREFERRED EMBODIMENT(8) As an introduction to the most general features used in a pipeline system which is utilized in the preferred embodiments of the invention, Fig. 1 is a greatly simplified illustration of six cycles of a six-stage pipeline. (As is explained in greater detail below, the preferred embodiment of the pipeline includes several advantageous features not shown in Fig 1.).
Referring now to the drawings, wherein like reference numerals denote like or corresponding elements throughout the various figures of the drawings, and more particularly to Fig. 1, there is shown a block diagram of six cycles in practice of the present invention. Each row of boxes illustrates a cycle and each of the different stages are labelled A-F, respectively. Each shaded box indicates that the corresponding stage holds valid data, i.e., data that is to be processed in one of the pipeline stages. After processing (which may involve nothing more than a simple transfer without manipulation of the data) valid data is transferred out of the pipeline as valid output data.
Note that an actual pipeline application may include more or fewer than six pipeline stages. As will be appreciated, the present invention may be used with any number of pipeline stages. Furthermore, data may be processed in more than one stage and the processing time for different stages can differ.
In addition to clock and data signals (described below), the pipeline includes two transfer control signals -- a "VALID" signal and an "ACCEPT" signal. These signals are used to control the transfer of data within the pipeline.
The VALID signal, which is illustrated as the upper of the two lines connecting neighboring stages, is passed in a forward or downstream direction from each pipeline stage to the nearest neighboring device. This device may be another 21~59~3 --pipeline stage or some other system. For example, the last pipeline stage may pass its data on to subsequent processing circuitry. The ACCEPT signal, which is illustrated as the lower of the two lines connecting neighboring stages, passes in the other direction upstream to a preceding device.
A data pipeline system of the type used in the practice of the present invention has, in preferred embodiments, one or more of the following characteristics:
1. The pipeline is "elastic" such that a delay at a lo particular pipeline stage causes the minimum disturbance possible to other pipeline stages. Succeeding pipeline stages are allowed to continue processing and, therefore, this means that gaps open up in the stream of data following the delayed stage. Similarly, preceding pipeline stages may also continue where possible. In this case, any gaps in the data stream may, wherever possible, be removed from the stream of data.
2. Control signals that arbitrate the pipeline are organized so that they only propagate to the nearest neighboring pipeline stages. In the case of signals flowing in the same direction as the data flow, this is the immediately succeeding stage. In the case of signals flowing in the opposite direction to the data flow, this is the immediately preceding stage.
3. The data in the pipeline is encoded such that many different types of data are processed in the pipeline.
This encoding accommodates data packets of variable size and the size of the packet need not be known in advance.
4. The overhead associated with describing the type of data is as small as possible.
5. It is possible for each pipeline stage to recognize only the minimum number of data types that are needed for its required function. It should, however, still be able to pass all data types onto the succeeding stage even 2~454~3 though it does not recognize them. This enables communication between non-adjacent pipeline stages.
Although not shown in Fig. 1, there are data lines, either single lines or several parallel lines, which form a S data bus that also lead into and out of each pipeline stage.
As is explained and illustrated in greater detail below, data is transferred into, out of, and between the stages of the pipeline over the data lines.
Note that the first pipeline stage may receive data and control signals from any form of preceding device. For example, reception circuitry of a digital image transmission system, another pipeline, or the like. On the other hand, it may generate itself, all or part of the data to be processed in the pipeline. Indeed, as is explained below, a "stage"
may contain arbitrary processing circuitry, including none at all (for simple passing of data) or entire systems (for example, another pipeline or even multiple systems or pipelines), and it may generate, change, and delete data as desired.
When a pipeline stage contains valid data that is to be transferred down the pipeline, the VALID signal, which indicates data validity, need not be transferred further than to the immediately subsequent pipeline stage. A two-wire interface is, therefore, included between every pair of pipeline stages in the system. This includes a two-wire interface between a preceding device and the first stage, and between a subsequent device and the last stage, if such other devices are included and data is to be transferred between them and the pipeline.
Each of the signals, ACCEPT and VALID, has a HIGH and a LOw value. These values are abbreviated as "H" and "L", respectively. The most common applications of the pipeline, in practicing the invention, will typically be digital. In such digital implementations, the HIGH value may, for example, be a logical "1" and the LOW value may be a logical "O". The system is not restricted to digital implementations, however, and in analog implementations, the HIGH value may be a voltage or other similar quantity above (or below) a set threshold, with the LOW value being indicated by the corresponding signal being below (or above) the same or some other threshold. For digital applications, the present invention may be implemented using any known technology, such as CMOS, bipolar etc.
It is not necessary to use a distinct storage device and wires to provide for storage of VALID signals. This is true even in a digital embodiment. All that is required is that the indication of "validity" of the data be stored along with the data. By way of example only, in digital television pictures that are represented by digital values, as specified in the international standard CCIR 601, certain specific values are not allowed. In this system, eight-bit binary numbers are used to represent samples of the picture and the values zero and 255 may not be used.
If such a picture were to be processed in a pipeline built in the practice of the present invention, then one of these values (zero, for example) could be used to indicate that the data in a specific stage in the pipeline is not valid.
Accordingly, any non-zero data would be deemed to be valid.
In this example, there is no specific latch that can be identified and said to be storing the "validness" of the associated data. Nonetheless, the validity of the data is stored along with the data.
As shown in Fig. 1, the state of the VALID signal into each stage is indicated as an ~H~ or an "L" on an upper, right-pointed arrow. Therefore, the VALID signal from Stage A into Stage B is LOW, and the VALID signal from Stage D into Stage E is HIGH. The state of the ACCEPT signal into eacn stage is indicated as an ~H~ or an ~L~ on a lower, left-2145~23 pointing arrow. Hence, the ACCEPT signal from Stage E intoStage D is HIGH, whereas the ACCEPT signal from the device connected downstream of the pipeline into Stage F is LOW.
Data is transferred from one stage to another during a cycle (explained below) whenever the ACCEPT signal of the downstream stage into its upstream neighbor is HIGH. If the ACCEPT signal is LOW between two stages, then data is not transferred between these stages.
Referring again to Fig. l, if a box is shaded, the corresponding pipeline stage is assumed, by way of example, to contain valid output data. Likewise, the VALID signal which is passed from that stage to the following stage is HIGH. Fig. 1 illustrates the pipeline when stages B, D, and E contain valid data. Stages A, C, and F do not contain valid data. At the beginning, the VALID signal into pipeline stage A is HIGH, meaning that the data on the transmission line into the pipeline is valid.
Also at this time, the ACCEPT signal into pipeline stage F is LOW, so that no data, whether valid or not, is transferred out of Stage F. Note that both valid and invalid data is transferred between pipeline stages. Invalid data, which is data not worth saving, may be written over, thereby, eliminating it from the pipeline. However, valid data must . not be written over since it is data that must be saved for processing or use in a downstream device e.g., a pipeline stage, a device or a system connected to the pipeline that receives data from the pipeline.
In the pipeline illustrated in Fig. 1, Stage E contains valid data D1, Stage D contains valid data D2, Stage B
contains valid data D3, and a device (not shown) connected to the pipeline upstream contains data D4 that is to be transferred into and processed in the pipeline. Stages B, D
and E, in addition to the upstream device, contain valid data and, therefore, the VALID signal from these stages or devices 21454~3 into their respective following devices is HIGH. The VALID
signal from the Stages A, C and F is, however, LOW since these stages do not contain valid data.
Assume now that the device connected downstream from the pipeline is not ready to accept data from the pipeline. The device signals this by setting the corresponding ACCEPT
signal LOW into Stage F. Stage F itself, however, does not contain valid data and is, therefore, able to accept data from the preceding Stage E. Hence, the ACCEPT signal from Stage F into Stage E is set HIGH.
Similarly, Stage E contains valid data and Stage F is ready to accept this data. Hence, Stage E can accept new data as long as the valid data D1 is first transferred to Stage F. In other words, although Stage F cannot transfer data downstream, all the other stages can do so without any valid data being overwritten or lost. At the end of Cycle 1, data can, therefore, be "shifted" one step to the right.
This condition is shown in Cycle 2.
In the illustrated example, the downstream device is still not ready to accept new data in Cycle 2 and, therefore, the ACCEPT signal into Stage F is still LOW. Stage F cannot, therefore, accept new data since doing so would cause valid data Dl to be overwritten and lost. The ACCEPT signal from Stage F into Stage E, therefore, goes LOW, as does the ACCEPT
signal from Stage E into Stage D since Stage E also contains valid data D2. All of the Stages A-D, however, are able to accept new data (either because they do not contain valid data or because they are able to shift their valid data downstream and accept new data) and they signal this condition to their immediately preceding neighbors by setting their corresponding ACCEPT signals HIGH.
The state of the pipelines after Cycle 2 is illustrated in Fig. 1 for the row labelled Cycle 3. By way of example, it is assumed that the downstream device is still not ready to accept new data from Stage F (the ACCEPT signal into Stage F
is LOW). Stages E and F, therefore, are still "blocked", but in Cycle 3, Stage D has received the valid data D3, which has overwritten the invalid data that was previously in this stage. Since Stage D cannot pass on data D3 in Cycle 3, it cannot accept new data and, therefore, sets the ACCEPT signal into Stage C LOW. However, stages A-C are ready to accept new data and signal this by setting their corresponding ACCEPT signals HIGH. Note that data D4 has been shifted from Stage A to Stage B.
Assume now that the downstream device becomes ready to accept new data in Cycle 4. It signals this to the pipeline by setting the ACCEPT signal into Stage F HIGH. Although Stages C-F contain valid data, they can now shift the data downstream and are, thus, able to accept new data. Since each stage is therefore able to shift data one step downstream, they set their respective ACCEPT signals out HIGH.
As long as the ACCEPT signal into the final pipeline stage (in this example, Stage F) is HIGH, the pipeline shown in Fig. 1 acts as a rigid pipeline and simply shifts data one step downstream on each cycle. Accordingly, in Cycle 5, data D1, which was contained in Stage F in Cycle 4, is shifted out of the pipeline to the subsequent device, and all other data is shifted one step downstream.
Assume now, that the ACCEPT signal into Stage F goes LOW
in Cycle 5. Once again, this means that Stages D-F are not able to accept new data, and the ACCEPT signals out of these stages into their immediately preceding neighbors go LOW.
Hence, the data D2, D3 and D4 cannot shift downstream, however, the data D5 can. The corresponding state of the pipeline after Cycle 5 is, thus, shown in Fig. 1 as Cycle 6.
The ability of the pipeline, in accordance with the preferred embodiments of the present invention, to ~'fill up"

21~5423 empty processing stages is highly advantageous since the processing stages in the pipeline thereby become decouple from one another. In other words, even though a pipeline stage may not be ready to accept data, the entire pipeline 5 does not have to stop and wait for the delayed stage.
Rather, when one stage is unable to accept valid data it simply forms a temporary "wall" in the pipeline.
Nonetheless, stages downstream of the "wall" can continue to advance valid data even to circuitry connected to the pipeline, and stages to the left of the "wall" can still accept and transfer valid data downstream. Even when several pipeline stages temporarily cannot accept new data, other stages can continue to operate normally. In particular, the pipeline can continue to accept data into its initial stage A as long as stage A does not already contain valid data that cannot be advanced due to the next stage not being ready to accept new data. As this example illustrates, data can be transferred into the pipeline and between stages even when one or more processing stages is blocked.
In the embodiment shown in Fig. 1, it is assumed that the various pipeline stages do not store the ACCEPT signals they receive from their immediately following neighbors. Instead, whenever the ACCEPT signal into a downstream stage goes LOW, this LOW signal is propagated upstream as far as the nearest pipeline stage that does not contain valid data. For example, referring to Fig. l, it was assumed that the ACCEPT
signal into Stage F goes LOW in Cycle 1. In Cycle 2, the LOW
signal propagates from Stage F back to Stage D.
In Cycle 3, when the data D3 is latched into Stage D, the ACCEPT signal propagates upstream four stages to Stage C.
When the ACCEPT signal into Stage F goes HIGH in Cycle 4, it must propagate upstream all the way to Stage C. In other words, the chanqe in the ACCEPT signal must propagate back four stages. It is not necessary, however, in the embodiment - 2l~5423 illustrated in Fig. 1, for the ACCEPT signal to propagate all the way back to the beginning of the pipeline if there is some intermediate stage that is able to accept new data.
In the embodiment illustrated in Fig. 1, each pipeline stage will still need separate input and output data latches to allow data to be transferred between stages without unintended overwriting. Also, although the pipeline illustrated in Fig. 1 is able to "compress" when downstream pipeline stages are blocked, i.e., they cannot pass on the data they contain, the pipeline does not "expand" to provide stages that contain no valid data between stages that do contain valid data. Rather, the ability to compress depends on there being cycles during which no valid data is presented to the first pipeline stage.
In Cycle 4, for example, if the ACCEPT signal into Stage F remained LOW and valid data filled pipeline stages A and B, as long as valid data continued to be presented to Stage A
the pipeline would not be able to compress any further and valid input data could be lost. Nonetheless, the pipeline illustrated in Fig. 1 reduces the risk of data loss since it is able to compress as long as there is a pipeline stage that does not contain valid data.
Fig. 2 illustrates another embodiment of the pipeline that can both compress and expand in a logical manner and which includes circuitry that limits propagation of the ACCEPT
signal to the nearest preceding stage. Although the circuitry for implementing this embodiment is explained and illustrated in greater detail below, Fig. 2 serves to illustrate the principle by which it operates.
For ease of comparison only, the input data and ACCEPT
slgnals into the pipeline embodiment shown in Fig. 2 are the same as in the pipeline embodiment shown in Fig. .
Accordingly, stages E, D and B contain valid data Dl, D2 a.-,~
D3, respectively. The ACCEPT signal into Stage F is LOW; ar~

21454~3 data D4 is presented to the beqinning pipeline Stage A. In Fig. 2, three lines are shown connecting each neighboring pair of pipeline stages. The uppermost line, which may be a bus, is a data line. The middle line is the line over which the VALID signal is transferred, while the bottom line is the line over which the ACCEPT signal is transferred. Also, as before, the ACCEPT signal into Stage F remains LOW except in Cycle 4. Furthermore, additional data D5 is presented to the pipeline in Cycle 4.
In Fig. 2, each pipeline stage is represented as a block divided into two halves to illustrate that each stage in this embodiment of the pipeline includes primary and secondary data storage elements. In Fig. 2, the primary data storage is shown as the right half of each stage. However, it will be appreciated that this delineation is for the purpose of illustration only and is not intended as a limitation.
As Fig. 2 illustrates, as long as the ACCEPT signal into a stage is HIGH, data is transferred from the primary storage elements of the stage to the secondary storage elements of the following stage during any given cycle. Accordingly, although the ACCEPT signal into Stage F is LOW, the ACCEPT
signal into all other stages is HIGH so that the data Dl, D2 and D3 is shifted forward one stage in Cycle 2 and the data D~ is shifted into the first Stage A.
Up to this point, the pipeline embodiment shown in Fig. 2 acts in a manner similar to the pipeline embodiment shown in Fig. 1. The ACCEPT signal from Stage F into Stage E, however, is HIGH even though the ACCEPT signal into Stage F
is LOW. As is explained below, because of the secondary storage elements, it is not necessary for the LOW ACCEPT
signal to propagate upstream beyond Stage F. Moreover, by leaving the ACCEPT signal into Stage E HIGH, Stage F signals that it is ready to accept new data. Since Stage F is not able to transfer the data Dl in its primary storage elements downstream (the ACCEPT signal into Stage F is LOW) in Cycle 3, Stage E must, therefore, transfer the data D2 into the secondary storage elements of Stage F. Since both the primary and the secondary storage elements of Stage F now contain valid data that cannot be passed on, the ACCEPT
signal from Stage F into Stage E is set LOW. Accordingly, this represents a propagation of the LOW ACCEPT signal back only one stage relative to Cycle 2, whereas this ACCEPT
signal had to be propagated back all the way to Stage C in the embodiment shown in Fig. l.
Since Stages A-E are able to pass on their data, the ACCEPT signals from the stages into their immediately preceding neighbors are set HIGH. Consequently, the data D3 and D4 are shifted one stage to the right so that, in Cycle 4, they are loaded into the primary data storage elements of Stage E and Stage C, respectively. Although Stage E now contains valid data D3 in its primary storage elements, its secondary storage elements can still be used to store other data without risk of overwriting any valid data.
Assume now, as before, that the ACCEPT signal into Stage F becomes HIGH in Cycle 4. This indicates that the downstream device to which the pipeline passes data is ready to accept data from the pipeline. Stage F, however, has set its ACCEPT signal LOW and, thus, indicates to Stage E that Stage F is not prepared to accept new data. Observe that the ACCEPT signals for each cycle indicate what will "happen" in the next cycle, that is, whether data will be passed on (ACCEPT HIGH) or whether data must remain in place (ACCEPT
LOW). Therefore, from Cycle 4 to Cycle 5, the data D1 is passed from Stage F to the following device, the data D2 is shifted from secondary to primary storage in Stage F, but the data D3 in Stage E is not transferred to Stage F. The data D4 and D5 can be transferred into the following pipeline stages as normal since the following stages have their ACCEPT

`~ 2145~2~

signals HIGH.
Comparing the state of the pipeline in Cycle 4 and Cycle 5, it can be seen that the provision of secondary storage elements, enables the pipeline embodiment shown in Fig. 2 to expand, that is, to free up data storage elements into which valid data can be advanced. For example, in Cycle 4, the data blocks D1, D2 and D3 form a "solid wall" since their data cannot be transferred until the ACCEPT signal into Stage F goes HIGH. Once this signal does become HIGH, however, data D1 is shifted out of the pipeline, data D2 is shifted into the primary storage elements of Stage F, and the secondary storage elements of Stage F become free to accept new data if the following device is not able to receive the data D2 and the pipeline must once again "compress." This is shown in Cycle 6, for which the data D3 has been shifted into - the secondary storage elements of Stage F and the data D4 has been passed on from Stage D to Stage E as normal.
Figs. 3a(1), 3a(2), 3b(1) and 3b(2) (which are referred to collectively as Fig. 3) illustrate generally a preferred embodiment of the pipeline. This preferred embodiment implements the structure shown in Fig. 2 using a two-phase, non-overlapping clock with phases 00 and 01. Although a two-phase clock is preferred, it will be appreciated that it is also possible to drive the various embodiments of the invention using a clock with more than two phases.
As shown in Fig. 3, each pipeline stage is represented as having two separate boxes which illustrate the primary and secondary storage elements. Also, although the VALID signal and the data lines connect the various pipeline stages as before, for ease of illustration, only the ACCEPT signal is shown in Fig. 3. A change of state during a clock phase of certain of the ACCEPT signals is indicated in Fig. 3 using an upward-pointing arrow for changes from LOW to HIGH.
Similarly, a downward-pointing arrow for changes from HIGH to 214~423 -LOW. Transfer of data from one storage element to another is indicated by a large open arrow. It is assumed that the VALID signal out of the primary or secondary storage elements of any given stage is HIGH whenever the storage elements contain valid data.
In Fig. 3, each cycle is shown as consisting of a full period of the non-overlapping clock phases ao and ol. As is explained in greater detail below, data is transferred from the secondary storage elements (shown as the left box in each stage) to the primary storage elements (shown as the right box in each stage) during clock cycle 01, whereas data is transferred from the primary storage elements of one stage to the secondary storage elements of the following stage during the clock cycle oO. Fig. 3 also illustrates that the primary and secondary storage elements in each stage are further connected via an internal acceptance line to pass an ACCEPT
signal in the same manner that the ACCEPT signal is passed from stage to stage. In this way, the secondary storage element will know when it can pass its date to the primary storage element.
Fig. 3 shows the 01 phase of Cycle 1, in which data D1, D2 and D3, which were previously shifted into the secondary storage elements of Stages E, D and B, respectively, are shifted into the primary storage elements of the respective 2~ stage. During the ol phase of Cycle 1, the pipeline, therefore, assumes the same configuration as is shown as Cycle 1 of Fig. 2. As before, the ACCEPT signal into Stage F is assumed to be LOW. As Fig. 3 illustrates, however, this means that the ACCEPT signal into the primary storage element ~o of Stage F is LOW, but since this storage element does not contain valid data, it sets the ACCEPT signal into its secondary storage element HIGH.
The ACCEPT signal from the secondary storage elements of Stage F into the primary storage elements of Stage E is also 21~S423 -set HIGH since the secondary storage elements of Stage F do not contain valid data. As before, since the primary storage elements of Stage F are able to accept data, data in all the upstream primary and secondary storage elements can be shifted downstream without any valid data being overwritten.
The shift of data from one stage to the next takes place during the next ~0 phase in Cycle 2. For example, the valid data D1 contained in the primary storage element of Stage E
is shifted into the secondary storage element of Stage F, the data D4 is shifted into the pipeline, that is, into the secondary storage element of Stage A, and so forth.
The primary storage element of Stage F still does not contain valid data during the 00 phase in Cycle 2 and, therefore, the ACCEPT signal from the primary storage elements into the secondary storage elements of Stage F
remains HIGH. During the 01 phase in Cycle 2, data can therefore be shifted yet another step to the right, i.e., from the secondary to the primary storage elements within each stage.
However, once valid data is loaded into the primary storage elements of Stage F, if the ACCEPT into Stage F from the downstream device is still LOW, it is not possible to shift data out of the secondary storage element of Stage F
without overwriting and destroying the valid data Dl. The ACCEPT signal from the primary storage elements into the secondary storage elements of Stage F therefore goes LOW.
Data D2, however, can still be shifted into the secondary storage of Stage F since it did not contain valid data and its ACCEPT signal out was HIGH.
During the ~1 phase of Cycle 3, it is not possible to shift data D2 into the primary storage elements of Stage F, - although data can be shifted within all the previous stages.
Once valid data is loaded into the secondary storage elemen-s of Stage F, however, Stage F is not able to pass on this `-` 21~5423 data. It signals this event setting its ACCEPT signal out LOW.
Assuming that the ACCEPT signal into Stage F remains LOW, data upstream of Stage F can continue to be shifted between stages and within stages on the respective clock phases until the next valid data block D3 reaches the primary storage elements of Stage E. As illustrated, this condition is reached during the ~1 phase of Cycle 4.
During the ao phase of Cycle 5, data D3 has been loaded into the primary storage element of Stage E. Since this data cannot be shifted further, the ACCEPT signal out of the primary storage elements of Stage E is set LOW. Upstream data can be shifted as normal.
Assume now, as in Cycle 5 of Fig. 2, that the device lS connected downstream of the pipeline is able to accept pipeline data. It signals this event by setting the ACCEPT
signal into pipeline Stage F HIGH during the 01 phase of Cycle 4. The primary storage elements of Stage F can now shift data to the right and they are also able to accept new data. Hence, the data Dl was shifted out during the al phase of Cycle 5 so that the primary storage elements of Stage F no longer contain data that must be saved. During the al phase of Cycle 5, the data D2 is, therefore, shifted within Stage F from the secondary storage elements to the primary storage elements. The secondary storage elements of Stage F are also able to accept new data and signal this by setting the ACCEPT
signal into the primary storage elements of Stage E HIGH.
During transfer of data within a stage, that is, from its secondary to its primary storage elements, both sets of storage elements will contain the same data, but the data in the secondary storage elements can be overwritten with no data loss since this data will also be held in the primary storage elements. The same holds true for data transfer from the primary storage elements of one stage into the secondary 214542~

storage elements of a subsequent stage.
Assume now, that the ACCEPT signal into the primary storage elements of Stage F goes LOW during the al phase in Cycle 5. This means that Stage F is not able to transfer the data D2 out of the pipeline. Stage F, consequently, sets the ACCEPT signal from its primary to its secondary storage elements LOW to prevent overwriting of the valid data D2.
The data D2 stored in the secondary storage elements of Stage F, however, can be overwritten without loss, and the data D3, is therefore, transferred into the secondary storage elements of Stage F during the aO phase of Cycle 6. Data D4 and D5 can be shifted downstream as normal. Once valid data D3 is stored in Stage F along with data D2, as long as the ACCEPT
signal into the primary storage elements of Stage F is LOW, neither of the secondary storage elements can accept new data, and it signals this by setting the ACCEPT signal into Stage E LOW.
When the ACCEPT signal into the pipeline from the downstream device changes from LOW to HIGH or vice versa, this change does not have to propagate upstream within the pipeline further than to the immediately preceding storage elements (within the same stage or within the preceding pipeline stage). Rather, this change propagates upstream within the pipeline one storage element block per clock phase.
As this example illustrates, the concept of a "stage" in the pipeline structure illustrated in Fig. 3 is to some extent a matter of perception. Since data is transferred within a stage (from the secondary to the primary storage elements) as it is between stages (from the primary storage elements of the upstream stage into the secondary storage elements of the neighboring downstream stage), one could just as well consider a stage to consist of "primary" storage elements followed by ~secondary storage elements~ instead of ~` 21~542~

as illustrated in Fig. 3. The concept of "primary" and "secondary" storage elements is, therefore, mostly a question of labeling. In Fig. 3, the "primary" storage elements can also be referred to as "output" storage elements, since they are the elements from which data is transferred out of a stage into a following stage or device, and the "secondary"
storage elements could be "input" storage elements for the same stage.
In explaining the aforementioned embodiments, as shown in Figs. 1-3, only the transfer of data under the control of the ACCEPT and VALID signals has been mentioned. It is to be further understood that each pipeline stage may also process the data it has received arbitrarily before passing it between its internal storage elements or before passing it to the following pipeline stage. Therefore, referring once again to Fig. 3, a pipeline stage can, therefore, be defined as the portion of the pipeline that contains input and output storage elements and that arbitrarily processes data stored in its storage elements.
Furthermore, the "device" downstream from the pipeline Stage F, need not be some other type of hardware structure, but rather it can be another section of the same or part of another pipeline. As illustrated below, a pipeline stage can set its ACCEPT signal LOW not only when all of the downstream 2~ storage elements are filled with valid data, but also when a stage requires more than one clock phase to finish processing its data. This also can occur when it creates valid data in one or both of its storage elements. In other words, it is not necessary for a stage simply to pass on the ACCEPT signal based on whether or not the immediately downstream storage elements contains valid data that cannot be passed on.
Rather, the ACCEPT signal itself may also be altered within the stage or, by circuitry external to the stage, in order to control the passage of data between adjacent storage ~' 21gS~-~3 elements. The VALID signal may also be processed in an analogous manner.
A great advantage of the two-wire interface (one wire for each of the VALID and ACCEPT signals) is its ability to control the pipeline without the control signals needing to propagate back up the pipeline all the way to its beginning stage. Referring once again to Fig. 1, Cycle 3, for example, although stage F "tells" stage E that it cannot accept data, and stage E tells stage D, and stage D tells stage C.
Indeed, if there had been more stages containing valid data, then this signal would have propagated back even further along the pipeline. In the embodiment shown in Fig. 3, Cycle 3, the LOW ACCEPT signal is not propagated any further upstream than to Stage E and, then, only to its primary storage elements.
As described below, this embodiment is able to achieve this flexibility without adding significantly to the silicon area that is required to implement the design. Typically, each latch in the pipeline used for data storage requires only a single extra transistor (which lays out very efficiently in silicon). In addition, two extra latches and a small number of gates are preferably added to process the ACCEPT and VALID signals that are associated with the data . latches in each half-stage.
25Fig. 4 illustrates a hardware structure that implements a stage as shown in Fig. 3.
By way of example only, it is assumed that eight-bit data is to be transferred (with or without further manipulation in optional combinatorial logic circuits) in parallel through '0 the pipeline. However, it will be appreciated that either more or less than eight-bit data can be used in practicing the invention. Furthermore, the two-wire interface in accordance with this embodiment is, however, suitable for use with any data bus width, and the data bus width may even -` 214~423 change from one stage to the next if a particular application so requires. The in~erface in accordance with this embodiment can also be used to process analog signals.
As discussed previously, while other conventional timing arrangements may be used, the interface is preferably controlled by a two-phase, non-overlapping clock. In Figs.
4-9, these clock phase signals are referred to as PH0 and PHl. In Fig. 4, a line is shown for each clock phase signal.
Input data enters a pipeline stage over a multi-bit data bus IN_DATA and is transferred to a following pipeline stage or to subsequent receiving circuitry over an output data bus OUT_DATA. The input data is first loaded in a manner described below into a series of input latches (one for each input data signal) collectively referred to as LDIN, which constitute the secondary storage elements described above.
In the illustrated example of this embodiment, it is assumed that the Q outputs of all latches follow their D
inputs, that is, they are "loaded", when the clock input is HIGH, i.e., at a logic "1" level. Additionally, the Q
outputs hold their last values. In other words, the Q
outputs are "latched" on the falling edge of their respective clock signals. Each latch has for its clock either one of two non-overlapping clock signals PH0 or PHl (as shown in Fig. 5), or the logical AND combination of one of these clock signals PH0, PHl and one logic signal. The invention works equally well, however, by providing latches that latch on the rising edges of the clock signals, or any other known latching arrangement, as long as conventional methods are applied to ensure proper timing of the latching operations.
30The output data from the input data latch LDIN passes via an arbitrary and optional combinatorial logic circuit B1, which may be provided to convert output data from input latch LDI~ into intermediate data, which is then later loaded in an output data latch LDOUT, which comprises the primary storaae elements described above. The output from the output data latch LDOUT may similarly pass through an arbitrary and optional combinatorial logic circuit B2 before being passed onward as OUT_DATA to the next device downstream. This may be another pipeline stage or any other device connected to the pipeline.
In the practice of the present invention, each stage of the pipeline also includes a validation input latch LVIN, a validation output latch LVOUT, an acceptance input latch LAIN, and an acceptance output latch LAOUT. Each of these four latches is, preferably, a simple, single-stage latch.
The outputs from latches LVIN, LVOUT, LAIN and LAOUT are, respectively, QVIN, QVOUT, QAIN, QAOUT. The output signal QVIN from the validation input latch is connected either directly as an input to the validation output latch LVOUT, or via intermediate logic devices or circuits that may alter the signal.
Similarly, the output validation signal QVOUT of a given stage may be connected either directly to the input of the validation input latch QVIN of the following stage, or via intermediate devices or logic circuits, which may alter the validation signal. This output QVIN is also connected to a logic gate (to be described below), whose output is connected to the input of the acceptance input latch LAIN. The output QAOUT from the acceptance output latch LAOUT is connected to a similar logic gate (described below), optionally via another logic gate.
As shown in Fig. 4, the output validation signal QVOUT
forms an OUT_VALID signa that can be received by subsequent stages as an IN VALID signal, or simply to indicate valid data to subsequent circuity connected to the pipeline. The readiness of the following circuit or stage to accept data is indicated to each stage as the signal OUT_ACCEPT, which is connected as the input to the acceptance output latch LAOUT, - 21~5~23 \

preferably via logic circuitry, which is described below.
Similarly, the output QAOUT of the acceptance output latch LAOUT is connected as the input to the acceptance input latch LAIN, preferably vla logic circuitry, which is described below.
In practicing the present invention, the output signals QVIN, QVOUT from the validation latches LVIN, LVOUT are combined with the acceptance signals QAOUT, OUT_ACCEPT, respectively, to form the inputs to the acceptance latches LAIN, LAOUT, respectively. In the embodiment illustrated in Fig. 4, these input signals are formed as the logical NAND
combination of the respective validation signals QVIN, QVOUT, with the logical inverse of the respective acceptance output signals QAOUT, OUT_ACCEPT. Conventional logic gates, NAND1 and NAND2, perform the NAND operation, and the inverters INV1, INV2 form the logical inverses of the respective acceptance signals.
As is well known in the art of digital design, the output from a NAND gate is a logical "1" when any or all of its input signals are in the logical "0" state. The output from a NAND gate is, therefore, a logical "0" only when all of its inputs are in the logical "1" state. Also well known in the art, is that the output of a digital inverter such as INVl is a logical "1" when its input signal is a "0" and is a "o"
when its input signal is a "1"
The inputs to the NAND gate NAND1 are, therefore, QVIN and NOT (QAOUT), where "NOT" indicates binary inversion. Using known techniques, the input to the acceptance latch LAIN can be resolved as follows:
NAND(QVIN,NOT(QAOUT)) = NOT(QVIN) OR QAOUT
In other words, the combination of the inverter INV1 and the NAND gate NAND1 is a logical "1" either when the signal QVIN is a "0" or the signal QAOUT is a "1", or both. The gate NAND1 and the inverter INV1 can, therefore, be -- 214542~

implemented by a single OR gate that has one of its inputs tied directly to the QAOUT output of the acceptance latch LAOUT and its other input tied to the inverse of the output signal QVIN of the validation input latch LVIN.
S As is well known in the art of digital design, many latches suitable for use as the validation and acceptance latches may have two outputs, Q and NOT(Q), that is, Q and its logical inverse. If such latches are chosen, the one input to the OR gate can, therefore, be tied directly to the NOT(Q) output of the validation latch LVIN. The gate NANDl and the inverter INV1 can be implemented using well known conventional techniques. Depending on the latch architecture used, however, it may be more efficient to use a latch without an inverting output, and to provide instead the gate NAND1 and the inverter INVl, both of which also can be implemented efficiently in a silicon device. Accordingly, any known arrangement may be used to generate the Q signal and/or its logical inverse.
The data and validation latches LDIN, LDOUT, LVIN and LVOUT, load their respective data inputs when both clock signals (PH0 at the input side and PH1 at the output side) and the output from the acceptance latch of the same side are logical "1". Thus, the clock signal (PHO for the input latches LDIN and LVIN) and the output of the respective acceptance latch (in this case, LAIN) are used in a logical AND manner and data is loaded only when they are both logical " 1 " .
In particular applications, such as CMOS implementations of the latches, the logical AND operation that controls the loading (via the illustrated CK or enabling "input") of the latches can be implemented easily in a conventional manner by connecting the respective enabling input signals (for example, PH0 and QAIN for the latches LVIN and LDIN), to the gates of MOS transistors connected in series in the input lines of the latches. Consequently, is necessary to provide an actual logic AND gate, which might cause problems of timing due to propagation delay in high-speed applications.
The AND gate shown in the figures, therefore, only indicates the logical function to be performed in generating the enable signals of the various latches.
Thus, the data latch LDIN loads input data only when PH0 and QAIN are both "1". It will latch this data when either of these two signals goes to a "0".
Although only one of the clock phase signals PH0 or PHl, is used to clock the data and validation latches at the input (and output) side of the pipeline stage, the other clock phase signal is used, directly, to clock the acceptance latch at the same side. In other words, the acceptance latch on either side (input or output) of a pipeline stage is preferably clocked "out of phase" with the data and validation latches on the same side. For example, PHl is used to clock the acceptance input latch, although PH0 is used in generating the clock signal CK for the data latch LDIN and the validation latch LVIN.
As an example of the operation of a pipeline augmented by the two-wire validation and acceptance circuitry assume that no valid data is initially presented at the input to the circuit, either from a preceding pipeline stage, or from a transmission device. In other words, assume that the validation input signal IN_VALID to the illustrated stage has not gone to a "1" since the system was most recently reset.
Assume further that several clock cycles have taken place since the system was last reset and, accordingly, the circuitry has reached a steady-state condition. The validation input signal QVIN from the validation latch LVIN
is, therefore, loaded as a "0" during the next positive period of the clock PH0. The input to the acceptance input latch LAIN (via the gate NANDl or another equivalent gate), 21A5~23 is, therefore, loaded as a "1" during the next positive period of the clock signal PH1. In other words, since the data in the data input latch LDIN is not valid, the stage signals that it is ready to accept input data (since it does not hold any data worth saving).
In this example, note that the signal IN_ACCEPT is used to enable the data and validation latches LDIN and LVIN. Since the signal IN_ACCEPT at this time is a "1", these latches effectively work as conventional transparent latches so that whatever data is on the IN_DATA bus simply is loaded into the data latch LDIN as soon as the clock signal PH0 goes to a "1". Of course, this invalid data will also be loaded into the next data latch LDOUT of the following pipeline stage as long as the output QAOUT from its acceptance latch is a "1".
Hence, as long as a data latch does not contain valid data, it accepts or "loads" any data presented to it during the next positive period of its respective clock signal. On the other hand, such invalid data is not loaded in any stage for which the acceptance signal from its corresponding acceptance latch is low (that is, a "0"). Furthermore, the output signal from a validation latch (which forms the validation input signal to the subsequent validation latch) remains a "O" as long as the corresponding IN_VALID (or QVIN) signal to the validation latch is low.
When the input data to a data latch is valid, the validation signal IN_VALID indicates this by rising to a "1".
The output of the corresponding validation latch then rises to a "1" on the next rising edge of its respective clock phase signal. For example, the validation input signal QVIN
of latch LVIN rises to a "1" when its corresponding IN_VAL~
signal goes high (that is, rises to a "1") on the next rislng edge of the clock phase signal PH0.
Assume now, instead, that the data input latch LDI~
contains valid data. If the data output latch LDOUT is read.

` 211S423 to accept new data, its acceptance signal QAOUT will be a "1". In this case, during the next positive period of the clock signal PH1, the data latch LDOUT and validation latch LVOUT will be enabled, and the data latch LDOUT will load the data present at its input. This will occur before the next rising edge of the other clock signal PH0, since the clock signals are non-overlapping. At the next rising edge of PH0, the preceding data latch (LDIN) will, therefore, not latch in new input data from the preceding stage until the data output latch LDOUT has safely latched the data transferred from the latch LDIN.
Accordingly, the same sequence is followed by every adjacent pair of data latches (within a stage or between adjacent stages) that are able to accept data, since they will be operating based on alternate phases of the clock.
Any data latch that is not ready to accept new data because it contains valid data that cannot yet be passed, will have an output acceptance signal (the QA output from its acceptance latch LA) that is LOW, and its data latch LDIN or LDOUT will not be loaded. Hence, as long as the acceptance signal (the output from the acceptance latch) of a given stage or side (input or output) of a stage is LOW, its corresponding data latch will not be loaded.
Fig. 4 also shows a reset feature included in a preferred embodiment. In the illustrated example, a reset signal NOTRESET0 is connected to an inverting reset input R
(inversion is hereby indicated by a small circle, as is conventional) of the validation output latch LVOUT. As is well known, this means that the validation latch LVOUT will be forced to output a "0" whenever the reset signal NOTRESET0 becomes a "0". One advantage of resetting the latch when the reset signal goes low (becomes a "0") is that a break in transmission will reset the latches. They will then be in their ~null~ or reset state whenever a valid transmission begins and the reset signal goes HIGH. The reset signal NOTRESET0, therefore, operates as a digital "ON/OFF" switch, such that it must be at a HIGH value in order to activate the pipeline.
Note that it is not necessary to reset all of the latches that hold valid data in the pipeline. As depicted in Fig. 4, the validation input latch LVIN is not directly reset by the reset signal NOTRESET0, but rather is reset indirectly.
Assume that the reset signal NOTRESET0 drops to a "0". The validation output signal QVOUT also drops to a "0", regardless of its previous state, whereupon the input to the acceptance output latch LAOUT (via the gate NAND1) goes HIGH.
The acceptance output signal QAOUT also rises to a "1". This QAOUT value of "1" is then transferred as a "1" to the input of the acceptance input latch LAIN regardless of the state of the validation input signal QVIN. The acceptance input signal QAIN then rises to a "1" at the next rising edge of the clock signal PH1. Assuming that the validation signal IN_~ALID has been correctly reset to a "0", then upon the subsequent rising edge of the clock signal PH0, the output from the validation latch LVIN will become a "0", as it would have done if it had been reset directly.
As this example illustrates, it is only necessary to reset the validation latch in only one side of each stage (including the final stage) in order to reset all validation latches. In fact, in many applications, it will not be necessary to reset every other validation latch: If the reset signal NOTRESET0 can be guaranteed to be low during more than one complete cycle of both phases PH0, PH1 of the clock, then the "automatic reset" (a backwards propagation of the reset signal) will occur for validation latches in preceding pipeline stages. Indeed, if the reset signal is held low for at least as many full cycles of both phases of the clock as there are pipeline stages, it will only be - 21~5~23 -necessary to directly reset the validation output latch in the final pipeline stage.
Figs. 5a and 5b (referred to collectively as Fig. 5) illustrate a timing diagram showing the relationship between the non-overlapping clock signals PH0, PH1, the effect of the reset signal, and the holding and transfer of data for the different permutations of validation and acceptance signals into and between the two illustrated sides of a pipeline stage configured in the embodiment shown in Fig. 4. In the example illustrated in the timing diagram of Fig. 5, it has been assumed that the outputs from the data latches LDIN, LDOUT are passed without further manipulation by intervening logic blocks Bl, BZ. This is by way of example and not necessarily by way of limitation. It is to be understood that any combinatorial logic structures may be included between the data latches of consecutive pipeline stages, or between the input and output sides of a single pipeline stage. The actual illustrated values for the input data (for example the HEX data words "aa" or "04") are also merely illustrative. As is mentioned above, the input data bus may have any width (and may even be analog), as long as the data latches or other storage devices are able to accommodate and latch or store each bit or value of the input word.

Preferred Data ~tructure - "token~"
In the sample application shown in Fig. 4, each stage processes all input data, since there is no control circuitry that excludes any stage from allowing input data to pass through its combinatorial logic block B1, B2, and so forth.
To provide greater flexibility, the present invention includes a data structure in which ~tokens~ are used to distribute data and control information throughout the system. Each token consists of a series of binary bits separated into one or more blocks of token words.

214~423 -Furthermore, the bits fall into one of three types: address bits (A), data bits (D), or an extension bit (E). Assume by way of example and, not necessarily by way of limitation, that data i5 transferred as words over an 8-bit bus with a 1-bit extension bit line. An example of a four-word token is, in order of transmission:

First word: E A A A D D D D D
Second word: E D D D D D D D D
Third word: E D D D D D D D D
lo Fourth word: E D D D D D D D D

Note that the extension bit E is used as an addition (preferably) to each data word. In addition, the address field can be of variable length and is preferably transmitted just after the extension bit of the first word.
Tokens, therefore, consist of one or more words of (binary) digital data in the present invention. Each of these words is transferred in sequence and preferably in parallel, although this method of transfer is not necessary:
serial data transfer is also possible using known techniques.
For example, in a video parser, control information is transmitted in parallel, whereas data is transmitted serially.
As the example illustrates, each token has, preferably at the start, an address field (the string of A-bits) that identifies the type of data that is contained in the token.
In most applications, a single word or portion of a word is sufficient to transfer the entire address field, but this is not necessary in accordance with the invention, so long as logic circuitry is included in the corresponding pipeline 'O stages that is able to store some representation of partial address fields long enough for the stages to receive and decode the entire address field.

--` 21~5423 Note that no dedicated wires or registers are required to transmit the address field. It is transmitted using the data bits. As is explained below, a pipeline stage will not be slowed down if it is not intended to be activated by the particular address field, i.e., the stage will be able to pass along the token without delay.
The remainder of the data in the token following the address field is not constrained by the use of tokens. These D-data bits may take on any values and the meaning attached 1~ to these bits is of no importance here. That is, the meaning of the data can vary, for example, depending upon where the data is positioned within the system at a particular point in time. The number of data bits D appended after the address field can be as long or as short as required, and the number 1~ of data words in different tokens may vary greatly. The address field and extension bit are used to convey control signals to the pipeline stages. Because the number of words in the data field (the string of D bits) can be arbitrary, as can be the information conveyed in the data field can also vary accordin~ly. The explanation below is, therefore, directed to the use of the address and extension bits.
In the present invention, tokens are a particularly useful data structure when a number of blocks of circuitry are connected together in a relatively simple configuration. The 2~ simplest configuration is a pipeline of processing steps.
For example, in the one shown in Fig. 1. The use of tokens, however, is not restricted to use on a pipeline structure.
Assume once again that each box represents a complete pipeline stage. In the pipeline of Fig. 1, data flows from left to rlght in the dlagram. Data enters the machine and passes into processing Stage A. This may or may not modif~
the data and it then passes the data to Stage B. T~.e modification, if any, may be arbitrarily complicated and, .~
general, there will not be the same number of data ite s --` 21~5423 flowing into any stage as flow out. Stage B modifies the data again and passes it onto Stage C, and so forth. In a scheme such as this, it is impossible for data to flow in the opposite direction, so that, for example, Stage C cannot pass data to Stage A. This restriction is often perfectly acceptable.
On the other hand, it is very desirable for Stage A to be able to communicate information to Stage C even though there is no direct connection between the two blocks. Stage A and C communication is only via Stage B. One advantage of the tokens is their ability to achieve this kind of communication. Since any processing stage that does not recognize a token simply passes it on unaltered to the next block.
According to this example, an extension bit is transmitted along with the address and data fields in each token so that a processing stage can pass on a token (which can be of arbitrary length) without having to decode its address at all. According to this example, any token in which the extension bit is HIGH (a "1") is followed by a subsequent word which is part of the same token. This word also has an extension bit, which indicates whether there is a further token word in the token. When a stage encounters a token word whose extension bit is LOW (a "0"), it is known to be the last word of the token. The next word is then assumed to be the first word of a new token.
Note that although the simple pipeline of processing stages is particularly useful, it will be appreciated that tokens may be applied to more complicated configurations of processing elements. An example of a more complicated processing element is described below.
It is not necessary, in accordance with the present lnvention, to use the state of the extension bit to signal the last word of a given token by giving it an extension bit ~` 214542~

set to "0". One alternative to the preferred scheme is to move the extension bit so that it indicates the first word of a token instead of the last. This can be accomplished with appropriate changes in the decoding hardware.
The advantage of using the extension bit of the present invention to signal the last word in a token rather than the first, is that it is often useful to modify the behavior of a block of circuitry depending upon whether or not a token has extension bits. An example of this is a token that activates a stage that processes video quantization values stored in a quantization table (typically a memory device).
For example, a table containing 64 eight-bit arbitrary binary integers.
In order to load a new quantization table into the quantizer stage of the pipeline, a "QUANT_TABLE" token is sent to the quantizer. In such a case the token, for example, consists of 65 token words. The first word contains the code "QUANT_TABLE", i.e., build a quantization table.
This is followed by 64 words, which are the integers of the quantization table.
When encoding video data, it is occasionally necessary to transmit such a quantization table. In order to accomplish this function, a QUANT_TABLE token with no extension words can be sent to the quantizer stage. On seeing this token, and noting that the extension bit of its first word is LOW, the quantizer stage can read out its quantization table and construct a QUANT_TABLE token which includes the 64 quantization table values. The extension bit of the first word (which was LOW) is changed so that it is HIGH and the token continues, with HIGH extension bits, until the new end of the token, indicated by a LOW extension bit on the sixty fourth quantization table value. This proceeds in the typical way through the system and is encoded into the bit stream.

214~423 Continuing with the example, the quantizer may either load a new quantization table into its own memory device or read out its table depending on whether the first word of the QUANT_TABLE token has its extension bit set or not.
The choice of whether to use the extension bit to signal the first or last token word in a token will, therefore, depend on the system in which the pipeline will be used.
Both alternatives are possible in accordance with the invention.
Another alternative to the preferred extension bit scheme is to include a length count at the start of the token. Such an arrangement may, for example, be efficient if a token is very long. For example, assume that a typical token in a given application is 1000 words long. Using the illustrated extension bit scheme (with the bit attached to each token word), the token would require 1000 additional bits to contain all the extension bits. However, only ten bits would be required to encode the token length in binary form.
Although there are, therefore, uses for long tokens, experience has shown that there are many uses for short tokens. Here the preferred extension bit scheme is advantageous. If a token is only one word long, then only one bit is required to signal this. However, a counting scheme would typically require the same ten bits as before.
Disadvantages of a length count scheme include the following: 1) it is inefficient for short tokens; 2) it places a maximum length restriction on a token (with only ten bits, no more than 1023 words can be counted); 3) the length of a token must be known in advance of generating the count (which is presumably at the start of the token); 4) every block of circuitry that deals with tokens would need to be provided with hardware to count words; and 5) if the count should get corrupted (due to a data transmission error) it lS
not clear whether recovery can be achieved.

21~5423 The advantages of the extension bit scheme in accordance with the present invention include: 1) pipeline stages need not include a block of circuitry that decodes every token since unrecognized tokens can be passed on correctly by considering only the extension bit; 2) the coding of the extension bit is identical for all tokens; 3) there is no limit placed on the length of a token; 4) the scheme is efficient (in terms of overhead to represent the length of the token) for short tokens; and 5) error recovery is naturally achieved. If an extension bit is corrupted then one random token will be generated (for an extension bit corrupted from "1" to "0") or a token will be lost (extension bit corrupted "0" to "1"). Furthermore, the problem is localized to the tokens concerned. After that token, correct operation is resumed automatically.
In addition, the length of the address field may be varied. This is highly advantageous since it allows the most common tokens to be squeezed into the minimum number of words. This, in turn, is of great importance in video data pipeline systems since it ensures that all processing stages can be continuously running at full bandwidth.
In accordance to the present invention, in order to allow variable length address fields, the addresses are chosen so . that a short address followed by random data can never be 2~ confused with a longer address. The preferred technique for encoding the address field (which also serves as the "code"
for activating an intended pipeline stage) is the well-known technique first described by Huffman, hence the common name "Huffman Code". Nevertheless, it will be appreciated by one of ordinary skill in the art, that other coding schemes may also be successfully employed.
Although Huffman encoding is well understood in the field of digital design, the following example provides a general background:

~` 21~5423 .

Huffman codes consist of words made up of a string of symbols (in the context of digital systems, such as the present invention, the symbols are usually binary digits).
The code words may have variable length and the special property of Huffman code words is that a code word is chosen so that none of the longer code words start with the symbols that form a shorter code word. In accordance with the invention, token address fields are preferably (although not necessarily) chosen using known Huffman encoding techniques.
lo Also in the present invention, the address field preferably starts in the most significant bit (MSB) of the first word token. (Note that the designation of the MSB is arbitrary and that this scheme can be modified to accommodate various designations of the MSB.) The address field continues through contiguous bits of lesser significance.
If, in a given application, a token address requires more than one token word, the least significant bit in any given word the address field will continue in the most significant bit of the next word. The minimum length of the address field is one bit.
Any of several known hardware structures can be used to generate the tokens used in the present invention. one such structure is a microprogrammed state machine. However, known microprocessors or other devices may also be used.
The principle advantage of the token scheme in accordance with the present invention, is its adaptability to unanticipated needs. For example, if a new token is introduced, it is most likely that this will affect only a small number of pipeline stages. The most likely case is ~o that only two stages or blocks of circuitry are affected, i.e., the one block that generates the tokens in the firs~
place and the block or stage that has been newly designed c~
modified to deal with this new token. Note that it is nc.
necessary to modify any other pipeline stages. Rather, these `

will be able to deal with the new token without modification to their designs because they will not recognize it and will, accordingly, pass that token on unmodified.
This ability of the present invention to leave substantially existing designed devices unaffected has clear advantages. It may be possible to leave some semiconductor chips in a chip set completely unaffected by a design improvement in some other chips in the set. This is advantageous both from the perspective of a customer and from that of a chip manufacturer. Even if modifications mean that all chips are affected by the design change (a situation that becomes increasingly likely as levels of integration progress so that the number of chips in a system drops) there will still be the considerable advantage of better time-to-market than can be achieved, since the same design can be reused.
In particular, note the situation that occurs when it becomes necessary to extend the token set to include two word addresses. Even in this case, it is still not necessary to modify an existing design. Token decoders in the pipeline stages will attempt to decode the first word of such a token and will conclude that it does not recognize the token. It will then pass on the token unmodified using the extension bit to perform this operation correctly. It will not attempt to decode the second word of the token (even though this contains address bits) because it will "assume" that the second word is part of the data field of a token that it does not recognize.
In many cases, a pipeline stage or a connected block of circuitry will modify a token. This usually, but not necessarily, takes the form of modifying the data field of a token. In addition, it is common for the number of data words in the token to be modified, either by removing certain data words or by adding new ones. In some cases, tokens are removed entirely from the token stream.

-In most applications, pipeline stages will typically only decode (be activated by) a few tokens; the stage does not recognize other tokens and passes them on unaltered. In a large number of cases, only one token is decoded, the DATA
Token word itself.
In many applications, the operation of a particular stage will depend upon the results of its own past operations. The "state" of the stage, thus, depends on its previous states.
In other words, the stage depends upon stored state information, which is another way of saying it must retain some information about its own history one or more clock cycles ago. The present invention is well-suited for use in pipelines that include such "state machine" stages, as well as for use in applications in which the latches in the data path are simple pipeline latches.
The suitability of the two-wire interface, in accordance with the present invention, for such "state machine" circuits is a significant advantage of the invention. This is especially true where a data path is being controlled by a state machine. In this case, the two-wire interface technique above-described may be used to ensure that the "current state" of the machine stays in step with the data which it is controlling in the pipeline.
Fig. 6 shows a simplified block diagram of one example of circuitry included in a pipeline stage for decoding a token address field. This illustrates a pipeline stage that has the characteristics of a "state machine". Each word of a token includes an "extension bit" which is HIGH if there are ~ore words in the token or LOW if this is the last word of the token. If this is the last word of a token, the next valid data word is the start of a new token and, therefore, its address must be decoded. The decision as to whether or not to decode the token address in any given word, thus, depends upon knowing the value of the previous extension bit.

214~423 For the sake of simplicity only, the two-wire interface (with the acceptance and validation signals and latches) is not illustrated and all details dealing with resetting the circuit are omitted. As before, an 8-bit data word is assumed by way of example only and not by way of limitation.
This exemplifying pipeline stage delays the data bits and the extension bit by one pipeline stage. It also decodes the DATA Token. At the point when the first word of the DATA
Token is presented at the output of the circuit, the signal "DATA_ADDR" is created and set HIGH. The data bits are delayed by the latches LDIN and LDOUT, each of which is repeated eight times for the eight data bits used in this example (corresponding to an 8-input, 8-output latch).
Similarly, the extension bit is delayed by extension bit latches LEIN and LEOUT.
In this example, the latch LEPREV is provided to store the most recent state of the extension bit. The value of the extension bit is loaded into LEIN and is then loaded into LEOUT on the next rising edge of the non-overlapping clock phase signal PH1. Latch LEOUT, thus, contains the value of the current extension bit, but only during the second half of the non-overlapping, two-phase clock. Latch LEPREV, however, loads this extension bit value on the next rising edge of the clock signal PHO, that is, the same signal that enables the extension bit input latch LEIN. The output QEPREV of the latch LEPREV, thus, will hold the value of the extension bit during the previous PHO clock phase.
The five bits of the data word output from the inverting Q output, plus the non-inverted MD[2], of the latch LDIN are combined with the previous extension bit value QEPREV in a series of logic gates NANDl, NAND2, and NORl, whose operations are well known in the art of digital design. The designation "N_MD[m] indicates the logical inverse of bit .m of the mid-data word MD[7:0]. Using known techniques of - 21~5423 Boolean algebra, it can be shown that the output signal SA
from this logic block (the output from NORl) is HIGH (a "1") only when the previous extension bit is a "O" (QPREV="O") and the data word at the output of the non-inverting Q latch (the 5original input word) LDIN has the structure "000001xx", that is, the five high-order bits MD[7]-MD[3~ bits are all "0" and the bit MD[2] is a "1" and the bits in the Zero-one positions have any arbitrary value.
There are, thus, four possible data words (there are four permutations of "xx") that will cause SA and, therefore, the output of the address signal latch LADDR to whose input SA is connected, to become HIGH. In other words, this stage provides an activation signal (DATA_ADDR = "l") only when one of the four possible proper tokens is presented and only when the previous extension bit was a zero, that is, the previous data word was the last word in the previous series of token words, which means that the current token word is the first one in the current token.
When the signal QPREV from latch LEPREV is LOW, the value at the output of the latch LDIN is therefore the first word of a new token. The gates NAND1, NAND2 and NORl decode the DATA token (000001xx). This address decoding signal SA is, however, delayed in latch LADDR so that the signal DATA ADDR
. has the same timing as the output data OUT_DATA and OUT_EXTN.
25Fig. 7 is another simple example of a state-dependent pipeline stage in accordance with the present invention, which generates the signal LAST_OUT_EXTN to indicate the value of the previous output extension bit OUT_EXTN. One of the two enabling signals (at the CK inputs) to the present and last extension bit latches, LEOUT and LEPREV, respectively, is derived from the gate ANDl such that these latches only load a new value for them when the data is valid and is being accepted (the Q outputs are HIGH from the output validation and acceptance latches LVOUT and LAO-~, 21~23 -respectively). In this way, they only hold valid extension bits and are not loaded with spurious values associated with data that is not valid. In the embodiment shown in Fig. 7, the two-wire valid/accept logic includes the OR1 and OR2 gates with input signals consisting of the downstream acceptance signals and the invertin~ output of the validation latches LVIN and LVOUT, respectively. This illustrates one way in which the gates NAND1/2 and INVl/2 in Fig. 4 can be replaced if the latches have inverting outputs.
Although this is an extremely simple example of a "state-dependent" pipeline stage, i.e., since it depends on the state of only a single bit, it is generally true that all latches holding state information will be updated only when data is actually transferred between pipeline stages. In other words, only when the data is both valid and being accepted by the next stage. Accordingly, care must be taken to ensure that such latches are properly reset.
The generation and use of tokens in accordance with the present invention, thus, provides several advantages over known encoding techniques for data transfer through a plpel ine .
First, the tokens, as described above, allow for variable length address fields (and can utilize Huffman coding for example) to provide efficient representation of common tokens.
Second, consistent encoding of the length of a token allows the end of a token (and hence the start of the next token) to be processed correctly (including simple non-manipulative transfer), even if the token is not recognized by the token decoder circuitry in a given pipeline stage.
Third, rules and hardware structures for the handling of unrecognized tokens (that is, for passing them on unmodlfied) allow communication between one stage and a downstream stage that is not its nearest neighbor in the pipeline. This also -increases the expandability and efficient adaptability of the pipeline since it allows for future changes in the token set without requiring large scale redesigning of existing pipeline stages. The tokens of the present invention are particularly useful when used in conjunction with the two-wire interface that is described above and below.
As an example of the above, Figs. 8a and 8b, taken together (and referred to collectively below as Fig. 8), depict a block diagram of a pipeline stage whose function is as follows. If the stage is processing a predetermined token (known in this example as the DATA token), then it will duplicate every word in this token with the exception of the first one, which includes the address field of the DATA
token. If, on the other hand, the stage is processing any other kind of token, it will delete every word. The overall effect is that, at the output, only DATA Tokens appear and each word within these tokens is repeated twice.
Many of the components of this illustrated system may be the same as those described in the much simpler structures shown in Figs. 4, 6, and 7. This illustrates a significant advantage. More complicated pipeline stages will still enjoy the same benefits of flexibility and elasticity, since the sar.e two-wire interface may be used with little or no adaptation.
2~ The data duplication stage shown in Fig. 8 is merely one example of the endless number of different types of operations that a pipeline stage could perform in any given application. This "duplication stage" illustrates, however, a stage that can form a "bottleneck", so that the pipeline according to this embodiment will "pack together".
A "bottleneck" can be any stage that either takes a relatively long time to perform its operations, or that creates more data in the pipeline than it receives. This example also illustrates that the two-wire accept/valid 214S~23 interface according to this embodiment can be adapted very easily to different applications.
The duplication stage shown in Fig. 8 also has two latches LEIN and LEOUT that, as in the example shown in Fig. 6, latch the state of the extension bit at the input and at the output of the stage, respectively. As Fig. 8a shows, the input extension latch LEIN is clocked synchronously with the input data latch LDIN and the validation signal IN VALID.
For ease of reference, the various latches included in the duplication stage are paired below with their respective output signals:

In the duplication stage, the output from the data latch LDIN forms intermediate data referred to as MID_DATA. This intermediate data word is loaded into the data output latch LDOUT only when an intermediate acceptance signal (labeled "MID_ACCEPT" in Fig. 8a) is set HIGH.
The portion of the circuitry shown in Fig. 8 below the acceptance latches LAIN, LAOUT, shows the circuits that are added to the basic pipeline structure to generate the various `-` 214S423 internal control signals used to duplicate data. These include a "DATA_TOKEN" signal that indicates that the circuitry is currently processing a valid DATA Token, and a NOT_DUPLICATE signal which is used to control duplication of data. When the circuitry is processing a DATA Token, the NOT_DUPLICATE signal toggles between a HIGH and a LOW state and this causes each word in the token to be duplicated once (but no more times). When the circuitry is not processing a valid DATA Token then the NOT DUPLICATE signal is held in a HIGH state. Accordingly, this means that the token words that are being processed are not duplicated.
As Fig. 8a illustrates, the upper six bits of 8-bit intermediate data word and the output signal QI1 from the latch LI1 form inputs to a group of logic gates NOR1, NOR2, NAND18. The output signal from the gate NAND18 is labeled S1. Using well-known 8001ean algebra, it can be shown that the signal Sl is a "O" only when the output signal QI1 is a "1" and the MID_DATA word has the following structure:
"OOOOOlxx", that is, the upper five bits are all "O", the bit MID_DATA[2] is a "1" and the bits in the MID_DATA[l] and MID_DATA[O] positions have any arbitrary value. Signal Sl, therefore, acts as a "token identification signal" which is low only when the MID_DATA signal has a predetermined structure and the output from the latch LIl is a "1". The nature of the latch LI1 and its output QI1 is explained further below.
Latch LOl performs the function of latching the last value of the intermediate extension bit (labeled "MID_EXTN" and as signal S4), and it loads this value on the next rising edge of the clock phase PHO into the latch LI1, whose output is the bit QIl and is one of the inputs to the token decoding logic group that forms signal S1. Signal Sl, as is explained above, may only drop to a "O" if the signal QI1 is a "1" (and the MID DATA signal has the predetermined structure). Signal 214~423 `~, Sl may, therefore, only drop to a "O" whenever the last extension bit was "0", indicating that the previous token has ended. Therefore, the MID_DATA word is the first data word in a new token.
The latches LO2 and LI2 together with the NAND gates NAND20 and NAND22 form storage for the signal, DATA_TOKEN.
In the normal situation, the signal QI1 at the input to NAND20 and the signal Sl at the input to NAND22 will both be at logic "1". It can be shown, again by the techniques of Boolean algebra, that in this situation these NAND gates operate in the same manner as inverters, that is, the signal QI2 from the output of latch LI2 is inverted in NAND20 and then this signal is inverted again by NAND22 to form the signal S2. In this case, since there are two logical inversions in this path, the signal S2 will have the same value as QI2.
It can also be seen that the signal DATA_TOKEN at the output of latch LO2 forms the input to latch LI2. As a result, as long as the situation remains in which both QI1 and S1 are HIGH, the signal DATA_TOKEN will retain its state (whether "0" or "1"). This is true even though the clock signals PHO and PH1 are clocking the latches (LI2 and LO2 respectively). The value of DATA_TOKEN can only change when . one or both of the signals QIl and S1 are "0".
2~ As explained earlier, the signal QI1 will be "0" when the previous extension bit was "0". Thus, it will be "0"
whenever the MID_DATA value is the first word of a token (and, thus, includes the address field for the token). In this situation, the signal Sl may be either "0" or "1". As explained earlier, signal S1 will be "0" if the MID_DATA word has the predetermined structure that in this example indicates a "DATA" Token. If the MID_DATA word has any other structure, (indicating that the token is some other token, not a DATA Token), S1 will be "1".

-- 21~5423 If QI1 is "0" and S1 is "1", this indicates there is some token other than a DATA Token. As is well known in the field of digital electronics, the output of NAND20 will be "1".
The NAND gate NAND22 will invert this (as previously explained) and the signal S2 will thus be a "0". As a result, this "0" value will be loaded into latch L02 at the start of the next PH1 clock phase and the DATA_TOKEN signal will become "0", indicating that the circuitry is not processing a DATA token.
If QI1 is "0" and SO is "0", thereby indicating a DATA
token, then the signal S2 will be "1" (regardless of the other input to NAND22 from the output of NAND20). As a result, this "1" value will be loaded into latch L02 at the start of the next PH1 clock phase and the DATA_TOKEN signal will become "1", indicating that the circuitry is processing a DATA token.
The NOT_DUPLICATE signal (the output signal Q03) is similarly loaded into the latch LI3 on the next rising edge of the clock PHO. The output signal QI3 from the latch LI3 is combined with the output signal QI2 in a gate NAND24 to form the signal S3. As before, Boolean algebra can be used to show that the signal S3 is a "0" only when both of the signals QI2 and QI3 have the value "1". If the signal QI2 becomes a "0", that is, the DATA TOKEN signal is a "0", then the signal 53 becomes a "1". In other words, if there is not a valid DATA TOKEN (QI2 = 0) or the data word is not a duplicate (QI3 = 0), then the signal S3 goes high.
Assume now, that the DATA TOKEN signal remains HIGH for more than one clock signal. Since the NOT_DUPLICATE signal (Q03) is "fed back" to the latch LI3 and will be inverted by the gate NAND 24 (since its other input QI2 is held HIGH), the output signal Q03 will toggle between "0" and "1". If there is no valid DATA Token, however, the signal QI2 will be a "0", and the signal S3 and the output Q03, will be forced -- 21~S~23 HIGH until the DATE_TOKEN signal once again goes to a "1".
The output QO3 (the NOT_DUPLICATE signal) is also fed back and is combined with the output QA1 from the acceptance latch LAIN in a series of logic gates (NAND16 and INV16, which S together form an AND gate) that have as their output a "1", only when the signals QA1 and QO3 both have the value "1".
As Fig. 8a shows, the output from the AND gate (the gate NAND16 followed by the gate INV16) also forms the acceptance signal, IN_ACCEPT, which is used as described above in the two-wire interface structure.
The acceptance signal IN_ACCEPT is also used as an enabling signal to the latches LDIN, LEIN, and LVIN. As a result, if the NOT_DUPLICATE signal is low, the acceptance signal IN_ACCEPT will also be low, and all three of these latches will be disabled and will hold the values stored at their outputs. The stage will not accept new data until the NOT_DUPLICATE signal becomes HIGH. This is in addition to the requirements described above for forcing the output from the acceptance latch LAIN high.
As long as there is a valid DATA_TOKEN (the DATA_TOKEN
signal QO2 is a "1"), the signal QO3 will toggle between the HIGH and LOW states, so that the input latches will be enabled and will be able to accept data, at most, during every other complete cycle of both clock phases PH0, PH1.
The additional condition that the following stage be prepared to accept data, as indicated by a "HIGH" OUT_ACCEPT signal, must, of course, still be satisfied. The output latch LDOUT
will, therefore, place the same data word onto the output bus OUT_DATA for at least two full clock cycles. The OUT_VALID
signal will be a "1" only when there is both a valid DATA_TOKEN (QO2 HIGH) and the validation signal QVOUT is HIGH.
The signal QEIN, which is the extension bit corresponding to MID_DATA, is combined with the signal S3 in a series of `- 2145423 logic gates (INV10 and NAND10) to form a signal S4. During presentation of a DATA Token, each data word MID_DATA will be repeated by loading it into the output latch LDOUT twice.
During the first of these, S4 will be forced to a "1" by the action of NAND10. The signal S4 is loaded in the latch LEOUT
to form OUTEXTN at the same time a-- MID_DATA is loaded into LDOUT to form OUT_DATA[7:0].
Thus, the first time a given MID_DATA is loaded into LEOUT, the associated OUTEXTN will be forced high, whereas, on the second occasion, OUTEXTN will be the same as the signal QEIN. Now consider the situation during the very last word of a token in which QEIN is known to be low. During the first time MID_DATA is loaded into LDOUT, OUTEXTN will be "1", and during the second time, OUTEXTN will be "0", indicating the true end of the token.
The output signal QVIN from the validation latch LVIN is combined with the signal QI3 in a similar gate combination (INV12 and NAND12) to form a signal S5. Using known Boolean techniques, it can be shown that the signal S5 is HIGH either when the validation signal QVIN is HIGH, or when the signal QI3 is low (indicating that the data is a duplicate). The signal S5 is loaded into the validation output latch LVOUT at the same time that MID_DATA is loaded into LDOUT and the intermediate extension bit (signal S4) is loaded into LEOUT.
Signal S5 is also combined with the signal QO2 (the data token signal) in the logic gates NAND30 and INV30 to form the output validation signal OUT_VALID. As was mentioned earlier, OUT_VALID is HIGH only when there is a valid token and the validation signal QVOUT is high.
In the present invention, the MID ACCEPT signal is combined with the signal S5 in a series of logic gates (NAND26 and INV26) that perform the well-known AND function to form a signal S6 that is used as one of the two enabling signals to the latches LO1, LO2 and LO3. The signal S6 rises -to a "1" when the MID_ACCEPT signal is HIGH and when either the validation signal QVIN is high, or when the token is a duplicate (QI3 is a "O"). If the signal MID_ACCEPT is HIGH, the latches LO1-LO3 will, therefore, be enabled when the clock signal PH1 is high whenever valid input data is loaded at the input of the stage, or when the latched data is a duplicate.
From the discussion above, one can see that the stage shown in Figs. 8a and 8b will receive and transfer data between stages under the control of the validation and acceptance signals, as in previous embodiments, with the exception that the output signal from the acceptance latch LAIN at the input side is combined with the toggling duplication signal so that a data word will be output twice before a new word will be accepted.
The various logic gates such as NAND16 and INV16 may, of course, be replaced by equivalent logic circuitry (in this case, a single AND gate). Similarly, if the latches LEIN and LVIN, for example, have inverting outputs, the inverters INV10 and INV12 will not be necessary. Rather, the corresponding input to the gates NAND10 and NAND12 can be tied directly to the inverting outputs of these latches. As long as the proper logical operation is performed, the stage will operate in the same manner. Data words and extension bits will still be duplicated.
One should note that the duplication function that the illustrated stage performs will not be performed unless the first data word of the token has a "1" in the third position of the word and "O's" in the five high-order bits. (Of course, the required pattern can easily be changed and set by selecting other logic gates and interconnections other than the NOR1, NOR2, NND18 gates shown.) In addition, as Fig. 8 shows, the OUT_VALID signal will be forced low during the entire token unless the first data word ha~ the structure described above. This has the effect that all tokens except the one that causes the duplication process will be deleted from the token stream, since a device connected to the output terminals (OUTDATA, OUTEXTN and S OUTVALID) will not recognize these token words as valid data.
As before, both validation latches LVIN, LVOUT in the stage can be reset by a single conductor NOT_RESETO, and a single resetting input R on the downstream latch LVOUT, with the reset signal being propagated backwards to cause the upstream validation latch to be forced low on the next clock cycle.
It should be noted that in the example shown in Fig. 8, the duplication of data contained in DATA tokens serves only as an example of the way in which circuitry may manipulate the ACCEPT and VALID signals so that more data is leaving the pipeline stage than that which is arriving at the input.
Similarly, the example in Fig. 8 removes all non-DATA tokens purely as an illustration of the way in which circuitry may manipulate the VALID signal to remove data from the stream.
In most typical applications, however, a pipeline stage will simply pass on any tokens that it does not recognize, unmodified, so that other stages further down the pipeline may act upon them if required.
Figs. 9a and 9b taken together illustrate an example of a timing diagram for the data duplication circuit shown in Figs. 8a and 8b. As before, the timing diagram shows the relationship between the two-phase clock signals, the various internal and external control signals, and the manner in which data is clocked between the input and output sides of the stage and is duplicated.

Referring now more particularly to Figure 10, there is shown a reconfigurable process stage in accordance with one - 21~S42~

aspect of the present invention.
Input latches 34 receive an input over a first bus 31. A first output from the input latches 34 is passed over line 32 to a token decode subsystem 33. A second output from the input latches 34 iB passed as a first input over line 35 to a processing unit 36. A first output from the token decode subsystem 33 is passed over line 37 as a second input to the processing unit 36. A second output from the token decode 33 is passed over line 40 to an action identification unit 39.
The action identification unit 39 also receives input from registers 43 and 44 over line 46. The registers 43 and 44 hold the state of the machine as a whole. This state is determined by the history of tokens previously received. The output from the action identification unit 39 is passed over line 38 as a third input to the processing unit 36. The output from the processing unit 36 is passed to output latches 41. The output from the output latches 41 is passed over a second bus 42.
Referring now to Figure 11, a Start Code Detector tSCD) 51 receives input over a two-wire interface 52. This input can be either in the form of DATA tokens or as data bits in a data stream. A first output from the Start Code Detector 51 is passed over line 53 to a first logical first-in first-out buffer (FIF0) 54. The output from the first FIF0 54 is logically passed over line 55 as a first input to a Huffman decoder 56. A second output from the Start Code Detector 51 is passed over line 57 as a first input to a DRAM
interface 58. The DRAM interface 58 also receives input from a buffer manager 59 over line 60. Signals are transmitted to and received from external DRAM (not shown) by the DRAM
interface 58 over line 61. A first output from the DRAM
interface 58 is passed over line 62 as a first physical input to the Huffman decoder 56.

- 2 1~5~ 23 The output from the Huffman decoder 56 is passed over line 63 as an input to ~n Index to Data Unit (ITOD) 64.
The Huffman decoder 56 and the ITOD 64 work together as a single logical unit. The output from the ITOD 64 is passed over line 65 to an arithmetic logic unit (ALU) 66. A first output from the ALU 66 is passed over line 67 to a read-only memory (ROM) state machine 68. The output from the ROM state machine 68 is passed over line 69 as a second physical input to the Huffman decoder 56. A second~output from the ALU 66 is passed over line 70 to a Token Formatter (T/F) 71.
A first output 72 from the TIF 71 of the present invention is passed over line 72 to a second FIFO 73. The output from the second FIFO 73 is passed over line 74 as a first input to an inverse modeller 75. A second output from the T/F 71 is passed over line 76 as a third input to the DRAM interface 58. A third output from the DRAM interface 58 is passed over line 77 as a second input to the inverse modeller 75. The output from the inverse modeller 75 is passed over line 78 as an input to an inverse quantizer 79 The output from the inverse quantizer 79 is passed over line 80 as an input to an inverse zig-zag (IZZ) 81. The output from the IZZ 81 is passed over line 82 as an input to an inverse discrete cosine transform (IDCT) 83. The output from the IDCT 83 is passed over line 84 to a temporal decoder (not shown).
Referring now more particularly to Figure 12, a temporal decoder in accordance with the present invention is shown. A fork 91 receives as input over line 92 the output from the IDCT 83 (shown in Fig. 11). As a first output from the fork 91, the control tokens, e.g., motion vectors and the like, are passed over line 93 to an address generator 94.
Data tokens are also passed to the address generator 94 for counting purposes. As a second output from the fork 91, the 21~5~23 data is passed over line 95 to a FIFO 96. The output from the FIFO 96 is then passed over line 97 as a first input to a summer 98. The output from the address generator 94 is passed over line 99 as a first input to a DRAM interface 100.
S Signals are transmitted to and received from external DRAM
(not shown) by the DRAM interface 100 over line 101. A first output from the DRAM interface 100 is passed over line 102 to a prediction filter 103. The output from the prediction filter 103 is passed over line 104 as a second input to the summer 98. A first output from the summer 98 is passed over line 105 to output selector 106. A second output from the summer 98 is passed over line 107 as a second input to the DRAM interface 100. A second output from the DRAM interface 100 is passed over line 108 as a second input to the output selector 106. The output from the output selector 106 is passed over line 109 to a Video Formatter (not shown in Figure 12).
Referring now to Figure 13, a fork 111 receives input from the output selector 106 (shown in Figure 12) over line 112. As a first output from the fork 111, the control tokens are passed over line 113 to an address generator 114.
The output from the address generator 114 is passed over line 115 as a first input to a DRAM interface 116. As a second output from the fork 111 the data is passed over line 117 as a second input to the DRAM interface 116. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 116 over line 118. The output from the DRAM interface 116 is passed over line 119 to a display pipe 120.
It will be apparent from the above descriptions that each line may comprise a plurality of lines, as necessary.

214542~

Referring now to Figure 14a, in the MPEG standard a picture 131 is encoded as one or more slices 132. Each slice 132 is, in turn, comprised of a plurality of blocks 133, and is encoded row-by-row, left-to-right in each row.
As is shown, each slice 132 may span exactly one full line of blocks 133, less than one line B or D of blocks 133 or multiple lines C of blocks 133.
Referring to Figure 14b, in the JPEG and H.261 standards, the Common Intermediate Format (CIF) is used, wherein a picture 141 is encoded as 6 rows each containing 2 groups of blocks (GOBs) 142. Each GOB 142 is, in turn, composed of either 3 rows or 6 rows of an indeterminate number of blocks 143. Each GOB 142 is encoded in a zigzag direction indicated by the arrow 144. The GOBs 142 are, in turn, processed row-by-row, left-to-right in each row.
Referring now to Figure 14c, it can be seen that, for both MPEG and CIF, the output of the encoder is in the form of a data stream 151. The decoder receives this data stream 151. The decoder can then reconstruct the image according to the format used to encode it. In order to allow the decoder to recognize start and end points for each standard, the data stream 151 is segmented into lengths of 33 blocks 152.
Referring to Figure 15, a Venn diagram is shown, representing the range of values possible for the table selection from the Huffman decoder 56 (shown in Fig. 11) of the present invention. The values possible for an MPEG
decoder and an H.261 decoder overlap, indicating that a single table selection will decode both certain MPEG and certain H.261 formats. Likewise, the values possible for an MPEG decoder and a JPEG decoder overlap, indicating that a single table selection will decode both certain MPEG and certain JPEG formats. Additionally, it is shown that the H.261 values and the JPEG values do not overlap, indicating that no single table selection exists that will decode both formats.
Referring now more particularly to Figure 16, there is shown a schematic representation of variable length picture data in accordance with the practice of the present invention. A first picture 161 to be processed contains a first PICTURE_START token 162, first-picture information of indeterminate length 163, and a first PICTURE END token 164.
A second picture 165 to be processed contains a second PICTURE_START token 166, second picture information of indeterminate length 167, and a second PICTURE_END token 168.
The PICTURE_START tokens 162 and 166 indicate the start of lS the pictures 161 and 165 to the processor. Likewise, the PICTURE_END tokens 164 and 168 signify the end of the pictures 161 and 165 to the processor. This allows the processor to process picture information 163 and 167 of variable lengths.
Referring to Figure 17, a split 171 receives input over line 172. A first output from the split 171 is passed over line 173 to an address generator 174. The address generated by the address generator 174 is passed over line 175 to a DRAM interface 176. Signals are transmitted to and received from external DRAM (not shown) by the DRAM interface 176 over line 177. A first output from the DRAM interface 176 is passed over line 178 to a prediction filter 179. The output from the prediction filter 179 is passed over line 180 as a first input to a summer 181. A second output from the split 171 is passed over line 182 as an input to a first-in first-out buffer (FIF0) 183. The output from the FIF0 183 is passed over line 184 as a second input to the summer 181.
The output from the summer 181 is passed over line 185 to a `- 214S423 write signal generator 186. A first output from the write signal generator 186 is passed over line 187 to the DRAM
interface 176. A second output from the write signal generator 186 is passed over line 188 as a first input to a read signal generator 189. A second output from the DRAM
interface 176 is pAsFe~ over line 190 as a second input to the read signal generator 189. The output from the read signal generator 189 is passed over line 191 to a Video Formatter (not shown in Figure 17).
Referring now to Figure 18, the prediction filtering process is illustrated. A forward picture 201 is passed over line 202 as a first input to a summer 203. A
backward picture 204 is passed over line 205 as a second input to the summer 203. The output from the summer 203 is passed over line 206.
Referring to Figure 19, a slice 211 comprises one or more macroblocks 212. In turn, each macroblock 212 comprises four luminance blocks 213 and two chrominance blocks 214, and contains the information for an original 16 x 16 block of pixels. Each of the four luminance blocks 213 and two chrominance blocks 214 is 8 x 8 pixels in size. The four luminance blocks 213 contain a 1 pixel to 1 pixel mapping of the luminance (Y) information from the original 16 x 16 block of pixels. One chrominance block 214 contains a representation of the chrominance level of the blue color signal (Cu/b), and the other chrominance block 214 contains a representation of the chrominance level of the red color signal (Cv/r). Each chrominance level is subsampled such that each 8 x 8 chrominance block 214 contains the chrominance level of its color signal for the entire original 16 x 16 block of pixels.
Referring now to Figure 20, the structure and function of the Start Code Detector will become apparent. A

- 79 21~54~3 value register 221 receives image data over a line 222. The line 222 is eight bits wide, allowing for parallel transmission of eight bits at time. The output from the value register 221 iB pa~6~ serially over line 223 to a decode register 224. A first output from the decode register 224 is passed to a detector 225 over a line 226. The line 226 is twenty-four bits wide, allowing for parallel transmission of twenty-four bits at a time. The detector 225 detects the presence or absence of an-image which corresponds to a standard-independent start code of 23 "zero" values followed by a single "one" value. An 8-bit data value image follows a valid start code image. On detecting the presence of a start code image, the detector 225 transmits a start image over a line 227 to a value decoder 228.
A second output from the decode register 224 is passed serially over line 229 to a value decode shift register 230. The value decode shift register 230 can hold a data value image fifteen bits long. The 8-bit data value following the start code image is shifted to the right of the value decode shift register 230, as indicated by area 231.
This process eliminates overlapping start code images, as discussed below. A first output from the value decode shift register 230 is passed to the value decoder 228 over a line 232. The line 232 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The value decoder 228 decodes the value image using a first look-up table (not shown). A second output from the value decode shift register 230 is passed to the value decoder 228 which passes a flag to an index-to-tokens converter 234 over a line 235. The value decoder 228 also passes information to the index-to-tokens converter 234 over a line 236. The information is either the data value image or start code index image obtained from the first look-up table. The flag 214 ~ 23 indicates which form of information is passed. The line 236 i6 fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. While lS bits has been chosen here as the width in the present invention it will be appreciated that bits of other lengths may also be used. The index-to-tokens converter 234 converts the information to token images using a second look-up table (not shown) similar to that given in Table 12-3 of the Users Manual. The token images generated by the index-to-tokens converter 234 are then output over a line 237. The line 237 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
Referring to Figure 21, a data stream 241 consisting of individual bits 242 is input to a Start Code Detector (not shown in Figure 21). A first start code image lS 243 is detected by the Start Code Detector. The Start Code Detector then receives a first data value image 244. Before processing the first data value image 244, the Start Code Detector may detect a second start code image 245, which overlaps the first data value image 244 at a length 246. If this occurs, the Start Code Detector does not process the first data value image 244, and instead receives and processes a second data value image 247.
Referring now to Figure 22, a flag generator 251 receives data as a first input over a line 252. The line 252 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time. The flag generator 251 also receives a flag as a second input over a line 253, and receives an input valid image over a first two-wire interface 254. A
first output from the flag generator 251 is passed over a line 255 to an input valid register (not shown). A second output from the flag generator 251 is passed over a line 256 to a decode index 257. The decode index 257 generates four outputs; a picture start image is passed over a line 258, a 81 214~2~

picture number image is passed over a line 259, an insert image is passed over a line 260, and a replace image is passed over a line 261. The data from the flag generator 251 is passed over a line 262a. A header generator 263 uses a look-up table to generate a replace image, which is passed over a line 262b. An extra word generator 264 uses the MPU
to generate an insert image, which is passed over a line 262c. Line 262a, and line 262b combine to form a line 262, which is first input to output la~ches 265. The output latches 265 pass data over a line 266. The line 266 is fifteen bits wide, allowing for parallel transmission of fifteen bits at a time.
The input valid register (not shown) passes an image as a first input to a first OR gate 267 over a line 268. An insert image is passed over a line 269 as a second input to the first OR gate 267. The output from the first OR
gate 267 is passed as a first input to a first AND gate 270 over a line 271. The logical negation of a remove image is passed over a line 272 as a second input to the first AND
gate 270 is passed as a second input to the output latches 265 over a line 273. The output latches 265 pass an output valid image over a second two-wire interface 274. An output accept image is received over the second two-wire interface 274 by an output accept latch 275. The output from the output accept latch 275 is passed to an output accept register (not shown) over a line 276.
The output accept register (not shown~ passes an image as a first input to a second OR gate 277 over a line 278. The logical negation of the output from the input valid register is passed as a second input to the second OR gate 277 over a line 279. The remove image is passed over a line 280 as a third input to the second OR gate 277. The output from the second OR gate 277 is passed as a first input to a second AND gate 281 over a line 282. The logical negation of - ` 214~423 an in~ert image is pA~e~ as a second input to the second AND
gate 281 over a line 283. The output from the second AND
gate 281 is passed over a line 284 to an input accept latch 285. The output from the input accept latch 285 is passed over the first two-wire interface 254.

2145g~
-TABLE ~00 Format Ima~e Received Tokens Generated 1. H.261 SEQUENCE START SEQUENCE START
MPEG PICTURE START GROUP START
JPEG (None) PICTURE START
PICTURE DATA
2. H.261 (None) PICTURE END
MPEG (None) PADDING
JPEG (None) - FLUSH
STOP AFTER PICTURE
As set forth in Table 600 which shows a relationship between the absence or presence of standard signals in the certain machine independent control tokens, the detection of an image by the Start Code Detector 51 generates a sequence of machine independent Control Tokens. Each image listed in the "Image Received" column starts the generation of all machine independent control tokens listed in the group in the "Tokens Generated" column. Therefore, as shown in line 1 of Table 600, whenever a "sequence start" image is received during H.261 processing or a "picture start" image is received during MPEG processing, the entire group of four control tokens is generated, each followed by its corresponding data value or values. In addition, as set forth at line 2 of Table 600, the second group of four control tokens is generated at the proper time irrespective of images received by the Start Code Detector 51.

DISPLAY ORDER: I1 B2 B3 P4 B5 B6 P7 B8 B9 I10 TRANSMIT ORDER: I1 P4 B2 B3 P7 B5 B6 I10 B8 B9 As shown in line 1 of Table 601 which shows the timing relationship between transmitted pictures and displayed pictures, the picture frames are displayed in numerical order. However, in order to reduce the number of frames that -must be stored in memory, the frames are transmitted in a different order. It is useful to begin the analysis from an intraframe (I frame). The I1 frame is transmitted in the order it is to be displayed. The next predicted frame (P
frame), P4, is then transmitted. Then, any bi-directionally interpolated frames (B frames) to be displayed between the I1 frame and P4 frame are transmitted, represented by frames B2 and B3. This allows the transmitted B frames to reference a previous frame (forward prediction) or a future frame (backward prediction). After transmitting all the B frames to be displayed between the I1 frame and the P4 frame, the next P frame, P7, is transmitted. Next, all the B frames to be displayed between the P4 and P7 frames are transmitted, corresponding to B5 and B6. Then, the next I frame, I10, is transmitted. Finally, all the B frames to be displayed between the P7 and IlO frames are transmitted, corresponding to frames B8 and B9. This ordering of transmitted frames requires only two frames to be kept in memory at any one time, and does not require the decoder to wait for the transmission of the next P frame or I frame to display an interjacent B frame.
Further information regarding the structure and operation, as well as the features, objects and advantages, of the invention will become more readily apparent to one of ordinary skill in the art from the ensuing additional detailed description of illustrative embodiment of the invention which, for purposes of clarity and convenience of explanation are grouped and set forth in the following sections:
~0 1. Multi-Standard Configurations 2. JPEG Still Picture Decoding 3. Motion Picture Decompression A~ R~M Memory Map 5. Bitstream Characteristics 2145~23 -6. Reconfigurable Processing Stage 7. Multi-Standard Coding 8. Multi-Standard Processing Clrcuit-2nd Mode of Operation 9. Start Code Detector 10. Tokens 11. DRAM Interface 12. Prediction Filter 13. Accessing Registers 14. Microprocessor Interface (MPI) 15. MPI Read Timing 16. MPI Write Timing 17. Key Hole Address Locations 18. Picture End 19. Flushing operation 20. Flush Function 21. Stop-After-Picture 22. Multi-Standard Search Mode 23. Inverse Modeler 24. Inverse Quantizer 25. Huffman Decoder and Parser 26. Diverse Discrete Cosine Transformer 27. Buffer Manager 21~23 . ~LTI-STANDARD CON~IG~RATIONS
Since the various compression standards, i.e., JPEG, MPEG and H.261, are well known, as for example as described in the aforementioned United States Patent No. 5,212,742, the detailed specifications of those standards are not repeated here.
As previously mentioned, the present invention is capable of decompressing a variety of differently encoded, picture data bitstreams. In each of *he different standards of encoding, some form of output formatter is required to take the data presented at the output of the spatial decoder operating alone, or the serial output of a spatial decoder and temporal decoder operating in combination, ~as subsequently described herein in greater detail) and reformatting this output for use, including display in a computer or other display systems, including a video display system. Implementation of this formatting varies significantly between encoding standards and/or the type of display selected.
In a first embodiment, in accordance with the present invention, as previously described with reference to Figures 10-12 an address generator is employed to store a block of formatted data, output from either the first decoder (Spatial Decoder) or the combination of the first decoder tSpatial Decoder) and the second decoder (the Temporal Decoder), and to write the decoded information into and/or from a memory in a raster order. The video formatter described hereinafter provides a wide range of output signal combinations.
In the preferred multi-standard video decoder embodiment of the present invention, the Spatial Decoder and the Temporal Decoder are required to implement both an MPEG
encoded signal and an H.261 video decoding system. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required to be reduced when working with - 214~23 small picture formats and at low coded data rates. The reconfiguration of these DRAMs will be further described hereinafter with reference to the DRAM interface. Typically, a single 4 megabyte DRAM is required by each of the Temporal Decoder and the Spatial Decoder circuits.
The Spatial Decoder of the present invention performs all the required processing within a single picture. This reduces the redundancy within one picture.
The Temporal Decoder reduces the redundancy between the subject picture with relationship to a picture which arrives prior to the arrival of the subject picture, as well as a picture which arrives after the arrival of the subject picture. One aspect of the Temporal Decoder is to provide an address decode network which handles the complex addressing needs to read out the data associated with all of these pictures with the least number of circuits and with high speed and improved accuracy.
As previously described with reference to Figure 11, the data arrives through the Start Code Detector, a FIFO register which precedes a Huffman decoder and parser, through a second FIFO register, an inverse modeller, an inverse quantizer, inverse zigzag and inverse DCT. The two FIFOs need not be on the chip. In one embodiment, the data does not flow through a FIFO that is on the chip. The data is applied to the DRAM
interface, and the FIFO-IN storage register and the FIFO-OUT
register is off the chip in both cases. These registers, whose operation is entirely independent of the standards, will subsequently be described herein in further detail.
The majority of the subsystems and stages shown in Figure 11 are actually independent of the particular standard used and include the DRAM interface 58, the buffer manager 59 which is generating addresses for the DRAM interface, the inverse modeller 75, the inverse zig-zag 81 and the inverse - 2145~23 DCT 83. The standard independent units within the Huffman decoder and parser include the ALU 66 and the token formatter 71.
Referring now to Figure 12, the standard-independent units include the DRAM interface 100, the fork91, the FIFO register 96, the summer 98 and the output selector 106. The standard dependent units are the address generator 94, which is different in H.261 and in MPEG, and the prediction filter 103, which is reconfigurable to have the ability to do both H.261 and MPEG. The JPEG data will flow through the entire machine completely unaltered.
Figure 13 depicts a high level block diagram of the video formatter chip. The vast majority of this chip is independent of the standard. The only items that are affected by the standard is the way the data is written into the DRAM in the case of H.261, which differs from MPEG or JPEG; and that in H.261, it is not necessary to code every single picture. There is some timing information referred to as a temporal reference which provides some information regarding when the pictures are intended to be displayed, and that is also handled by the address generation type of logic in the video formatter.
The remainder of the circuitry embodied in the video formatter, including all of the color space conversion, the up-sampling filters and all of the gamma correction RAMs, is entirely independent of the particular compression standard utilized.
The Start Code Detector of the present invention is dependent on the compression standard in that it has to recognize different start code patterns in the bitstream for each of the standards. For example, H.261 has a 16 bit start code, MPEG has a 24 bit start code and JPEG uses marker codes which are fairly different from the other start codes. Once the Start Code Detector has recognized those different start 2145q23 codec, its operation is essentially independent of the compression standard. For instance, during searching, apart from the circuitry that recognizes the different category of markers, much of the operation is very similar between the three different compression standards.
The next unit is the state machine 68 (Figure 11) located within the Huffman decoder and parser. Here, the actual circuitry is almost identical for each of the three compression standards. In fact, the only element that is affected by the standard in operation is the reset address of the machine. If just the parser is reset, then it jumps to a different address for each standard. There are, in fact, four standards that are recognized. These standards are H.261, JPEG, MPEG and one other, where the parser enters a piece of code that is used for testing. This illustrates that the circuitry is identical in almost every aspect, but the difference is the program in the microcode for each of the standards. Thus, when operating in H.261, one program is running, and when a different program is running, there is no overlap between them. The same holds true for JPEG, which is a third, completely independent program.
The next unit is the Huffman decoder 56 which functions with the index to data unit 64. Those two units cooperate together to perform the Huffman decoding. Here, the algorithm that is used for Huffman decoding is the same, irrespective of the compression standard. The changes are in which tables are used and whether or not the data coming into the Huffman decoder is inverted. Also, the Huffman decoder itself includes a state machine that understands some aspects of the coding standards. These different operations are selected in response to an instruction coming from the parser state machine. The parser state machine operates with a different program for each of the three compression standards 214~g23 9o and issues the correct command to the Huffman decoder at different times consi~tent with the standard in operation.
The last unit on the chip that is dependent on the compression standard is the inverse quantizer 79, where the mathematics that the inverse quantizer performs are different for each of the different standards. In this regard, a CODING_STANDARD token is decoded and the inverse quantizer 79 remembers which standard it is operating in. Then, any subsequent DATA tokens that happen-after that event, but before another CODING STANDARD may come along, are dealt with in the way indicated by the CODING STANDARD that has been remembered inside the inverse quantizer. In the detailed description, there is a table illustrating different parameters in the different standards and what circuitry is responding to those different parameters or mathematics.
The address generation, with reference to H.261, differs for each of the subsystems shown in Figure 12 and Figure 13.
The address generation in Figure 11, which generates addresses for the two FIFOs before and after the Huffman decoder, does not change depending on the coding standards.
Even in H.261, the address generation that happens on that chip is unaltered. Essentially, the difference between these standards is that in MPEG and JPEG, there is an organization of macroblocks that are in linear lines going horizontally across pictures. As best observed in Figure 14a, a first macroblock A covers one full line. A macroblock B covers less than a line. A macroblock C covers multiple lines. The division in MPEG is into slices 132, and a slice may be one horizontal line, A, or it may be part of a horizontal line B, or it may extend from one line into the next line, C. Each of these slices 132 is made up of a row of macroblocks.
In H.261, the organization is rather different because the picture is divided into groups of blocks (GOB).

21~5423 A group of blocks is three rows of macroblocks high by eleven macroblocks wide. In the case of a CIF picture, there are twelve such groups of blocks. However, they are not organized one above the other. Rather, there are two groups of blocks next to each other and then six high, i.e., there are 6 GOB's vertically, and 2 GOB's horizontally.
In all other standards, when performing the addressing, the macroblocks are addressed in order as described above. More specifically, addressing proceeds along the lines and at the end of the line, the next line is started. In H.261, the order of the blocks is the same as described within a group of blocks, but in moving onto the next group of blocks, it is almost a zig-zag.
The present invention provides circuitry to deal with the latter affect. That is the way in which the address generation in the spatial decoder and the video formatter varies for H.261. This is accomplished whenever information is written into the DRAM. It is written with the knowledge of the aforementioned address generation sequence so the place where it is physically located in the RAM is exactly the same as if this had been an MPEG picture of the same size. Hence, all of the address generation circuitry for reading from the DRAM, for instance, when forming predictions, does not have to comprehend that it is H.261 standard because the physical placement of the information in the memory is the same as it would have been if it had been in MPEG sequence. Thus, in all cases, only writing of data is affected.
In the Temporal Decoder, there is an abstraction for H.261 where the circuitry pretends something is different from what is actually occurring. That is, each group of blocks is conceptually stretched out so that instead of having a rectangle which is 11 x 3 macroblocks, the macroblocks are stretched out into a length of 33 blocks (see - 2145~23 Figure 14c) group of blocks which is one macroblock high. By doing that, exactly the same counting mechanisms used on the Temporal Decoder for counting through the groups of blocks are also used for MPEG.
There is a correspondence in the way that the circuitry is designed between an H.261 group of blocks and an MPEG slice. When H.261 data is processed after the Start Code Detector, each group of blocks is preceded by a slice start_code. The next group of blocks is preceded by the next slice start code. The counting that goes on inside the Temporal Decoder for counting through this structure pretends that it is a 33 macroblock-long group that is one macroblock high. This is sufficient, although the circuitry also counts every 11th interval. When it counts to the 11th lS macroblock or the 22nd macroblock, it resets some counters.
This is accomplished by simple circuitry with another counter that counts up each macroblock, and when it gets to 11, it resets to zero. The microcode interrogates that and does that work. All the circuitry in the temporal decoder of the present invention is essentially independent of the compression standard with respect to the physical placement of the macroblocks.
In terms of multi-standard adaptability, there are a number of different tables and the circuitry selects the appropriate table for the appropriate standard at the appropriate time. Each standard has multiple tables; the circuitry selects from the set at any given time. Within any one standard, the circuitry selects one table at one time and another table another time. In a different standard, the circuitry selects a different set of tables. There is some intersection between those tables as indicated previously in the discussion of Figure 15. For example, one of the tables used in MPEG is also used in JPEG. The tables are not a completely isolated set. Figure 15 illustrates an H.261 -set, an MPEG set and a JPEG set. Note that there is a much greater overlap between the H.261 set and the MPEG set. They are quite common in the tables they utilize. There is a small overlap between MPEG and JPEG, and there is no overlap at all between H.261 and JPEG so that these standards have totally different sets of tables.
As previously indicated, most of the system units are compression standard independent. If a unit is standard independent, and such units need not remember what CODING_STANDARD is being processed. All of the units that are standard dependent remember the compression standard as the CODING_STANDARD token flows by them. When information encoded/decoded in a first coding standard is distributed through the machine, and a machine is changing standards, prior machines under microprocessor control would normally choose to perform in accordance with the H.261 compression standard. The MPU in such prior machines generates signals stating in multiple different places within the machine that the compression standard is changing. The MPU makes changes at different times and, in addition, may flush the pipeline through.
In accordance with the invention, by issuing a change of CODING_STANDARD tokens at the Start Code Detector that is positioned as the first unit in the pipeline, this change of compression standard is readily handled. The token says a certain coding standard is beginning and that control information flows down the machine and configures all the other registers at the appropriate time. The MPU need not program each register.
The prediction token signals how to form predictions using the bits in the bitstream. Depending on which compression standard is operating, the circuitry translateS
the information that is found in the standard, i.e. from the bitstream into a prediction mode token. This processing is performed by the Huffman decoder and parser state machine, where it is easy to manipulate bits based on certain conditions. The Start Code Detector generates this prediction mode token. The token then flows down the machine to the circuitry of the Temporal Decoder, which is the device responsible for forming predictions. The circuitry of the spatial decoder interprets the token without having to know what standard it is operating in because the bits in it are invariant in the three different standards. The Spatial Decoder just does what it is told in response to that token.
By having these tokens and using them appropriately, the design of other units in the machine is simplified. Although there may be some complications in the program, benefits are received in that some of the hard wired logic which would be difficult to design for multi-standards can be used here.

2. JPEG STILL PICTURE DECODING
As previously indicated, the present invention relates to signal decompression and, more particularly, to the decompression of an encoded video signal, irrespective of the compression standard employed.
One aspect of the present invention is to provide a first decoder circuit (the Spatial Decoder) to decode a first encoded signal (the JPEG encoded video signal) in combination ~ith a second decoder circuit (the Temporal Decoder) to decode a first encoded signal (the MPEG or H.261 encoded video signal) in a pipeline processing system. The Temporal Decoder is not needed for JPEG decoding.
In this regard, the invention facilitates the decompression of a plurality of differently encoded signals through the use of a single pipeline decoder and decompression system. The decoding and decompression pipeline processor is organized on a unique and special configuration which allows the handling of the multi-standard ~ 21~5~2~
.

encode~ video signals through the use of techniques all compatible with the single pipeline decoder and processing system. The Spatial Decoder is combined with the Temporal Decoder, and the Video Formatter is used in driving a video display.
Another aspect of the invention is the use of the combination of the Spatial Decoder and the Video Formatter for use with only still pictures. The compression standard independent Spatial Decoder performs all of the data lo processing within the boundaries of a single picture. Such a decoder handles the spatial decompression of the internal picture data which is passing through the pipeline and is distributed within associated random access memories, standard independent address generation circuits for handling the storage and retrieval of information into the memories.
Still picture data is decoded at the output of the Spatial Decoder, and this output is employed as input to the multi-standard, configurable Video Formatter, which then provides an output to the display terminal. In a first sequence of similar pictures, each decompressed picture at the output of the Spatial Decoder is of the same length in bits by the time the picture reaches the output of the Spatial Decoder. A
second sequence of pictures may have a totally different picture size and, hence, have a different length when compared to the first length. Again, all such second sequence of similar pictures are of the same length in bits by the time such pictures reach the output of the Spatial Decoder.
Another aspect of the invention is to internally organize the incoming standard dependent bitstream into a sequence of control tokens and DATA tokens, in combination with a plurality of sequentially-positioned reconfigurable processing stages selected and organized to act as a standard-independent, reconfigurable-pipeline-processor--With regard to JPEG decoding, a single Spatial Decoder with no off chip DRAM can rapidly decode baseline JPEG
images. The Spatial Decoder supports all features of baseline JPEG encoding standards. However, the image size that can be decoded may be limited by the size of the output buffer provided. The Spatial Decoder circuit also includes a random access memory circuit, having machine-dependent, standard independent address generation circuits for handling the storage of information into the memories.
As previously, indicated the Temporal Decoder is not required to decode JPEG-encoded video. Accordingly, signals carried by DATA tokens pass directly through the Temporal Decoder without further processing when the Temporal Decoder is configured for a JPEG operation.
Another aspect of the present invention is to provide in the Spatial Decoder a pair of memory circuits, such as buffer memory circuits, for operating in combination with the Huffman decoder/video demultiplexor circuit (HD & VDM). A
first buffer memory is positioned before the HD & VDM, and a second buffer memory is positioned after the HD & VDM. The HD & VDM decodes the bitstream from the binary ones and zeros that are in the standard encoded bitstream and turns such stream into numbers that are used downstream. The advantage of the two buffer system is for implementing a multi-standard decompression system. These two buffers, in combination with the identified implementation of the Huffman decoder, are described hereinafter in greater detail.
A still further aspect of the present multi-standard, decompression circuit is the combination of a Start Code Detector circuit positioned upstream of the first forward buffer operating in combination with the Huffman decoder.
One advantage of this combination is increased flexibility in dealing with the input bitstream, particularly padding, which has to be added to the bitstream. The placement of these ` 21~5423 identifi~d components, Start Code Detector, memory buffers, and Huffman decoder enhances the handling of certain sequences in the input bitstream.
In addition, off chip DRAMs are used for decoding JPEG-encoded video pictures in real time. The size and speed ofthe buffers used with the DRAMs will depend on the video encoded data rates.
The coding standards identify all of the standard dependent types of information that is necessary for storage in the DRAMs associated with the Spatial Decoder using standard independent circuitry.
3. MOTION PICTURE DECOMPRE88ION
In the present invention, if motion pictures are being decompressed through the steps of decoding, a further Temporal Decoder is necessary. The Temporal Decoder combines the data decoded in the Spatial Decoder with pictures, previously decoded, that are intended for display either before or after the picture being currently decoded. The Temporal Decoder receives, in the picture coded datastream, information to identify this temporally-displaced information. The Temporal Decoder is organized to address temporally and spatially displaced information, retrieve it, and combine it in such a way as to decode the information located in one picture with the picture currently being decoded and ending with a resultant picture that is complete and is suitable for transmission to the video formatter for driving the display screen. Alternatively, the resultant picture can be stored for subsequent use in temporal decoding of subsequent pictures.
Generally, the Temporal Decoder performs the processing between pictures either earlier and/or later in time with reference to the picture currently being decoded. The Temporal Decoder reintroduces information that is not encoded within the coded representation of the picture, because it is , redunda~t and is already available at the decoder. More specifically, it is probable that any given picture will contain similar information as pictures temporally surrounding it, both before and after. This similarity can be made greater if motion compensation is applied. The Temporal Decoder and decompression circuit also reduces the redundancy between related pictures.
In another aspect of the present invention, the Temporal Decoder is employed for handling the standard-dependent lo output information from the Spatial Decoder. This standard dependent information for a single picture is distributed among several areas of DRAM in the sense that the decompressed output information, processed by the Spatial Decoder, is stored in other DRAM registers by other random access memories having still other machine-dependent, standard-independent address generation circuits for combining one picture of spatially decoded information packet of spatially decoded picture information, temporally displaced relative to the temporal position of the first picture.
In multi-standard circuits capable of decoding MPEG-encoded signals, larger logic DRAM buffers may be required to support the larger picture formats possible with MPEG.
. The picture information is moving through the serial pipeline in 8 pel by 8 pel blocks. In one form of the invention, the address decoding circuitry handles these pel blocks (storing and retrieving) along such block boundaries.
The address decoding circuitry also handles the storing and retrieving of such 8 by 8 pel blocks across such boundaries.
This versatility is more completely described hereinafter.
A second Temporal Decoder may also be provided which passes the output of the first decoder circuit (the Spatial Decoder) directly to the Video Formatter for handling without signal processing delay.

~ ` 2145423 The Temporal Decoder also reorders the blocks of picture data for display by a display circuit. The address decode circuitry, described hereinafter, provides handling of this reordering.
As previously mentioned, one important feature of the Temporal Decoder is to add picture information together from a selection of pictures which have arrived earlier or later than the picture under processing. When a picture is described in this context, it may mean any one of the following:
1. The coded data representation of the picture;
2. The result, i.e., the final decoded picture resulting from the addition of a process step performed by the decoder;
3. Previously decoded pictures read from the DRAM; and 4. The result of the spatial decodinq, i.e., the extent of data between a PICTURE_START token and a subsequent PICTURE_END token.
After the picture data information is processed by the Temporal Decoder, it is either displayed or written back into a picture memory location. This information is then kept for further reference to be used in processing another different coded data picture.
Re-ordering of the MPEG encoded pictures for visual display involves the possibility that a desired scrambled picture can be achieved by varying the re-ordering feature of the Temporal Decoder.

4. RAM MEMORY MAP
The Spatial Decoder, Temporal Decoder and Video Formatter all use external DRAM. Preferably, the same DRAM
is used for all three devices. While all three devices use DRAM, and all three devices use a DRAM interface ln conjunction with an address generator, what each implements 2145~

in DRAM is different. That is, each chip, e.g. Spatial Decoder and Temporal Decoder, have a different DRAM interface and address generation circuitry even through they use a similar physical, external DRAM.
In brief, the Spatial Decoder implements two FIFOs in the common DRAM. Referring again to Figure 11, one FIFO 54 is positioned before the Huffman decoder 56 and parser, and the other is positioned after the Huffman decoder and parser.
The FIFOs are implemented in a relatively straightforward manner. For each FIFO, a particular portion of DRAM is set aside as the physical memory in which the FIFO will be implemented.
The address generator associated with the Spatial Decoder DRAM interface 58 keeps track of FIFO addresses using two pointers. One pointer points to the first word stored in the FIFO, the other pointer points to the last word stored in the FIFO, thus allowing read/write operation on the appropriate word. When, in the course of a read or write operation, the end of the physical memory is reached, the address generator "wraps around" to the start of the physical memory.
In brief, the Temporal Decoder of the present invention must be able to store two full pictures or frames of whatever encoding standard (MPEG or H.261) is specified. For simplicity, the physical memory in the DRAM into which the two frames are stored is split into two halves, with each half being dedicated (using appropriate pointers) to a particular one of the two pictures.
~ MPEG uses three different picture types: Intra (I), Predicted (P) and Bidirectionally interpolated (B). As previously mentioned, B pictures are based on predictions from two pictures. One picture is from the future and one from the past. I pictures require no further decoding by the Temporal Decoder, but must be stored in one of the two - 2145g23 picture buffers for later use in decoding P and B pictures.
Decoding P pictures requires forming predictions from a previously decoded P or I picture. The decoded P picture is stored in a picture buffer for use decoding P and B pictures.
B pictures can require predictions form both of the picture buffers. However, B pictures are not stored in the external DRAM.
Note that I and P pictures are not output from the Temporal Decoder as they are decoded. Instead, I and P
pictures are written into one of the picture buffers, and are read out only when a subsequent I or P picture arrives for decoding. In other words, the Temporal Decoder relies on subsequent P or I pictures to flush previous pictures out of the two picture buffers, as further discussed hereinafter in the section on flushing. In brief, the Spatial Decoder can provide a fake I or P picture at the end of a video sequence to flush out the last P or I picture. In turn, this fake picture is flushed when a subsequent video sequence starts.
The peak memory band width load occurs when decoding B
pictures. The worst case is the B frame may be formed from predictions from both the picture buffers, with all predictions being made to half-pixel accuracy.
As previously described, the Temporal Decoder can be configured to provide MPEG picture reordering. With this picture reordering, the output of P and I pictures is delayed until the next P or I picture in the data stream starts to be decoded by the Temporal Decoder.
As the P or I pictures are reordered, certain tokens are stored temporarily on chip as the picture is written into the picture buffers. When the picture is read out for display, these stored tokens are retrieved. At the output of the Temporal Decoder, the DATA Tokens of the newly decoded P or I picture are replaced with DATA Tokens for the older P or I
picture.

`` 21~5423 ~ 102 In contrast, H.261 makes predictions only from the picture just decoded. As each picture is decoded, it is written into one of the two picture buffers so it can be used in decoding the next picture. The only DRAM memory operations required are writing 8 x 8 blocks, and forming predictions with integer accuracy motion vectors.
In brief, the Video Formatter stores three frames or pictures. Three pictures need to be stored to accommodate such features as repeating or skipping pictures.

5. BITSTREAM CHARACTERISTICS
Referring now particularly to the Spatial Decoder of the present invention, it is helpful to review the bitstream characteristics of the encoded datastream as these characteristics must be handled by the circuitry of the Spatial Decoder and the Temporal Decoder. For example, under one or more compression standards, the compression ratio of the standard is achieved by varying the number of bits that it uses to code the pictures of a picture. The number of bits can vary by a wide margin. Specifically, this means that the length of a bitstream used to encode a referenced picture of a picture might be identified as being one unit long, another picture might be a number of units long, while still a third picture could be a fraction of that unit.
None of the existing standards (MPEG 1.2, JPEG, H.261) define a way of ending a picture, the implication being that when the next picture starts, the current one has finished.
Additionally, the standards (H.261 specifically) allow incomplete pictures to be generated by the encoder.
In accordance with the present invention, there is provided a way of indicating the end of a picture by using one of its tokens: PICTURE_END. The still encoded picture data leaving the Start Code Detector consists of pictures starting with a PICTURE_START token and ending with a PICTURE_END token, but still of widely varying length. There may be other information transmitted here (between the first and second picture), but it is known that the first picture has finished.
The data stream at the output of the Spatial Decoder consists of pictures, still with picture-starts and picture-ends, of the same length (number of bits) for a given sequence. The length of time between a picture-start and a picture-end may vary.
The Video Formatter takes these pictures of non-uniform time and displays them on a screen at a fixed picture rate determined by the type of display being driven. Different display rates are used throughout the world, e.g. PAL-NTSC
television standards. This is accomplished by selectively dropping or repeating pictures in a manner which is unique.
Ordinary "frame rate converters," e.g. 2-3 pulldown, operate with a fixed input picture rate, whereas the Video Formatter can handle a variable input picture rate.

6. RFCONFIGURABLE PROCE88ING 8TAGF
Referring again to Figure 10, the reconfigurable processing stage (RPS) comprises a token decode circuit 33 which is employed to receive the tokens coming from a two wire interface 37 and input latches 34. The output of the token decode circuit 33 is applied to a processing unit 36 over the two-wire interface 37 and an action identification circuit 39. The processing unit 36 is suitable for processing data under the control of the action identification circuit 39. After the processing is completed, the processing unit 36 connects such completed signals to the output, two-wire interface bus 40 through output latches 41.
The action identification decode circuit 39 has an input from the token decode circuit 33 over the two-wire 542~

interface bus 40 and/or from memory circuits 43 and 44 over two-wire interface bUC 46. The tokens from the token decode circuit 33 are applied simultaneously to the action identification circuit 39 and the processing unit 36. The action identification function as well as the RPS is described in further detail by tables and figures in a subsequent portion of this specification.
The functional block diagram in Figure 10 illustrates those stages shown in Figures 11, 12 and 13 which are not standard independent circuits. The data flows through the token decode circuit 33, through the processing unit 36 and onto the two-wire interface circuit 42 through the output latches 41. If the Control Token is recognized by the RPS, it is decoded in the token decode circuit 33 and appropriate action will be taken. If it is not recognized, it will be passed unchanged to the output two-wire interface 42 through the output circuit 41. The present invention operates as a pipeline processor having a two-wire interface for controlling the movement of control tokens through the pipeline. This feature of the invention is described in greater detail in the previously filed EPO patent application number 92306038.8.
In the present invention, the token decode circuit 33 is employed for identifying whether the token presently entering through the two-wire interface 42 is a DATA token or control token. ~n the event that the token being examined by the token decode circuit 33 is recognized, it is exited to the action identification circuit 39 with a proper index signal or flag signal indicating that action is to be taken. At the same time, the token decode circuit 33 provides a proper flag or index signal to the processing unit 36 to alert it to the presence of the token being handled by the action identification circuit 39.

lo5 214S42~

Control tokens may also be process~.
A more detailed description of the various types of tokens u~able in the present invention will be subsequently described hereinafter. For the purpose of this portion of the specification, it is sufficient to note that the address carried by the control token is decoded in the decoder 33 and is used to access registers contained within the action identification circuit 39. When the token being examined is a recognized control token, the action identification circuit 39 uses its reconfiguration state circuit for distributing the control signals throughout the state machine. As previously mentioned, this activates the state machine of the action identification decoder 39, which then reconfigures itself. For example, it may change coding standards. In this way, the action identification circuit 39 decodes the required action for handling the particular standard now passing through the state machine shown with reference to Figure 10.
Similarly, the processing unit 36 which is under the control of the action identification circuit 39 is now ready to process the information contained in the data fields of the DATA token when it is appropriate for this to occur.
On many occasions, a control token arrives first, reconfigures the action identification circuit 39 and is immediately followed by a DATA token which is then processed by the processing unit 36. The control token exits the output latches circuit 41 over-the output two-wire interface 42 immediately preceding the DATA token which has been processed within the processing unit 36.
In the present invention, the action identification circuit, 39, is a state machine holding history state. The registers, 43 and 44 hold information that has been decoded from the token decoder 33 and stored in these registers.

` 106 2145423 Such registers can be either on-chip or-off chip as needed.
The~e plurality of state regi~ters contain action information connected to the action identification currently being identified in the action identification circuit 39. This action information has been stored from previously decoded tokens and can affect the action that is selected. The connection 40 is going straight from the token decode 33 to the action identification block 39. This is intended to show that the action can also be affecte~ by the token that is currently being processed by the token decode circuit 33.
In general, there is shown token decoding and data processing in accordance with the present invention. The data processing is performed as configured by the action identification circuit 39. The action is affected by a number of conditions and is affected by information generally derived from a previously decoded token or, more specifically, information stored from previously decoded tokens in registers 43 and 44, the current token under processing, and the state and history information that the action identification unit 39 has itself acquired. A
distinction is thereby shown between Control tokens and DATA
tokens.
In any RPS, some tokens are viewed by that RPS unit as being Control tokens in that they affect the operation of the RPS presumably at some subsequent time. Another set of tokens are viewed by the RPS as DATA tokens. Such DATA
tokens contain information which is processed by the RPS in a way that is determined by the design of the particular circuitry, the tokens that have been previously decoded and the state of the action identification circuit 39. Although a particular RPS identifies a certain set of tokens for that particular RPS control and another set of tokens as data, that is the view of that particular RPS. Another RPS can have a different view of the same token. some of the tokens might be viewed by one RPS unit as DATA Tokens while another RPS unit might decide that it is actually a Control Token.
For example, the quantization table information, as far as the Huffman decoder and state machine is concerned, is data, because it arrives on its input as coded data, it gets formatted up into a series of 8 bit words, and they get formed into a token called a quantization table token (QUANT_TABLE) which goes down the processing pipeline. As far as that machine is concerned, all of that was data; it was handling data, transforming one sort of data into another sort of data, which is clearly a function of the processing performed by that portion of the machine. However, when that information gets to the inverse quantizer, it stores the information in that token a plurality of registers. In fact, because there are 64 8-bit numbers and there are many registers, in general, many registers may be present. This information is viewed as control information, and then that control information affects the processing that is done on subsequent DATA tokens because it affects the number that you multiply each data word. There is an example where one stage viewed that token as being data and another stage viewed it as being control.
Token data, in accordance with the invention is almost universally viewed as being data through the machine. One of the important aspects is that, in general, each stage of circuitry that has a token decoder will be looking for a certain set of tokens, and any tokens that it does not recognize will be passed unaltered through the stage and down the pipeline, so that subsequent stages downstream of the current stage have the benefit of seeing those tokens and may respond to them. This is an important feature, namely there can be communication between blocks that are not adjacent to one another using the token mechanism.
Another important feature of the invention is that each of 108 2145~23 the stages of circuitry has the proce~sing capability within it to be able to perform the neceF~-ry operations for each of the standards, and the control, as to which operations are to be performed at a given time, come as tokens. There is one processing element that differs between the different stages to provide this capability. In the state machine ROM of the parser, there are three separate entirely different programs, one for each of the st~n~Ards that are dealt with. Which program is executed depends upon a CODING STANDARD token. In otherwords, each of these three programs has within it the ability to handle both decoding and the CODING STANDARD
standard token. When each of these programs sees which coding standard, is to be decoded next, they literally jump to the start address in the microcode ROM for that particular program. This is how stages deal with multi-standardness.
Two things are affected by the different standards.
First, it affects what pattern of bits in the bitstream are recognized as a start-code or a marker code in order to reconfigure the shift register to detect the length of the start marker code. Second, there is a piece of information in the microcode that denotes what that start or marker code means. Recall that the coding of bits differs between the three standards. Accordingly, the microcode looks up in a table, specific to that compressor standard, something that is independent of the standard, i.e., a type of token that represents the incoming codes. This token is typically independent of the standard since in most cases, each of the various standards provide a certain code that will produce it.
The inverse quantizer 79 has a mathematical capability. The quantizer multiplies and adds, and has the ability to do all three compression standards which are configured by parameters. For example, a flag bit in the ROM
in control tells the inverse quantizer whether or not to add a constant, K. Another flag tells the inverse quantizer whether to add another constant. The inverse quantizer remembers in a register the CODING_STANDARD token as it flows by the quantizer. When DATA tokens pass thereafter, the inverse quantizer remembers what the standard is and it looks up the parameters that it needs to apply to the processing elements in order to perform a proper operation. For example, the inverse quantizer will look up whether K is set to 0, or whether it is set to 1 for a particular compression standard, and will apply that to its processing circuitry.
In a similar sense the Huffman decoder 56 has a number of tables within it, some for JPEG, some for MPEG and some for H.261. The majority of those tables, in fact, will service more than one of those compression standards. Which tables are used depends on the syntax of the standard. The Huffman decoder works by receiving a command from the state machine which tells it which of the tables to use.
Accordingly, the Huffman decoder does not itself directly have a piece of state going into it, which is remembered and which says what coding it is performing. Rather, it is the combination of the parser state machine and Huffman decoder together that contain information within them.
Regarding the Spatial Decoder of the present invention, the address generation is modified and is similar to that shown in Figure 10, in that a number of pieces of information are decoded from tokens, such as the coding standard. The coding standard and additional information as well, is recorded in the registers and that affects the progress of the address generator state machine as it steps through and counts the macroblocks in the system, one after the other. The last stage would be the prediction filter 179 (Figure 17) which operates in one of two modes, either H.261 or MPEG and are easily identified.

llo 214542~

7. MUL~-8TANDARD CODING
The system of the present invention also provides a combination of the standard-independent indices generation circuits, which are strategically placed throughout the system in combination with the token decode circuits. For example, the system is employed for specifically decoding either the H.261 video standard, or the MPEG video standard or the JPEG video standard. These three compression coding standards specify similar processes to be done on the arriving data, but the structure of the datastreams is different. As previously discussed, it is one of the functions of the Start Code Detector to detect MPEG start-codes, H.261 start-codes, and JPEG marker codes, and convert them all into a form, i.e., a control token which includes a token stream embodying the current coding standard. The control tokens are passed through the pipeline processor, and are used, i.e., decoded, in the state machines to which they are relevant, and are passed through other state machines to which the tokens are not relevant. In this regard, the DATA
Tokens are treated in the same fashion, insofar as they are processed only in the state machines that are configurable by the control tokens into processing such DATA Tokens. In the remaining state machines, they pass through unchanged.
More specifically, a control token in accordance with the present invention, can consist of more than one word in the token. In that case, a bit known as the extension bit is set specifying the use of additional words in the token for carrying additional information. Certain of these additional control bits contain indices indicating information for use in corresponding state machines to create a set of standard-independent indices signals. The remaining portions of the token are used to indicate and identify the internal processing control function which is standard for all of the datastreams passing through the pipeline processor. In one -- 2145q23 lll `

form of the invention, the token extension is used to carry the current coding stA~rd which i8 decoded by the relative token decode circuits distributed throughout the machine, and is used to reconfigure the action identification circuit 39 of stages throughout the machine wherever it is appropriate to operate under a new coding standard. Additionally, the token decode circuit can indicate whether a control token is related to one of the selected standards which the circuit was designed to handle.
More specifically, an MPEG start code and a JPEG marker are followed by an 8 bit value. The H.261 start code is followed by a 4 bit value. In this context, the Start Code Detector 51, by detecting either an MPEG start-code or a JPEG
marker, indicates that the following 8 bits contain the value associated with the start-code. Independently, it can then create a signal which indicates that it is either an MPEG
start code or a JPEG marker and not an H.261 start code. In this first instance, the 8 bit value is entered into a decode circuit, part of which creates a signal indicating the index and flag which is used within the current circuit for handling the tokens passing through the circuit. This is also used to insert portions of the control token which will be looked at thereafter to determine which standard is being handled. In this sense, the control token contains a portion indicating that it is related to an MPEG standard, as well as a portion which indicates what type of operation should be performed on the accompanying data. As previously discussed, this information is utilized in the system to reconfigure the processing stage used to perform the function required by the various standards created for that purpose.
For example, with reference to the H.261 start code, it is associated with a 4 bit value which follows immediately after the start code. The Start Code Detector passes this value into the token generator state machine. The value is applied to an 8 bit decoder which produces a 3 bit start number. The start number is employed to identify the picture-start of a picture number as indicated by the value.
The syætem also includes a multi-stage parallel S processing pipeline operating under the principles of the two-wire interface previously described. Each of the stages comprises a machine generally taking the form illustrated in Figure 10. The token decode circuit 33 is employed to direct the token presently entering the state machine into the action identification circuit 39 or the processing unit 36, as appropriate. The processing unit has been previously reconfigured by the next previous control token into the form needed for handling the current coding standard, which i5 now entering the processing stage and carried by the next DATA
token. Further, in accordance with this aspect of the invention, the succeeding state machines in the processing pipeline can be functioning under one coding standard, i.e., H.261, while a previous stage can be operating under a separate standard, such as MPEG. The same two-wire interface is used for carrying both the control tokens and the DATA
Tokens.
The system of the present invention also utilizes control tokens required to decode a number of coding standards with a fixed number of reconfigurable processing stages. More specifically, the PICTURE_END control token is employed because it is important to have an indication of when a picture actually ends. Accordingly, in designing a multi-standard machine, it is necessary to create additional control tokens within the multi-standard pipeline processing machine which will then indicate which one of the standard decoding techniques to use. Such a control token is the PICTURE_END token. This PICTURE END token is used to indicate that the current picture has finished, to force the buffers to be flushed, and to push the current picture through the d~o~r to the display.

8. M~LT~-8TANDARD FnCCP~INa CIRCUIT - ~ECOND
~ODE O~ OPERATION
A compression standard-dependent circuit, in the form of the previously described Start Code Detector, is suitably interconnected to a compression standard-independent circuit over an appropriate bus. The standard-dependent circuit is connected to a combination dependent-independent circuit over the same bus and an additional bus. The standard-independent circuit applies additional input to the standard dependent-independent circuit, while the latter provides information back to the standard-independent circuit. Information from the standard-independent circuit is applied to the output over another suitable bus. Table 600 illustrates that the multiple standards applied as the input to the standard-dependent Start Code Detector 51 include certain bit streams which have standard-dependent meanings within each encoded bit stream.

9. 8TART-CODE D~ O~
As previously indicated the Start Code Detector, in accordance with the present invention, is capable of taking MPEG, JPEG and H.261 bit streams and generating from them a sequence of proprietary tokens which are meaningful to the rest of the decoder. As an example of how multi-standard decoding is achieved, the MPEG (1 and 2) picture_start_code, the H.261 picture start_code and the JPEG start_of_scan (SoS) marker are treated as equivalent by the Start Code Detector, and all will generate an internal PICTURE START token. In a similar way, the MPEG sequence_start_code and the JPEG SOI
(start_of_image) marker both generate a machine sequence_start_token. The H.261 standard, however, has no equivalent start code. Accordingly, the Start Code Detector, ~ 114 - 2145~23 in r~sponse to the first H.261 picture_start_code, will generate a sequence_start token.
None of the above described images are directly used other than in the SCD. Rather, a machine PICTURE_START
token, for example, has been deemed to be equivalent to the PICTURE_START images contained in the bit stream.
Furthermore, it must be borne in mind that the machine PICTURE_START by itself, is not a direct image of the PICTURE_START in the standard. Rather, it is a control token lo which is used in combination with other control tokens to provide standard-independent decoding which emulates the operation of the images in each of the compression coding standards. The combination of control tokens in combination with the reconfiguration of circuits, in accordance with the information carried by control tokens, is unique in and of itself, as well as in further combination with indices and/or flags generated by the token decode circuit portion of a respective state machine. A typical reconfigurable state - machine will be described subsequently.
Referring again to Table 600, there are shown the names of a group of standard images in the left column. In the right column there are shown the machine dependent control tokens used in the emulation of the standard encoded signal which is present or not used in the standard image.
With reference to Table 600, it can be seen that a machine sequence_start signal is generated by the Start Code Detector, as previously described, when it decodes any one of the standard signals indicated in Table 600. The Start Code Detector creates sequence_start, group_start, sequence_end, slice_start, user-data, extra-data and PICTURE_START tokens for application to the two-wire interface which is used throughout the system. Each of the stages which operate in conjunction with these control tokens are configured by the contents of the tokens, or are configured by indices created 214~23 by cont~nts of the tokens, and are prepared to handle data which is expected to be received when the picture DATA Token arrives at that station.
As previously described, one of the compression standards, such as H.261, does not have a sequence start image in its data stream, nor does it have a PICTURE END
image in its data stream. The Start Code Detector indicates the PICTURE END point in the incoming bit stream and creates a PICTURE END token. In this regard, the system of the present invention is intended to carry data words that are fully packed to contain a bit of information in each of the register positions selected for use in the practice of the present invention. To this end, 15 bits have been selected as the number of bits which are passed between two start codes. Of course, it will be appreciated by one of ordinary skill in the art, that a selection can be made to include either greater or fewer than 15 bits. In other words, all 15 bits of a data word being passed from the Start Code Detector into the DRAM interface are required for proper operation.
Accordingly, the Start Code Detector creates extra bits, called padding, which it inserts into the last word of a DATA
Token. For purposes of illustration 15 data bits has been selected.
To perform the Padding operation, in accordance with the present invention, binary 0 followed by a number of binary l's are automatically inserted to complete the 15 bit data word. This data is then passed through the coded data buffer and presented to the Huffman decoder, which removes the padding. Thus, an arbitrary number of bits can be passed through a buffer of fixed size and width.
In one embodiment, a slice_start control token is used to identify a slice of the picture. A slice_start control token is employed to segment the picture into smaller regions. The size of the region is chosen by the encoder, 21454~3 and t~e Start Code Detector identifies this unique pattern of the slice_start code in order for the machine-dependent state stages, located downstream from the Start Code Detector, to segment the picture being received into smaller regions. The size of the region is chosen by the encoder, recognized by the Start Code Detector and used by the recombination circuitry and control tokens to decompress the encoded picture. The slice_start codes are principally used for error recovery.
The start codes provide a unique method of starting up the decoder, and this will subsequently be described in further detail. There are a number of advantages in placing the start Code Detector before the coded data buffer, as opposed to placing the Start Code Detector after the coded data buffer and before the Huffman decoder and video demultiplexor. Locating the Start Code Detector before the first buffer allows it to l) assemble the tokens, 2) decode the standard control signals, such as start codes, 3) pad the bitstream before the data goes into the buffer, and 4) create the proper sequence of control tokens to empty the buffers, pushing the available data from the buffers into the Huffman Decoder.
Most of the control token output by the Start Code Detector directly reflect syntactic elements of the various picture and video coding standards. The Start Code Detector converts the syntactic elements into control tokens. In ~ ~ 5.`~
addition to these natural tokens, some unique and/or machine-dependent tokens are generated. The unique tokens include those tokens which have been specifically designed for use with the system of the present invention which are unique in and of themselves, and are employed for aiding in the multi-standard nature of the present invention. Examples of such unique tokens include PICTURE_END and CODING STANDARD.
Tokens are also introduced to remove some of the - 21~5423 synta~tic differences between the coding standards and to function in co-operation with the error conditions. The automatic token generation is done after the serial analysis of the standard-dependent data. Therefore, the Spatial Decoder responds equally to tokens that have been supplied directly to the input of the Spatial Decoder, i.e. the SCD, as well as to tokens that have been qenerated following the detection of the start-codes in the coded data. A sequence of extra tokens is inserted into the two- wire interface in order to control the multi-standard nature of the present invention.
The MPEG and H.261 coded video streams contain standard dependent, non-data, identifiable bit patterns, one of which is hereinafter called a start image and/or standard-dependent code. A similar function is served in JPEG, by marker codes.
These start/marker codes identify significant parts of the syntax of the coded datastream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data.
The start/marker code patterns are designed so that they can be identified without decoding the entire bit stream.
Thus, they can be used, in accordance with the present invention, to assist with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder. The error detection capability of the Start Code Detector will subsequently be discussed in further detail, as will the process of starting up of the decoder.
The aforementioned description has been concerned primarilty with the characteristics of the machine-dependent bit stream and its relationship with the addressing characteristics of the present invention. The following description is of the bit stream characteristics of the 214~23 stand~rd-dependent coded data with reference to the Start Code Detector.
Each of the standard compression encoding systems employs a unique start code configuration or image which has been selected to identify that particular compression specification. Each of the start codes also carries with it a start code value. The start code value is employed to identify within the language of the standard the type of operation that the start code is associated with. In the multi-standard decoder of the present invention, the compatibility is based upon the control token and DATA token configuration as previously described. Index signals, including flag signals, are circuit-generated within each state machine, and are described hereinafter as appropriate.
The start and/or marker codes contained in the standards, as well as other standard words as opposed to data words, are sometimes identified as images to avoid confusion with the use of code and/or machine-dependent codes to refer to the contents of control and/or DATA tokens used in the machine. Also, the term start code is often used as a generic term to refer to JPEG marker codes as well as MPEG
and H.261 start codes. Marker codes and start codes serve the same purpose. Also, the term "flush" is used both to refer to the FLUSH token, and as a verb, for example when referring to flushing the Start Code Detector shift registers (including the signal "flushed"). To avoid confusion, the FLUSH token is always written in upper case. All other uses of the term (verb or noun) are in lower case.
The standard-dependent coded input picture input stream comprises data and start images of varying lengths. The start images carry with them a value telling the user what operation is to be performed on the data which immediately follows according to the standard. However, in the multi-standard pipeline processing system of the present invention, - 2145~23 where compatibility is required for multiple standards, the system has been optimized for handling all functions in all standards. Accordingly, in many situation~, unique start control token~ must be created which are compatible not only with the values contained in the values of the encoded signal standard image, but which are also capable of controlling the various stages to emulate the operation of the standard as represented by specified parameters for each standard which are well known in the art. All such standards are incorporated by reference into this specification.
It is important to understand the relationship between tokens which, alone or ln combination with other control tokens, emulate the nondata information contained in the standard bit stream. A separate set of index signals, including flag signals, are generated by each state machine to handle some of the processing within that state machine.
Values carried in the standards can be used to access machine dependent control signals to emulate the handling of the standard data and non-data signals. For example, the slice_start token is a two word token, and it is then entered onto the two wire interface as previously described.
The data input to the system of the present invention may be a data source from any suitable data source such as disk, tape, etc., the data source providing 8 bit data to the first functional stage in the Spatial Decoder, the Start Code Detector 51 (Figure 11). The Start Code Detector includes three shift registers; the first shift register is 8 bits wide, the next is 24 bits wide, and the next is 15 bits wide.
Each of the registers is part of the two-wire interface. The data from the data source is loaded into the first register as a single 8 bit byte during one timing cycle. Thereafter, the contents of the first shift register is shifted one bit at a time into the decode (second) shift register. After 24 cycles, the 24 bit register is full.

- 214~23 Every 8 cycles, the 8 bit byte~ are loaded into the first shift register. Each byte i8 loaded into the value shift register 221 (Figure 20), and 8 additional cycles are used to empty it and load the shift regi~ter 231. Eight cycles are used to empty it, so after three of those operations or 24 cycles, there are still three bytes in the 24 bit register. The value decode shift register 230 is still empty.
Assuming that there is now a PIeTURE START word in the 24 bit shift register, the detect cycle recognizes the PICTURE_START code pattern and provides a start signal as its output. Once the detector has detected a start, the byte following it is the value associated with that start code, and this is currently sitting in the value register 221.
Since the contents of the detect shift register has been identified as a start code, its contents must be removed from the two wire interface to ensure that no further processing takes place using these 3 bytes. The decode register is emptied, and the value decode shift register 230 waits for the value to be shifted all the way over to such register.
The contents now of the low order bit positions of the value decode shift register contains a value associated with the PICTURE_START. The Spatial Decoder equivalent to the standard PICTURE_START signal is referred to as the SD
PICTURE_START signal. The SD PICTURE_START signal itself is going to now be contained in the token header, and the value is going to be contained in the extension word to the token header.

lo. TO~EN8 In the practice of the present invention, a token is a universal adaptation unit in the form of an interactive interfacing messenger package for control and/or data functions and is adapted for use with a reconfigurable ~_ 2145~23 processing stage (RPS) which is a stage, which in response to a recognized token, reconfigures itself to perform various operations.
Tokens may be either position dependent or position independent upon the processing stages for performance of various functions. Tokens may also be metamorphic in that they can be altered by a processing stage and then passed down the pipeline for performance of further functions.
Tokens may interact with all or less than all of the stages and in this regard may interact with adjacent and/or non-adjacent stages. Tokens may be position dependent for some functions and position independent for other functions, and the specific interaction with a stage may be conditioned by the previous processing history of a stage.
A PICTURE_END token is a way of signalling the end of a picture in a multi-standard decoder.
A multi-standard token is a way of mapping MPEG, JPEG
and H.261 data streams onto a single decoder using a mixture of standard dependent and standard independent hardware and control tokens.
A SEARCH MODE token is a technique for searching MPEG, JPEG and H.261 data streams which allows random access and enhanced error recovery.
. A STOP_AFTER PICTURE token is a method of achieving a 2~ clear end to decoding which signals the end of a picture and clears the decoder pipeline, i.e., channel change.
- Furthermore, padding a token is a way of passing an arbitrary number of bits through a fixed size, fixed width buffer.
The present invention is directed to a pipeline processing system which has a variable configuration which uses tokens and a two-wire system. The use of control tokens and DATA Tokens in combination with a two-wire system facilitates a multi-standard system capable of having ` 21~5~23 extende~- operating capabilities as compared with those systems which do not use control tokens.
The control tokens are generated by circuitry within the decoder processor and emulate the operation of a number of different type standard-dependent signals passing into the serial pipeline processor for handling. The technique used is to study all the parameters of the multi-standards that are selected for processing by the serial processor and noting 1) their similarities, 2) their dissimilarities, 3) their needs and requirements and 4) selecting the correct token function to effectively process all of the standard signals sent into the serial processor. The functions of the tokens are to emulate the standards. A control token function is used partially as an emulation/translation between the standard dependent signals and as an element to transmit control information through the pipeline processor.
In prior art system, a dedicated machine is designed according to well-known techniques to identify the standard and then set up dedicated circuitry by way of microprocessor interfaces. Signals from the microprocessor are used to control the flow of data through the dedicated downstream components. The selection, timing and organization of this decompression function is under the control of fixed logic circuitry as assisted by signals coming from the microprocessor.
In contrast, the system of the present invention configures the downstream functional stages under the control of the control tokens. An option is provided for obtaining needed and/or alternative control from the MPU.
The tokens provide and make a sensible format for communicating information through the decompression circuit pipeline processor. In the design selected hereinafter and used in the preferred embodiment, each word of a token is a minimum of 8 bits wide, and a single token can extend o-~er ~ 21~42~

one or more words. The width of the token is changeable and can be selected as any number of bits. An extension bit indicates whether a token is extended beyond the current word, i.e., if it is set to binary one in all words of a token, except the last word of a token. If the first word of a token has an extension bit of zero, this indicates that the token is only one word long.
Each token is identified by an address field that starts at bit 7 of the first word of the token. The address field is variable in length and can potentially extend over multiple words. In a preferred embodiment, the address is no longer than 8 bits long. However, this is not a limitation on the invention, but on the magnitude of the processing steps elected to be accomplished by use of these tokens. It is to be noted under the extension bit identification label that the extension bit in words 1 and 2 is a 1, signifying that additional words will be coming thereafter. The extension bit in word 3 is a zero, therefore indicating the end of that token.
The token is also capable of variable bit length. For example, there are 9 bits in the token word plus the extension bit for a total of 10 bits. In the design of the present invention, output buses are of variable width. The output from the Spatial Decoder is 9 bits wide, or 10 bits wide when the extension bit is included. In a preferred embodiment, the only token that takes advantage of these extra bits is the DATA token; all other tokens ignore this extra bit. It should be understood that this is not a limitation, but only an implementation.
Through the use of the DATA token and control token configuration, it is possible to vary the length of the data being carried by these DATA tokens in the sense of the number of bits in one word. For example, it has been discussed that data bits in word of a DATA Token can be combined with the -` 214~23 data bits in another word of the same DATA token to form an 11 bit or 10 bit address for use in accessing the random access memories used throughout this serial decompression processor. This provides an additional degree of variability that facilitates a broad range of versatility.
As previously described, the DATA token carries data from one processing stage to the next. Consequently, the characteristics of this token change as it passes through the decoder. For example, at the input to the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. Here, there is no limit to the length of each token. However, to illustrate the versatility of this aspect of the invention (at the output of the Spatial Decoder circuit), each DATA Token carries exactly 64 words and each word is 9 bits wide. More specifically, the standard encoding signal allows for different length messages to encode different intensities and details of pictures. The first picture of a group normally carries the longest number of data bits because it needs to provide the most information to the processing unit so that it can start the decompression with as much information as possible. Words which follow later are typically shorter in length because they contain the difference signals comparing the first word with reference to the second position on the scan information field.
The words are interspersed with each other, as required by the standard encoding system, so that variable amounts of data are provided into the input of the Spatial Decoder.
However, after the Spatial Decoder has functioned, the information is provided at its output at a picture format rate suitable for display on a screen. The output rate in terms of time of the spatial decoder may vary in order to interface with various display systems throughout the world, such as NTSC, PAL and SECAM. The video formatter converts this variable picture rate to a constant picture rate suitable for display. However, the picture data is still carried by DATA tokens consisting of 64 words.

11. DRAh INTERF~CE
A single high performance, configurable DRAM interface is used on each of the 3 decoder chips. In general, the DRAM
interface on each chip is substantially the same; however, the interfaces differ from one to another in how they handle channel priorities. This interface is designed to directly drive the external DRAMs used by the Spatial Decoder, the Temporal Decoder and the Video Formatter. Typically, no external logic, buffers or components will be required to connect the DRAM interface to the DRAMs in those systems.
In accordance with the present invention, the interface is configurable in two ways:
1. The detailed timing of the interface can be configured to accommodate a variety of different DRAM types.
2. The width of the data interface to the DRAM can be configured to provide a cost/performance trade off for different applications.
In general, the DRAM interface is a standard-independent block implemented on each of the three chips in the system.
Again, these are the Spatial Decoder, Temporal Decoder and video formatter. Referring again to Figures 11, 12 and 13, these figures show block diagrams that depict the relationship between the DRAM interface, and the remaining blocks of the Spatial Decoder, Temporal Decoder and video formatter, respectively. On each chip, the DRAM interface connects the chip to an external DRAM. External DRAM is used because, at present, it is not practical to fabricate on chip the relatively large amount of DRAM needed. Note: each chip has its own external DRAM and its own DRAM interface.

2145~2~

Furthermore, while the DRAM interface is compression standard-independent, it still must be configured to implement each of the multiple standards, H.261, JPEG and MPEG. How the DRAM interface is reconfigured for multi-standard operation will be subsequently further describedherein.
Accordingly, to understand the operation of the DRAM
interface requires an understanding of the relationship between the DRAM interface and the address generator, and how the two communicate using the two wire interface.
In general, as its name implies, the address generator generates the addresses the DRAM interface needs in order to address the DRAM (e.g., to read from or to write to a particular address in DRAM). With a two-wire interface, reading and writing only occurs when the DRAM interface has both data (from preceding stages in the pipeline), and a valid address (from address generator). The use of a separate address generator simplifies the construction of both the address generator and the DRAM interface, as discussed further below.
In the present invention, the DRAM interface can operate from a clock which is asynchronous to both the address generator and to the clocks of the stages through which data is passed. Special techniques have been used to handle this asynchronous nature of the operation.
Data is typically transferred between the DRAM interface and the rest of the chip in blocks of 64 bytes (the only exception being prediction data in the Temporal Decoder).
Transfers take place by means of a device known as a "swing buffer". This is essentially a pair of RAMs operated in a double-buffered configuration, with the DRAM interface filling or emptying one RAM while another part of the chip empties or fills the other RAM. A separate bus which carries an address from an address generator is associated with each 127 214 ~4 23 swing buffer.
In the present invention, each of the chips has four swing buffers, but the function of these swing buffers is different in each case. In the spatial decoder, one swing buffer is S used to transfer coded data to the DRAM, another to read coded data from the DRAM, the third to transfer tokenized data to the DRAM and the fourth to read tokenized data from the DRAM. In the Temporal Decoder, however, one swing buffer is used to write intra or predicted picture data to the DRAM, the second to read intra or predicted data from the DRAM and the other two are used to read forward and backward prediction data. In the video formatter, one swing buffer is used to transfer data to the DRAM and the other three are used to read data from the DRAM, one for each of luminance (Y) and the red and blue color difference data (Cr and Cb, respectively).
The following section describes the operation of a hypothetical DRAM interface which has one write swing buffer and one read swing buffer. Essentially, this is the same as the operation of the Spatial Decoder's DRAM interface. The operation is illustrated in Figure 23.
Figure 23 illustrates that the control interfaces between the address generator 301, the DRAM interface 302, and the remaining stages of the chip which pass data are all two wire interfaces. The address generator 301 may either generate addresses as the result of receiving control tokens, or it may merely generate a fixed sequence of addresses (e.g., for the FIF0 buffers of the Spatial Decoder). The DRAM interface treats the two wire interfaces associated with the address generator 301 in a special way. Instead of keeping the accept line high when it is ready to receive an address, it waits for the address generator to supply a valid address, processes that address and then sets the accept line high for one clock period. Thus, it implements a 21454~3 reguest/acknowledge (REQ/ACX) protocol.
A unique feature of the DRAM interface 302 is its ability to communicate independently with the address generator 301 and with the stages that provide or accept the data. For example, the address generator may generate an address as~ociated with the data in the write swing buffer (Figure 24), but no action will be taken until the write swing buffer signals that there is a block of data ready to be written to the external DRAM. Similarly, the write swing buffer may contain a block of data which is ready to be written to the external DRAM, but no action is taken until an address is supplied on the appropriate bus from the address generator 301. Further, once one of the RAMs in the write swing buffer has been filled with data, the other may be completely filled and "swung" to the DRAM interface side before the data input is stalled (the two-wire interface accept signal set low).
In understanding the operation of the DRAM interface 302 of the present invention, it is important to note that in a properly configured system, the DRAM interface will be able to transfer data between the swing buffers and the external DRAM 303 at least as fast as the sum of all the average data rates between the swing buffers and the rest of the chip.
Each DRAM interface 302 determines which swing buffer it will service next. In general, this will either be a "round robin" (i.e., the next serviced swing buffer is the next available swing buffer which has least recently had a turn), or a priority encoder, (i.e., in which some swing buffers have a higher priority than others). In both cases, an additional request will come from a refresh request generator which has a higher priority than all the other requests. The refresh request is generated from a refresh counter which can be programmed via the microprocessor interface.
Referring now to Figure 24, there is shown a block diagram of a write swing buffer. The write swing buffer interface includes two blocks of RAM, RAMl 311 and RAM2 312.
As discussed further herein, data is written into RAMl 311 and RAM2 312 from the previous stage, under the control of the write address 313 and control 314. From RAMl 311 and RAM2 312, the data is written into DRAM 515. When writing data into DRAM 315, the DRAM row address is provided by the address generator, and the column address is provided by the write address and control, as described further herein. In operation, valid data is presented at the input 316 (data in). Typically, the data is received from the previous stage. As each piece of data is accepted by the DRAM
interface, it is written into RAM1 311 and the write address control increments the RAM1 address to allow the next piece of data to be written into RAM1. Data continues to be written into RAM1 311 until either there is no more data, or RAMl is full. When RAM1 311 is full, the input side gives up control and sends a signal to the read side to indicate that RAMl is now ready to be read. This signal passes between two asynchronous clock regimes and, therefore, passes through three synchronizing flip flops.
Provided RAM2 312 is empty, the next item of data to arrive on the input side is written into RAM2. Otherwise, this occurs when RAM2 312 has emptied. When the round robin or priority encoder (depending on which is used by the particular chip) indicates that it is now the turn of this swing buffer to be read, the DRAM interface reads the contents of RAM1 311 and writes them to the external DRAM
315. A signal is then sent back across the asynchronous interface, to indicate that RAMl 311 is now ready to be filled again.
If the DRAM interface empties RAM1 311 and "swings" it before the input side has filled RAM2 312 , then data can be --` 214~423 accep~ed by the swing buffer sontinually. Otherwise, when RAM2 is filled, the swing buffer will set its accept single low until RAM1 has been "swung" back for use by the input side.
The operation of a read swing buffer, in accordance with the present invention, is similar, but with the input and output data busses reversed.
The DRAM interface of the present invention is designed to maximize the available memory bandwidth. Each 8x8 block of data is stored in the same DRAM page. In this way, full use can be made of DRAM fast page access modes, where one row address is supplied followed by many column addresses. In particular, row addresses are supplied by the address generator, while column addresses are supplied by the DRAM
interface, as discussed further below.
In addition, the facility is provided to allow the data bus to the external DRAM to be 8, 16 or 32 bits wide.
Accordingly, the amount of DRAM used can be matched to the size and bandwidth requirements of the particular application.
In this example (which is exactly how the DRAM interface on the Spatial Decoder works) the address generator provides the DRAM interface with block addresses for each of the read and write swing buffers. This address is used as the row address for the DRAM. The six bits of column address are supplied by the DRAM interface itself, and these bits are also used as the address for the swing buffer RAM. The data bus to the swing buffers is 32 bits wide. Hence, if the bus width to the external DRAM is less than 32 bits, two or four external DRAM accesses must be made before the next word is read from a write swing buffer or the nèxt word is written to a read swing buffer (read and write refer to the direction of transfer relative to the external DRAM).
The situation is more complex in the case of the -131 21~5~2~

Temporal D~coA~r and the Video Formatter. The Temporal Deco~r's addressing i~ more complex because of its predictive aspects as discussed further in this section. The video formatter's addressing is more complex because of multiple video output standard aspects, as discussed further in the sections relating to the video formatter.
As mentioned previously, the Temporal Decoder has four swing buffers: two are used to read and write decoded intra and predicted (I and P) picture data. These operate as described above. The other two are used to receive prediction data. These buffers are more interesting.
In general, prediction data will be offset from the position of the block being processed as specified in the motion vectors in x and y. Thus, the block of data to be retrieved will not generally correspond to the block boundaries of the data as it was encoded (and written into the DRAM). This is illustrated in Figure 25, where the shaded area represents the block that is being formed whereas the dotted outline represents the block from which it is being predicted. The address generator converts the address specified by the motion vectors to a block offset (a whole number of blocks), as shown by the big arrow, and a pixel offset, as shown by the little arrow.
In the address generator, the frame pointer, base block 2S address and vector offset are added to form the address of the block to be retrieved from the DRAM. If the pixel offset is zero, only one request is generated. If there is an offset in either the x or y dimension then two requests are generated, i.e., the original block address and the one immediately below. With an offset in both x and y, four requests are generated. For each block which is to be retrieved, the address generator calculates start and stop addresses which is best illustrated by an example.
Consider a pixel offset of (1,1), as illustrated by the - 21454Z~

shaded area in Figure 26. The address generator makes four requests, labelled A through D in the Figure. The problem to be solved is how to provide the required sequence of row addresses guickly. The solution is to use "start/stop"
technology, and this is described below.
Consider block A in Figure 26. Reading must start at position (1,1) and end at position (7,73. Assume for the moment that one byte is being read at a time (i.e., an 8 bit DRAM interface). The x value in the co-ordinate pair forms the three LSBs of the address, the y value the three MSB.
The x and y start values are both 1, providing the address, 9. Data is read from this address and the x value is incremented. The process is repeated until the x value reaches its stop value, at which point, the y value is incremented by 1 and the x start value is reloaded, giving an address of 17. As each byte of data is read, the x value is again incremented until it reaches its stop value. The process is repeated until both x and y values have reached their stop values. Thus, the address sequence of 9, 10, 11, 12, 13, 14, lS, 17... , 23, 25, ... ,31, 33,... ,... ,57,... ,63 is generated.
In a similar manner, the start and stop co-ordinates for block B are: (1,0) and (7,0), for block C: (0,1) and (0,7), and for block D: (0,0) and (0,0).
The next issue is where this data should be written.
Clearly, looking at block A, the data read from address 9 should be written to address 0 in the swing buffer, while the data from address 10 should be written to address 1 in the swing buffer, and so on. Similarly, the data read from address 8 in block B should be written to address 15 in the swing buffer and the data from address 16 should be written to address 15 in the swing buffer. This function turns out to have a very simple implementation, as outlined below.
Consider block A. At the start of reading, the swing buffer addreQs register iQ loaded with the inverse of the stop value. The y inverse stop value forms the 3 MSBs and the x inverse stop value forms the 3 LSB. In this case, while the DRAM interface is reading address 9 in the external DRAM, the swing buffer address is zero. The swing buffer address register is then incremented as the external DRAM
address register is incremented, as consistent with proper prediction addressing.
The discu~sion so far has centered on an 8 bit DRAM
interface. In the case of a 16 or 32 bit interface, a few minor modifications must be made. First, the pixel offset vector must be "clipped" so that it points to a 16 or 32 bit boundary. In the example we have been using, for block A, the first DRAM read will point to address 0, and data in addresses 0 through 3 will be read. Second, the unwanted data must be discarded. This is performed by writing all the data into the swing buffer (which must now be physically larger than was necessary in the 8 bit case) and reading with an offset. When performing MPEG half-pel interpolation, 9 bytes in x and/or y must be read from the DRAM interface. In this case, the address generator provides the appropriate start and stop addresses. Some additional logic in the DRAM
interface is used, but there is no fundamental change in the way the DRAM interface operates.
The final point to note about the Temporal Decoder DRAM
interface of the present invention, is that additional information must be provided to the prediction filters to indicate what processing is required on the data. This consists of the following:
a "last byte" signal indicating the last byte of a transfer (of 64,72 or 81 bytes);
an H.261 flag;
a bidirectional prediction flag;
two bits to indicate the block~s dimensions (8 or 9 bytes in x and y); and a two bit number to indicate the order of the blocks.
The last byte flag can be generated as the data is read out of the swing buffer. The other signals are derived from the address generator and are piped through the DRAM
interface so that they are associated with the correct block of data as it is read out of the swing buffer by the prediction filter block.
In the Video Formatter, data is written into the external DRAM in blocks, but is read out in raster order.
Writing is exactly the same as already described for the Spatial Decoder, but reading is a little more complex.
The data in the Video Formatter, external DRAM is organized so that at least 8 blocks of data fit into a single page. These 8 blocks are 8 consecutive horizontal blocks.
When rasterizing, 8 bytes need to be read out of each of 8 consecutive blocks and written into the swing buffer (i.e., the same row in each of the 8 blocks).
Considering the top row (and assuming a byte-wide interface), the x address (the three LSBS) is set to zero, as is the y address (3 MSBS). The x address is then incremented as each of the first 8 bytes are read out. At this point, the top part of the address (bit 6 and above - LSB = bit 0) is incremented and the x address (3 LSBS) is reset to zero.
This process is repeated until 64 bytes have been read. With a 16 or 32 bit wide interface to the external DRAM the x address is merely incremented by two or four, respectively, instead of by one.
In the present invention, the address generator can signaI to the DRAM interface that less than 64 bytes should be read tthis may be required at the beginning or end of a raster line), although a multiple of 8 bytes is always read.
This is achieved by using start and stop values. The start value is used for the top part of the address (bit 6 and 214~423 above), and the stop value i8 compared with the start value to generate the signal which indicates when reading should stop.
The DRAM interface timing block in the present invention S uses timing chains to place the edges of the DRAM signals to a precision of a quarter of the system clock period. Two quadrature clocks from the phase locked loop are used. These are combined to form a notional 2x clock. Any one chain is then made from two shift registers in parallel, on opposite phases of the 2x clock.
First of all, there is one chain for the page start cycle and another for the read/write/refresh cycles. The length of each cycle is programmable via the microprocessor interface, after which the page start chain has a fixed length, and the cycle chain's length changes as appropriate during a page start.
On reset, the chains are cleared and a pulse is created.
The pulse travels along the chains and is directed by the state information from the DRAM interface. The pulse generates the DRAM interface clock. Each DRAM interface clock period corresponds to one cycle of the DRAM, consequently, as the DRAM cycles have different lengths, the DRAM interface clock is not at a constant rate.
Moreover, additional timing chains combine the pulse from the above chains with the information from the DRAM
interface to generate the output strobes and enables such as notcas, notras, notwe, notbe.

12. PREDICTION FILTER8 Referring again to Figures 12, 17, 18, and more particularly to Figure 12, there is shown a block diagram of the Temporal Decoder. This includes the prediction filter.
The relationship between the prediction filter and the rest of the elements of the temporal decoder is shown in greater 214~423 detail in Figure 17. The essence of the structure of the prediction filter is shown in Figures 18 and 28. A detailed description of the operation of the prediction filter can be found in the section, "More Detailed Description of the Invention. n In general, the prediction filter in accordance with the present invention, is used in the MPEG and H.261 modes, but not in the JPEG mode. Recall that in the JPEG mode, the Temporal Decoder just passes the data through to the Video Formatter, without performing any substantive decoding beyond that accomplished by the Spatial Decoder. Referring again to Figure 18, in the MPEG mode the forward and backward prediction filters are identical and they filter the respective MPEG forward and backward prediction blocks. In the H.261 mode, however, only the forward prediction filter is used, since H.261 does not use backward prediction.
Each of the two prediction filters of the present invention is substantially the same. Referring again to Figures 18 and 28 and more particularly to Figure 28, there is shown a block diagram of the structure of a prediction filter. Each prediction filter consists of four stages in series. Data enters the format stage 331 and is placed in a format that can be readily filtered. In the next stage 332 an I-D prediction is performed on the X-coordinate. After the necessary transposition is performed by a dimension buffer stage 333, an I-D prediction is performed on the Y-coordinate in stage 334. How the stage perform the filtering is further described in greater detail subsequently. Which filtering operations are required, are defined by the compression standard. In the case of H.261, the actual filtering performed is similar to that of a low pass filter.
Referring again to Figure 17, multi-standard operation requires that the prediction filters be reconfigurable to perform either MPEG or H.261 filtering, or _ 137 to perform no filtering at all in JPEG mode. Ac with many other reconfigurable aspects of the three chip system, the prediction filter is reconfigured by means of tokens. Tokens are also used to inform the address generator of the particular mode of operation. In this way, the address generator can supply the prediction filter with the addresses of the needed data, which varies significantly between MPEG
and JPEG.

13. ~C~~IN~ RFGI8TFR8 Most registers in the microprocessor interface (MPI) can only be modified if the stage with which they are associated is stopped. Accordingly, groups of registers will typically be associated with an access register. The value zero in an access register indicates that the group of registers associated with that particular access register should not be modified. Writing 1 to an access register requests that a stage be stopped. The stage may not stop immediately, however, so the stages access register will hold the value, zero, until it is stopped.
Any user software associated with the MPI and used to perform functions by way of the MPI should wait "after writing a l to a request access register" until l is read from the access register. If a user writes a value to a configuration register while its access register is set to zero, the results are undefined.

14. MICRO-PROCE880R INTERFACE
A standard byte wide micro-processor interface (MPI) is used on all circuits with in the Spatial Decoder and Temporal Decoder. The MPI operates asynchronously with various Spatial and Temporal Decoder clocks. Referring to Table A.6.1 of the subsequent further detailed description, there is shown the various MPI signals that are used on this interface. The character of the signal is shown on the input/output column, the 6ignal name i8 shown on the signal name column and a description of the function of the signal is shown in the description column. The MPI
electrical specification are shown with reference to Table A.6.2. All the specifications are classified according to type and there types are shown in the column entitled symbol. The description of what these symbols represent is shown in the parameter column. The actual specifications are shown in the respective columns min, max and units.
The DC operating conditions can be seen with reference to Table A.6.3. Here the column headings are the same as with reference to Table A.6.2. The DC electrical characteristics are shown with reference to Table A.6.4 and carry the same column headings as depicted in Tables A.6.2 and A.6.3.

15. MPI R~AD TIMING
The AC characteristics of the MPI read timing diagrams are shown with reference to Figure 54. Each line of the Figure is labelled with a corresponding signal name and the timing is given in nano-seconds. The full microprocessor interface read timing characteristics are shown with reference to Table A.6.5. The column entitled Number is used to indicate the signal corresponding to the name of that signal as set forth in the characteristic column. The columns identified by MIN and MAX provide the minimum length of time that the signal is present the maximum amount of time that this signal is available. The Units column gives the units of measurement used to describe the signals.

16. MPI ~RITF TIMING
The general description of the MPI write timing diagrams 21~54~3 are ~hown with reference to Figure 54. This Figure shows each individual signal name a~ associated with the MPI
write timing. The name, the characteristic of the signal, and other various physical characteristics are shown with reference to Table 6.6.

17. ~LOLY ~n~rrp~ LOCATION8 In the present invention, certain less frequently accessed memory map location~ have been placed behind keyhole registers. A keyhole register has two rogisters associated with it. The first register i~ a keyhole address register and the second register is a keyhole data register. The keyhole address specifies a location within a extended address space. A read or a write operation to a keyhole data register accesses the locations specified by the keyhole address register. After accessing a keyhole data register, the associated keyhole address register increments. Random access within the extended address space is only possible by writing in a new value to the keyhole addre-ss register for each access. A circuit within the present invention may have more than one keyhole memory maps. Nonetheless, there is no interaction between the different keyholes.

18. PICTURE-END
Referring again to Figure 11, there is shown a general block diagram of the Spatial Decoder used in the present invention. It is through the use of this block diagram that the function of PICTURE END will be described.
The PICTURE END function has the multi-standard advantage of being able to handle H.261 encoded picture information, MPEG and JPEG signals.
As previously described, the system of Figure 11 is interconnected by the two wire interface previously de~cribed. Each of the functional blocks is arranged to operate accordinq to the state machine configuration shown with reference to Figure 10.
In general, the PI~-T~RE_END function in accordance with the invention begins at the Start Code Detector which generates a PICTURE_END control token. The PICTURE_END
control token is passed unaltered through the start-up control circuit to the DRAM interface. Here it is used to flush out the write swing buffers in the DRAM interface.
Recall, that the contents of a swing buffer are only written to RAM when the buffer is full. However, a picture may end at a point where the buffer is not full, therefore, causing the picture data to become stuck. The PICTURE_END
token forces the data out of the swing buffer.
Since the present invention is a multi-standard machine, the machine operates differently for each compression standard. More particularly, the machine is fully described as operating pursuant to machine-dependent action cycles. For each compression standard, a certain number of the total available action cycles can be selected by a combination of control tokens and/or output signals from the MPU or they can be selected by the design of the control tokens themselves. In this regard, the present invention is organized so as to delay the information from going into subsequent blocks until all of the information has been collected in an upstream block. The system waits until the data has been prepared for passing to the next stage. In this way, the PICTURE_END signal is applied to the coded data buffer, and the control portion of the PICTURE_END signal causes the contents of the data buffers to be read and applied to the Huffman decoder and video demultiplexor circuit.
Another advantage of the PICTURE_END control token is to identify, for the use by the Huffman decoder demultiplexor, the end of picture even though it has not had the typically expected full range and/or number of signals applied to the Huffman decoder and video demultiplexor circuit. In this situation, the information held in the coded data buffer is applied to the Huffman decoder and video demultiplexor as a total picture. In this way, the state machine of the Huffman decoder and video demultiplexor can still handle the data according to system design.
Another advantage of the PICTURE_END control token is its ability to completely empty the coded data buffer so that no stray information will inadvertently remain in the off chip DRAM or in the swin~ buffers.
Yet another advantage of the PICTURE_END function is its use in error recovery. For example, assume the amount of data being held in the coded data buffer is less than is typically used for describing the spatial information with reference to a single picture. Accordingly, the last picture will be held in the data buffer until a full swing buffer, but, by definition, the buffer will never fill. At some point, the machine will determine that an error condition exits. Hence, to the extent that a PICTURE_END
token is decoded and forces the data in the coded data buffers to be applied to the Huffman decoder and video 2~ demultiplexor, the final picture can be decoded and the information emptied from the buffers. Consequently, the machine will not go into error recovery mode and will successfully continue to process the coded data.
A still further advantage of the use of a PICTURE END
,o token is that the serial pipeline processor will continue the processing of uninterrupted data. Through the use of a PICTURE END token, the serial pipeline processor is configured to handle less than the expected amount of data and, therefore, continues processing. Typically, a prior - 214~423 art machine would stop it~elf because of an error condition. As previously described, the coded data buffer counts macroblocks as they come into its storage area. In addition, the Huffman Decoder and Video Demultiplexor generally know the amount of information expected for decoding each picture, i.e., the state machine portion of the Huffman decode and Video Demultiplexor know the number of blocks that it will process during each picture recovery cycle. When the correct number of blocks do not arrive from the coded data buffer, typically an error recovery routine would result. However, with the PICTURE_END
control token having reconfigured the Huffman Decoder and Video Demultiplexor, it can continue to function because the reconfiguration tells the Huffman Decoder and Video Demultiplexor that it is, indeed, handling the proper amount of information.
Referring again to Figure 10, the Token Decoder portion of the Buffer Manager detects the PICTURE_END
control token generated by the Start Code Detector. Under normal operations, the buffer registers fill up and are emptied, as previously described with reference to the normal operation of the swing buffers. Again, a swing buffer which is partially full of data will not empty until it is totally filled and/or it knows that it is time to empty. The PICTURE END control token is decoded in the Token Decoder portion of the Buffer Manager, and it forces the partially full swing buffer to empty itself into the coded data buffer. This is ultimately passed to the Huffman Decoder and Video Demultiplexor either directly or through the DRAM interface.

19. FLUSHING OPERATION
Another advantage of the PICTURE_END control token is its function in connection with a FLUSH token. The FLUSH

214512~

token is not a6sociated with either controlling the reconfiguration of the state machine or in providing data for the system. Rather, it completes prior partial signals for handling by the machine-dependent state machines. Each of the state machines recognizes a FLUSH control token as information not to be processed. Accordingly, the FLUSH
token is used to fill up all of the remaining empty parts of the coded data buffers and to allow a full set of information to be sent to the Huffman Decoder and Video Demultiplexor. In this way, the FLUSH token is like padding for buffers.
The Token Decoder in the Huffman circuit recognizes the FLUSH token and ignores the pseudo data that the FLUSH
token has forced into it. The Huffman Decoder then operates only on the data contents of the last picture buffer as it existed prior to the arrival of the PICTURE END token and FLUSH token. A further advantage of the use of the PICTURE END token alone or in combination with a FLUSH
token is the reconfiguration and/or reorganization of the Huffman Decoder circuit. With the arrival of the PICTURE END token, the Huffman Decoder circuit knows that it will have less information than normally expected to decode the last picture. The Huffman decode circuit finishes processing the information contained in the last picture, and outputs this information through the DRAM
interface into the Inverse Modeller. Upon the identification of the last picture, the Huffman Decoder goes into its cleanup mode and readjusts for the arrival of the next picture information.
20. FLUSH FUNCTION
The FLUSH token, in accordance with the present invention, is used to pass through the entire pipeline processor and to ensure that the buffers are emptied and that other circuits are reconfigured to await the arrival 2I~5~23 of new data. More specifically, t~e precent invention comprises a combination of a PICTURE END token, a padding word and a FLUSH token indicating to the serial pipeline processor that the picture processing for the current picture for~ is completed. Thereafter, the various state machines need reconfiguring to await the arrival of new data for new handling. Note also that the FLUSH Token acts as a special reset for the system. The FLUSH token resets each stage as it passes through, but-allows subsequent stages to continue processing. This prevents a loss of data. In other words, the FLUSH token is a variable reset, as opposed to, an absolute reset.

21. 8TOP-AFTER PICTURE
The STOP AFTER PICTURE function is employed to shut down the processing of the serial pipeline decompressing circuit at a logical point in its operation. At this point, a PICTURE END token is generated indicating that data is finished coming in from the data input line, and the padding operation has been completed. The padding function fills partially empty DATA tokens. A FLUSH token is then generated which passes through the serial pipeline system and pushes all the information out of the registers and forces the registers back into their neutral stand-by condition. The STOP AFTER PICTURE event is then generated and no more input is accepted until either the user or the system clears this state. In other words, while a PICTURE END token signals the end of a picture, the STOP AFTER_PICTURE operation signals the end of all current processing.

3 0 2 2 . M~J~T~ -8TANDA~D - 8E:ARCH MODE
Another feature of the present invention is the use of a SEARCH_MODE control token which is used to reconfigure 2145~23 the input to the serial pipeline proce~sQr to look at the incoming bit stream. When the search mode is set, the Start Code Detector searches only for a specific start code or marker used in any one of the compression standards. It will be appreciated, however, that, other images from other data bitstreams can be used for this purpose. Accordingly, these images can be used throughout this present invention to change it to another embodiment which is capable of using the combination of control tokens, and DATA tokens along with the reconfiguration circuits, to provide similar processing.
The use of search mode in the present invention is convenient in many situations including 1) if a break in the data bit stream occurs; 2) when the user breaks the data bit stream by purposely changing channels, e.g., data arriving, by a cable carrying compressed digital video, or 3) by user activation of fast forward or reverse from a controllable data source such as an optical disc or video disc. In general, a search mode is convenient when the user interrupts the normal processing of the serial pipeline at a point where the machine does not expect such an interruption.
When any of the search modes are set, the Start Code Detector looks for incoming start images which are suitable for creating the machine independent tokens. All data coming into the Start Code Detector prior to the identification of standard-dependent start images is discarded as meaningless and the machine stands in an idling condition as it waits this information.
The Start Code Detector can assume any one of a number of configurations. For example, one of these configurations allows a search for a group of pictures or higher start codes. This pattern causes the Start Code Detector to discard all its input and look for the group start standard image. When such an image is identified, the Start Code Detector generates a GROUP_START
token and the search mode is reset automatically.
It is important to note that a single circuit, the Huffman Decoder and Video Demultiplex circuit, is operating with a combination of input signals including the standard-independent set-up signals, as well as, the CODING_STANDARD
signals. The CODING_STANDARD signals are conveying information directly from the incoming bit stream as required by the Huffman Decoder and Video Demultiplex circuit. Nevertheless, while the functioning of the Huffman Decoder and Video Demultiplex circuit is under the operation of the standard independent sequence of signals.
This mode of operation has been selected because it is the most efficient and could have been designed wherein special control tokens are employed for conveying the standard-dependent input to the Huffman Decoder and Video Demultiplexer instead of conveying the actual signals themselves.

23. INVER8E MODELLER
Inverse modeling is a feature of all three standards, and is the same for all three standards. In general, DATA
tokens in the token buffer contain information about the values of the quantized coefficients, and about the number of zeros between the coefficients that are represented (a form of run length coding). The Inverse Modeller of the present invention has been adapted for use with tokens and simply expands the information about runs of zeros so that each DATA Token contains the requisite 64 values.
Thereafter, the values in the DATA Tokens are quantized coefficients which can be used by the Inverse Quantizer.

24. INVER8E Q~ANTIZER

- 2145~23 The Inverse Quantizer of the present invention is a required element in the decoding sequence, but has been implemented in such away to allow the entire IC set to handle multi-standard data. In addition, the Inverse Quantizer has been adapted for use with tokens. The Inverse Quantizer lies between the Inverse modeller and inverse DCT (IDCT).
For example, in the present invention, an adder in the Inverse Quantizer is used to add a constant to the pel decode number before the data moves on to the IDCT.
The IDCT uses the pel decode number, which will vary according to each standard used to encode the information.
In order for the information to be properly decoded, a value of 1024 is added to the decode number by the Inverse Quantizer before the data continues on to the IDCT.
Using adders, already present in the Inverse Quantizer, to standardize the data prior to it reaching the IDCT, eliminates the need for additional circuitry or software in the IC, for handling data compressed by the various standards. Other operations allowing for multi-standard operation are performed during a "post quantization function" and are discussed below.
The control tokens accompanying the data are decoded and the various standardization routines that need to be performed by the Inverse Quantizer are identified in detail below. These "post quantization" functions are all implemented to avoid duplicate circuitry and to allow the IC to handle multi-standard encoded data.

2 5 . ~ur r llAN DECODER AND PAR8ER
Referring again to Figures 11 and 27, the Spatial Decoder includes a Huffman Decoder for decoding the data that the various compression standards have Huffman-encoded. While each of the standards, JPEG, MPEG and H.261, require certain data to be Huffman encoded, the Huffman decoding required by each ~tandard differs in some significant ways. In the Spatial Decoder of the present invention, rather than design and fabricate three separate Huffman decoder~, one for each standard, the present invention saves valuable die space by identifying common aspects of each Huffman Decoder, and fabricating these common aspects only once. Moreover, a clever multi-part algorithm is used that makes common more aspects of each Huffman Decoder common to the other standards as well than would otherwise be the case.
In brief, the Huffman Decoder 321 works in conjunction with the other units shown in Figure 27. These other units are the Parser State Machine 322, the inshifter 323, the Index to Data unit 324, the ALU 325, and the Token Formatter 326. As described previously, connection between these blocks is governed by a two wire interface. A more detailed description of how these units function is subsequently described herein in greater detail, the focus here is on particular aspects of the Huffman Decoder, in accordance with the present invention, that support multi-standard operation.
The Parser State Machine of the present invention, is a programmable state machine that acts to coordinate the operation of the other blocks of the Video Parser. In response to data, the Parser State Machine controls the other system blocks by generating a control word which is passed to the other blocks, side by side with the data, upon which this control word acts. Passing the control word alongside the associated data is not only useful, it is essential, since these blocks are connected via a two-wire interface. In this way, both data and control arrive at the same time. The passing of the control word is indicated in Figure 27 by a control line 327 that runs beneath the data line 328 that connects the blocks. Among other things, this code word identifies the particular standard that is being decoded.
The Huffman decoder 321 also performs certain control functions. In particular, the Huffman Decoder 321 contains a state machine that can control certain functions of the Index to Data 324 and ALU 325. Control of these units by the Huffman Decoder is necessary for proper decoding of block-level information. Having the-Parser State Machine 322 make these decisions would take too much time.
An important aspect of the Huffman Decoder of the present invention, is the ability to invert the coded data bits as they are read into the Huffman Decoder. This is needed to decode H.261 style Huffman codes, since the particular type of Huffman code used by H.261 (and substantially by MPEG) has the opposite polarity then the codes used by JPEG. The use of an inverter, thereby, allows substantially the same table to be used by the Huffman Decoder for all three standards. Other aspects of how the Huffman Decoder implements all three standards are discussed in further detail in the "More Detailed Description of the Invention" section.
The Index to Data unit 324 performs the second part of the multi-part algorithm. This unit contains a look up table that provides the actual Huffman decoded data.
Entries in the table are organized based on the index numbers generated by the Huffman Decoder.
The ALU 325 implements the remaining parts of the multi-part algorithm. In particular, the ALU handles sign-extension. The ALU also includes a register file whichholds vector predictions and DC predictions, the use of which is described in the sections related to prediction filters. The ALU, further, includes counters that count through the structure of the picture being decoded by the - 214S~123 Spatial De~ r. In particular, the dimensions of the picture are programmed into registers associated with the counters, which facilitates detection of "start of picture,~ and start of macroblock codes.
In accordance with the present invention, the Token Formatter 326 (TF) assembles decoded data into DATA tokens that are then passed onto the remaining stages or blocks in the Spatial Decoder.
In the present invention, the in shifter 323 receives data from a FIFO that buffers the data passing through the Start Code Detector. The data received by the inshifter is generally of two types: DATA tokens, and start codes which the Start Code Detector has replaced with their respective tokens, as discussed further in the token section. Note that most of the data will be DATA tokens that require decoding.
The ln shifter 323 serially passes data to the Huffman Decoder 321. On the other hand, it passes control tokens in parallel. In the Huffman decoder, the Huffman encoded data is decoded in accordance with the first part of the multi-part algorithm. In particular, the particular Huffman code is identified, and then replaced with an index number.
The Huffman Decoder 321 also identifies certain data that requires special handling by the other blocks shown in Figure 27. This data includes end of block and escape. In the present invention, time is saved by detecting these in the Huffman Decoder 321, rather than in the Index to Data unit 324.
This index number is then passed to the Index to Data unit 324. In essence, the Index to Data unit is a look-up table. In accordance with one aspect of the algorithm, the look-up table is little more than the Huffman code table specified by JPEG. Generally, it is in the condensed data 214542~

format that JPEG specifies for transferring an alternate JPEG table.
From the Index to Data unit 324, the decoded index number or other data is pAS e~ ~ together with the accompanying control word, to the ALU 325, which performs the operations previously described.
From the ALU 325, the data and control word is passed to the Token Formatter 326 (TF). In the Token Formatter, the data is combined as needed with the control word to form tokens. The tokens are then conveyed to the next stages of the Spatial Decoder. Note that at this point, there are as many tokens as will be used by the system.

26. INVER8E DI8C~TE CO8INE TRAN8FORM
The Inverse Discrete Cosine Transform (IDCT), in accordance with the present invention, decompresses data related to the frequency of the DC component of the picture. When a particular picture is being compressed, the frequency of the light in the picture is quantized, reducing the overall amount of information needed to be stored. The IDCT takes this quantized data and decompresses it back into frequency information.
The IDCT operates on a portion of the picture which is 8x8 pixels in size. The math which performed on this data is largely governed by the particular standard used to encode the data. However, in the present invention, significant use is made of common mathematical functions between the standards to avoid unnecessary duplication of circuitry.
Using a particular scaling order, the symmetry between the upper and lower portions of the algorithms is increased, thus common mathematical functions can be reused which eliminates the need for additional circuitry.

21~5~23 Th~ IDCT responds to a number of multi-standard tokens.
The first portion of the IDCT checks the entering data to ensure that the DATA tokens are of the correct size for processing. In fact, the token stream can be corrected in some situations if the error is not too large.

27. BUFFER MANAGER
The Buffer Manager of the present invention, receives incoming video information and supplies the address generators with information on the timing of the datas arrival, display and frame rate. Multiple buffers are used to allow changes in both the presentation and display rates. Presentation and display rates will typically vary in accordance with the data that was encoded and the monitor on which the information is being displayed. Data arrival rates will generally vary according to errors in encoding, decoding or the source material used to create the data. When information arrives at the Buffer Manager, it is decompressed. However, the data is in an order that is useful for the decompression circuits, but not for the particular display unit being used. When a block of data enters the Buffer Manager, the Buffer Manager supplies information to the address generator so that the block of data can be placed in the order that the display device can use. In doing this, the Buffer Manager takes into account the frame rate conversion necessary to adjust the incoming data blocks so they are presentable on the particular display device being used.
In the present invention, the Buffer Mnager primarily supplies information to the address generators.
Nevertheless, it is also required to interface with other elements of the system. For example, there is an interface with an input FIFO which transfers tokens to the Buffer Manager which, in turn, passes these tokens on to the wri~e address generators.
The Buffer Manager also interfaces with the display address generators, receiving information on whether the display device is ready to display new data. The Buffer Manager also confirms that the display address generators have cleared information from a buffer for display.
The Buffer Manager of the present invention keeps track of whether a particular buffer is empty, full, ready for use or in use. It also keeps track of the presentation number associated with the particular data in each buffer.
In this way, the Buffer Manager determines the states of the buffers, in part, by making only one buffer at a time ready for display. Once a buffer is displayed, the buffer is in a "vacant" state. When the Buffer Manager receives a PICTURE_START, FLUSH, valid or access token, it determines the status of each buffer and its readiness to accept new data. For example, the PICTURE_START token causes the Buffer Manager to cycle through each buffer to find one which is capable of accepting the new data.
The Buffer Manager can also be configured to handle the multi-standard requirements dictated by the tokens it receives. For example, in the H.261 standard, data maybe skipped during display. If such a token arrives at the Buffer Mnager, the data to be skipped will be flushed from the buffer in which it is stored.
Thus, by managing the buffers, data can be effectively displayed according to the compression standard used to encode the data, the rate at which the data is decoded and the particular type of display device being used.

21~5423 The foregoing description is believed to adequately describe the overall concepts, system implementation and operation of the various aspects of the invention in sufficient detail to enable one of ordinary skill in the art to make and practice the invention with all of its attendant features, objects and advantages.
However, in order to facilitate a further, more detailed in depth understanding of the invention,- and additional details in connection with even more specific, commercial implementation of various embodiments of the invention, the following further description and explanation is pr~ferred.

This is a more detailed description for a multi-standard video decoder chip-set. It is divided into three main sections: A, B and C.
Again, for purposes of organization, clarity and convenience of explanation, this additional disclosure is set forth in the following sections.
Description of features common to chips in the chip-set:
Tokens Two wire interfaces DRAM interface Microprocessor interface Clocks Description of the Spatial Decoder chip Description of the Temporal Decoder chip SECTION A.l The first description section covers the majority of the electrical design issues associated with using the chip-set.
a. 1 . 1 Typographic conv-ntions A small set of typographic conventions is used to emphasize some classes of information:
NAME8 OF TO~EN8 wire_name active high signal wire_name active low signal register_name 214~23 SECTION A.2 Video Decoder Family 30 MHz operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s MPEG resolutions up to 704 x 480, 30 Hz, 4:2:0 Flexible chroma sampling formats Full JPEG baseline decoding Glue-less page mode DRAM interface 208 pin PQFP package Independent coded data and decoder clocks Re-orders MPEG picture sequence The Video decoder family provides a low chip count solution for implementing high resolution digital video decoders. The chip-set is currently configurable to support three different video and picture coding systems:
JPEG, MPEG and H.261.
Full JPEG baseline picture decoding is supported.
720 x 480, 30 Hz, 4:2:2 JPEG encoded video can be decoded in real-time.
CIF (Common Interchange Format) and QCIF H.261 video can be decoded. Full feature MPEG video with formats up to 740 x 480, 30 Hz, 4:2:0 can be decoded.
Note: The above values are merely illustrative, by way of example and not necessarily by way of limitation, of one embodiment of the present invention. Accordingly, it will be appreciated that other values and/or ranges may be used.

A.2.1 System configurations A.2.1.1 Output formatting In each of the examples given below, some form of output formatter will be required to take the data presented at the output of the Spatial Decoder or Temporal Decoder and re-format it for a computer or display system. The details of this formatting will vary between applications. In a simple case, all that is required is an address generator to take the block formatted data output by the decoder chip and write it into memory in a raster order.
The Image Formatter is a single chip VLSI device providing a wide range of output formatting functions.
A.2.1.2 JPEG Qtill picture decoding A single Spatial Decoder, with no-off-chip DRAM, can rapidly decode baseline JPEG images. The Spatial Decoder will support all features of baseline JPEG. However, the image size that can be decoded may be limited by the size of the output buffer provided by the user. The characteristics of the output formatter may limit the chroma sampling formats and color spaces that can be supported.
A.2.1.3 JPEG video d~coding Adding off-chip DRAMs to the Spatial Decoder allows it to decode JPEG encoded video pictures in real-time. The size and speed of the required buffers will depend on the video and coded data rates. The Temporal Decoder is not required to decode JPEG encoded video. However, if a Temporal Decoder is present in a multi-standard decoder chip-set, it will merely pass the data through the Temporal Decoder without alteration or modification when the system is configured for JPEG operation.
A.2.1.4 H.261 decoding The Spatial Decoder and the Temporal Decoder are both required to implement an H.261 video decoder. The DRAM
interfaces on both devices are configurable to allow the quantity of DRAM required for proper operation to be reduced when working with small picture formats and at low coded data rates. Typically, a single 4Mb (e.g. 512k x 8) DRAM will be required by each of the Spatial Decoder and 158 2 145~ 23 the Té~oral Decoder.
A.2.1.5 MPEG decoding The configuration required for MPEG operation is the same as for H.261. However, as will be appreciated by one of ordinary skill in the art, larger DRAM buffers may be required to support the larger picture formats possible with MPEG.

21~5~3 SECTION A.3 Tokens A.3.1 Token for~t In accordance with the present invention, tokens provide an extensible format for communicating information through the decoder chip-set. While in the present invention, each word of a Token is a minimum of 8 bits wide, one of ordinary skill in the art will appreciate that tokens can be of any width. Furthermore, a single Token can be spread over one or more words; this is accomplished using an extension bit in each word. The formats for the tokens are summarized in Table A.3.1.
The extension bit indicates whether a Token continues into another word. It is set to 1 in all words of a Token except the last one. If the first word of a Token has an extension bit of 0, this indicates that the Token is only one word long.
Each Token is identified by an Address Field that starts in bit 7 of the first word of the Token. The Address Field is of variable length and can potentially extend over multiple words (in the current chips no address is more than 8 bits long, however, one of ordinary skill in the art will again appreciate that addresses can be of any length).
Some interfaces transfer more than 8 bits of data. For example, the output of the Spatial Decoder is 9 bits wide (10 bits including the extension bit). The only Token that takes advantage of these extra bits is the DATA Token. The DATA Token can have as many bits as are necessary for carrying out processing at a particular place in the system. All other Tokens ignore the extra bits.

` 21g~3 A.3.2 The DATA Token The DATA Token carries data from one processing stage to the next. Consequently, the characteristics of this Token change as it passes through the decoder. Furthermore, the meaning of the data carried by the DATA Token varies depending on where the DATA Token is within the system, i.e., the data is position dependent. In this regard, the data may be either frequency domain or Pel domain data depending on where the DATA Token is within the Spatial Decoder. For example, at the input of the Spatial Decoder, DATA Tokens carry bit serial coded video data packed into 8 bit words. At this point, there is no limit to the length of each Token. In contrast, however, at the output of the Spatial Decoder each DATA Token carries exactly 64 words and each word is 9 bits wide.
A.3.3 Using To~en formatted data In some applications, it may be necessary for the circuitry that connect directly to the input or output of the Decoder or chip set. In most cases it will be sufficient to collect DATA Tokens and to detect a few Tokens that provide synchronization information (such as PICTURE_START). In this regard, see subsequent sections A.16, "Connecting to the output of Spatial Decoder", and A.19, "Connecting to the output of the Temporal Decoder".
As discussed above, it is sufficient to observe activity on the extension bit to identify when each new Token starts. Again, the extension bit signals the last word of the current token. In addition, the Address field can be tested to identify the Token. Unwanted or unrecognized Tokens can be consumed (and discarded) without knowledge of their content. However, a recoqnized token causes an appropriate action to occur.

21~S~2~

Furthermore, the data input to the Spatial Decoder can either be supplied as bytes of coded data, or in DATA
Tokens (see Section A.10, "Coded data input"). Supplying Tokens via the coded data port or via the microprocessor interface allows many of the features of the decoder chip set to be configured from the data stream. This provides an alternative to doing the configuration via the micro processor interface.
.

Z 6~ 5 4 3 2 1 0 Token Name Re~erence o o 1 QUANT_SCALE
c I o PREDICTION_MODE
O ~ 1 1 (reserved) o o MVD_FORWARDS
I o I MVD_BACKWARDS
o o o o 1 QUANT_TABLE
o, o o o o 1 DATA
1 o o o o COMPONENT_NAME
o o o 1 DEFINE_SAMPLING
o o 1 o JPEG_TABLE_SELECT
o o 1 1 MPEG_TABLE_SELECT
o 1 o o TEMPORAL_REFERENCE
o 1 o 1 MPEG_DCH_TABLE
1 0 1 1 0 (reserved) 1 1 0 1 1 1 (reserved) 0 0 0 0 (reserved) SAVE_STATE
0 0 0 1 (reserved) RESTORE_STATE
1 1 1 o o 1 o TIME_CODE
1 1 1 1 0 0 1 1 (reserved) o I o o o o o o o NULL
O O O O O O 0 1 (reserved) O O O O O 0 1 0 (reserved) O O O O O 0 1 1 (reserved) o o o 1 o o o o SEQUENCE_START
O O 0 1 0 0 0 1 GROUP_START
o; o o 1 o o 1 o PICTURE_START
o, o o 1 o o 1 1 SLICE_START
o i o o 1 o 1 o o SEOUENCE_END
o o o 1 o 1 o 1 CODING_STANDARD
o o o 1 o 1 1 o PICTURE_END
o I o o 1 o 1 1 1 FLUS~i o, o o 1 1 o o o FlLD_INFO
Table A.3.1 Summary of Tokens 21454~

- l'oken Narne Re~ererce o o o 1 1 o o 1 MAX_COblP_lD
o o o 1 1 o 1 o EXTENSION_DATA
o o o 1 1 o 1 1 USER_DATA
o o o 1 1 1 o o DHT_MARKER
o o o 1 1 1 o 1 DQT_MAflKER
O O 0 1 1 1 1 0 (reserved) DNL_MARKER
O O 0 1 1 1 1 1 (reserved) DRI_MARKER
1 ¦ 1 1 0 1 0 0 0 (reserved) 0 1 0 0 ~ (reserved) ~ ! ~ 1 o ~ o ~ o (reserved) 1 1 1 0 1 0 1 1 (reserved) o 1 1 0 o BIT_RATE
1 1 1 o 1 1 o 1 V8V_BUFFER_SIZE
1 1 1 o 1 1 1 o V8V_DELAY
o 1 1 1 1 PICTURE_TYPE
1 1 1 o o o o PICTURE_RATE
o o o 1 PEL_ASPECT
1 1 o o 1 o HORIZONTAL_SIZE
o o 1 1 VERTICAL_SIZE
1 1 o 1 o o 8ROKEN_CLOSED
1 1 o 1 0 1 CONSTRAINED
1 ! 1 1 1 0 1 1 0 (reserved) SPECTRAL_LIMIT
1 1 1 1 o 1 1 1 DEFINE_IIIAX_SAMPLING
1 1 1 1 1 0 0 0 (reserved) 1 1 1 1 1 0 0 1 (reserved) 1 1 1 1 1 0 1 0 (reserverJ) 1 1 1 1 1 0 1 1 (reserved) o o HORIZONTAL_MBS
o 1 VERTICAL_MBS
1 0 (reservedl 1 1 1 1 (reserved) Table A. 3 .1 Summary of Tolcen~ (contd) A.3.q Description of Toke~ns This section documents the Tokens which are implemented in the Spatial Decoder and the Temporal Decoder chips in accordance with the present invention; see Table A.3.2.

Note:
."r" signifies bits that are currently reserved and carry the value O
.unless indicated all integers are unsigned E 7 6 1 5 4 3 1 2 1 0 Desc~iption 1 1 1 o 1 1 o o BIT_RATE testinloonly 1 r r r r r r b b Carries the MPEG bit rate parameter R. Generated t~y ~e ~ultman b b b b b b ~ b decoder when decoding an MPEG bitstream. --~ -O b b b b b b b b t~ - an 19 bit integer as defined by MP'G
1 1 1 1 1 o 1 o o BROKEN_CLOSED
O r r r r r r c b Carries two MPEG nags bits:
c - closed_gop b broken_link o o o 1 o 1 o 1 CODING_STANDARD
o s s s s s s s s s - an 8 ba integer indicating the current coding standard. The values currenny assigned are:

, 2 - MPEG
1 1 1l o o o o c c COMPONENT_NAME
O n n n n n n n n Communicates the ~L!Lti~,r,:,l"p bet~een a cr.-"?onent ID and the co",po,le.,t name. See also ...
c 2 bit co" ,pone~nl ID
n - 8 bit co,.,pone ,1 'name o 1 o 1 CONSTRAINED
o r r ~ ~ ~ r r C
c - carnes the cons~a~ne~J-parameters-na9 decoded trom an MPEG bitstream.

Table A.3.2 Tokens implemented in the Spatial Decoder and ~emporal Decoder (Sheet 1 of 9) 214~423 E 7 6 1 5 4 3 2 1 O - Descripoon o l o o 1 c c DATA
d d 1 d d d d d d Carries data through the decoder chip-sel.

O d d d d d d d d c-a2bilin~egerco~ on_nt ID(see A 3 5 ~ his field is not defined ior Tokens Iha~ carry coded da~a ~ra!her ~.~an pi~el i. ,io", .a~ion) 1 1 1 1 1 o 1 1 1 DEFINE_MAX_SAMPLING
r r r r r r h h Max. Honzontal and Vertical sampling numbers. These descnbe r r r r r r v v ~he maximum number oi blocks t~,o,,c~. "yh6rt;. ::y in any co,."~on~nt oi a .,~ lvbla~. See A.3.5.2 h - 2 bit horizontal sampling number.
v - 2 bit verticai sampling number.

o o o 1 c c DEFINE_SAMPLING
r r r r r r h h Horizontal and Verbcal sampiing numbers ior a particular colour r r r r r r v vco",t~one~,L See A.3.52 c - 2 bit CG- "pon_. ,1 ID.
h - 2 bi~ horizontal sampling number.
v - 2 bi~ ventical sampling numDer.

o o o o 1 1 1 o o DHT_MARKER
This Token iniotms the Video Demux that the DATA Token that iollo~vs contains the s; ~ " , ol a Hu~man lable described using the JPEG 'define Hu~man table segmenr- syntax. This Token is only valid when the coding standard is configured as JPEG.

This Token is generated by the stan code detec:or during JPcG
decoding when a DHT marker has been encountered in the ~ata stream.

Table A.3.2 To~en~ implemented in the Spatial Decoder and Temporal Decoder (Sheet 2 of 9) 21~423 E 7 6l 5 4 3 2 1 1 0 - Description o o o o 1 1 1 1 o DNL_MARKER
This Token informs the Video Demux tha~ the DATA Token that lollows contains the JPEG parameter NL which specifies the number of lines in a frame.

This Token is generated by the stan code detec~or during JPEG
decoding when a DNL marker has been encountered in the data stream.

o o o o 1 1 1 o 1 DC~T_MARKER
This Token inlorms the Vldeo Demux that the DATA Token thal lollows contains the ~p~ i1c : ~ o~ a quanbsabon table described using the JPEG define quantisabon table segment syntax. This Token is only valid when the coding standard is configured as JPEG. The Video Demux generates a QUANT_TABLE To~en containing the new quantisabon table i~fv~llldbon.

This Token is generated by the start code detector dunng JPEG
decoding when a DOT marker has been encountered in the data stream.

o o o o 1 1 1 1 1 DRî_MARKER
This Token inlorms the Video Demux that the DATA Token thal iollows contains the JPEG parameler Ri which specifies Ihe number o~ minimum coding units between restart markers.

This Token is generated by the start code detector during JPEG
decoding when a DRI marker has Deen encountered in the Cata stream.

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 3 of 9) 21~5423 E 7' C ~i 4 3 2 1 0 ~ ~."~t.on o o o 1 1 o 1 o EXTENSION_DATA JPEG
O v v v v v v v v This Token in~orrns the video Demux that the DATA Token ha~
lollows contains extension data. See A. 11.3, Conversion ot start codes to Tokens-, and A.14.6, ReceMng User and Enension data, During JPEG operabon Ihe 8 bit field ~ carries Uhe JPEG marker value. This allows the class ot ex~ension data ~o be identified.

o o o o 1 1 o 1 o EXTENSION_DATA MPEG
This Token inlorrns the Video C~emux that the DATA Token that ~o~lows contains extension data. See A. 11.3, Conversion of stan codes to Tokens-, and A.14.6, Receiving User and Extension data, 1 o o o 1 1 o o o FIELD_INFO
O r r r t p ~ ~ ~
Carries i"~v ", n about the picture ~ollowing to aid its display.
This hnction is not signalled by any existing coding standard.
t i~ the picture is an interlaced irame this oit indicates i~ Uhe upper field is first ~1=0) or second.
p . i~ pictures are fields this indicates i~ the next picture is upper - (p-O) or lower in the ~rame.
t - a 3 bit number indicaUng position ot the field tn the 8 field PAL
uquence.
o o o o 1 o 1 1 1 FLUSH
Used to indicate the end o~ the current coded data and to push the end o~ the data stream through the decoder.
o o o o 1 o o o 1 GROUP_START

Generated when the group o~ pictures stan code is lound w~len decoding MPEG or the irame mar~er is ~ound when decor ing JPEG.

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet ~ 5f 214~4~

_. .~
E 7 6 5 4 3 2 1 0 - Descnption 1 1 o o HORIZONTAL_MBS
r r r h h h h h h a 13 bit number integer indictting the hori~onlal width ot the h h h h h h h h picture in, . ,ac, vblv l s.
1 1 1 1 1 o 0 1 o HORIZONTAL_SIZE
h h h h h h h h h 16 bit numbor integer indicabng the horizontal width o~ the h h h h h h h h picture in pixels. This can be any integer value.
1 1 1 o o 1 o c c JPEG_TABLE_SELECT
r r r r r r t t Intorms the inverse quantiser which quantisabon table to use on the specified colour cu~vvro~L
c 2 bit cv,.,~onc.lI ID ~see A.3.5.1 t - 2 bit integer table numt~er, 1 o o o 1 1 o o 1 MAX_COMP_ID
r r r r r r m m m - 2 bit integer indicating the maximum value oi cvn.l onent ID
(see A.3.5.1 ) that will be used in the next picture.
0 1 1 o 1 o 1 c c MPEG_DCH_TA8LE
r r r r r r t t Configures which DC coetficient Hutiman table should oe used lor colour co,.,pono~,t cc.
c - 2 bit co,,,~ùncr,t ID (see A.3.5.1 t - 2 bit integer table number, 0 1 1 0 O 1 1 d n MPEG_TABLE_SELECT
Iniorrns the inverse quantiser whe~her to use the delault or user defined quantsation table lor intra or non-intra information.

n - O indicates intra ;n~u~ at~vn~ 1 non-intra.

d - O indicates delault table, l user defined.

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 5 of 9) 21~42~

E 7! 6 5 4 3 2 1 0 Oescription ~ o ` 1 d v v v v MVD_BACKWARDS
V V Y V V V V V
Carries one CO.,~o-.c.lt (sit~er vertical or horizontal) ol the bacl~, ~ motion vector.
d-Oindicabsxcon~n_.,l, 1 theycon,ponen~
v -12 bit two's ~ t numoer. The LS5 provides h~l pixel resolution, 1 0 0 d v v v v MVD_FORWARDS
V V V V V V V V
Carries one co.,."or~ht ~either verffcal or horizontal) ol the lotwards moffon vector.
d - O indicates x ct,..~.._.,t, 1 the y cu",l,onenl v -12 bit two's ~.o.,.,A~ t number. The LSB provices hall pixel resolution.

0 o o o o o o o o NULL
Does no~ing.
1 1 1 1 1 o o o 1 PEL_ASPECT
r . r p p p p p - a 4 bit int ger as defined by MPEG.
o o o 1 o 1 1 o PICTURE_END
Inserted by the start code detector to indicate the end ol the cunent .

picture.
1 1 1 1 1 o o o o PICTURE_RATE
r r r r p p p p p - a 4 bit integer as defined by MPEG.
o o o 1 o o 1 o PICTURE_START
r r r r n n n n Indicates ttle ssart ol a new ~icture.
n - a 4 bit picture index altocated to the picture hy the stan code delector.

Table A.3.2 Token~ implemented in the Spatial Decoder and Tempor~l Decoder (Sheet 6 of 9) 21~5~3 E 7 6 ~'5 ~ 3 2 1 o 6- ~pl,on o 1 1 1 1 PICTURE_TYPE MPEG
O r r r r r r p p p - a 2 bit integer indicating the picture coding type o~ the picture that ~ollows:

O - Intra 1 Predicted 2 6i~ tonally Predicted 3 - DC Intra o 1 1 1 1 PICTURE_TYPE H.261 1 r r r r r r O 1 Indicates various H.251 opbons are on (1) or On ~O). These opbons O r r s d ~ q 1 1 aro ahvays o~l for MPEG and JPEG:
s - Split Screen Indicator d Document Camera ~ Free2e Picture F~elease Source picture lormat:
q = O - aClF
q= 1 CIF

O O 1 O h y x b I PREDICTION_MODE
A set o~ nag bits that indicate the predicbon mode tor the ublu.l~a that tollow - ~orward predicOon b - baclc~rard predicbon x - reset ~orward vec~or predictor y reset backward vector predictor h - enable H.261 IooD filter 0 o o 1 s s s s s QUANT_SCALE
Intorrns the inverse quantiser o~ a new scale lactor s - S bit integer in range 1 ... 31. The value O is reserved.
Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder (Sheet 7 of 214~3 1~2 _ E 7 6 5 4 3 2 1 ¦ 0 Descnption o o o o ~ t QUANT_TA3LE
1 q q q q q q qj q Loads the specified inverse r~uantiser table With 64 8 t~it uns;gned integers. The values are in zig-zag orden 0 q q q q q q q q t - 2 bit integet specifying the inverse quantiser table to b~e loaded.
o o o o 1 o 1 o o SEQUENCE_END
The MPEG sequence_end_code and the JPEG EOI matker cause this Token ~o be genera~ed.
o o o o 1 o o o o SEC~UENCE_START
Gtemera~ed by the MPEG sequence_statt stan code.
o o o 1 o o 1 1 SLICE_START
ossssssss Co.,~por,~ to the MPEG slice_stan, the H.261 GOB and the JPEG resync intervaJ. The ;nte ~,.at.~l;on of 6 bit integer ~s' difters between coding standards:
MPEG - Slice Venical Position -1.
H.261 Group o~ alccks l~lumber - 1.
JPEG - res~cl,l~ interval identification (4 LSBs only).
1 1 1 o 1 o o t t TEMPORAL_REFERENCE
o t t t t t I t ~
I - carries the temporal reletence. For MPEG this is a 10 bit integer.
For H.261 only the 5 LSBs are used, the MS3s will always be zero~
1 0 0 1 0 d TIME_CODE
r r r h h h h h The MPEG time_code 1 r r m m m m m m d - Drop Irame nag r r s s s s s s 0 ~ h 5 bit integer specifying hours m - 6 bit integer specifying minutes s 6 bit integer specifying seconds p - 6 t~it integer specifying pictures Table A.3.2 Tokens imp~emented in the Spatial Decoder and Temporal Decooer (Sheet 8 of 214~4~3 E 7 6 I S ¦ 4 1 3 2 1, O Description o o I o i 1 1 1 o ~, 1 USER_DATA JPEG
V V V V V V V V
This Token informs the v'ideo Demux that tthe DATA Token tha~
follows contains user data. See A.11.3, Conversicn of start coCes to Tokens-, and A.14.6, 'Receivlng User and Extension data', During JPEG operation the 8 bit field ~ carr;es the JP--G marker value. This allows the class of user data to be identified.

O O O O i 1 1 0 1 1 USER_DATA MPEG
This Token informs the Video Demux that the DATA Token that follows contains user data. See A. 11.3, `Conversion of s;art codes to Tokens-, and A. 14.6, 'Receiving User and Extension data-, o 1 1 o 1 VBV_BUFFER_SIZE
r 1' r r r r r s s s a 10 bit integer as defined by MPEG.
O sj s s s s s s s 1 1 1 1 0 1 1 1 o VBV_DELAY
b I b b b b b b b b - a 16 bit integer as defined by MPEG.
G b b b b b b b b 1 1 1 1 j 1 1 1 o 1 VERTICAL_MBS
r r r V V V V V
v - a 13 bn integer indicating the vertical size o~ the picture in O v v v v v v v v " ,ar,. ublo.,~.
o o 1 1 VERTICAL_SIZE
V V V I V V V V V
v a 16 bit integer indicating the vertical size of the picture in ~ix~!s.
O vj v vj V v v v v This can be any integer value.

Table A.3.2 Tokens implemented in the Spatial Decoder and Temporal Decoder ~Sheet 9 of 9) 21~5423 A.3.5 ~um~bPrs 3ignalle~ i~ Tokens .3.5.1 ~o~po~e~t I~entifica~ion nu~ber In accordance with the present invention, the Component ID number is a 2 bit integer specifying a color component.
This 2 bit field is typically located as part of the Header in the DATA Token. With MPEG and H.261 the relationship is set forth in Table A.3.3.

Component ID - M~EG or H.261 colow co""~or,en~ ' O Luminanc~ (Yl Blue dinerence signal (Cb / U) 2 Red dinerence signal (Cr / V) 3 Never used Tabl- A.3.3 Component ID for HPEG and ~.261 2145~23 With JPEG the situation i6 more complex as JPEG does not limit the color components that can be used. The decoder chips permit up to 4 different color components in each scan. The IDs are allocated sequentially as the specification of color components arrive at the decoder.
A.3.5.2 ~orizo~tsl a~d Vortic~l sampling number~
For each of the 4 color components, there is a specification for the number of blocks arranged horizontally and vertically in a macroblock. This specification comprises a two bit integer which is one less than the number of blocks.
For example, in MPEG (or H.261) with 4:2:0 chroma sampling (Figure 36) and component IDs allocated as per Table A.3.4.

Honzonlal Venlcal Component IDsampling ~Idth in blocks sampling Height in blocks number number 3 NotuseaNotused NotusedNot used Tablo A.3.4 ~ampling numbors for 4:2:0/MPEG

21~5~23 With JPEG and 4:2:2 chroma sampling (allocation of component to component ID will vary between applications.
See A.3.5.1. Note: JPEG requires a 2:1:1 structure for its macroblocks when processing 4:2:2 data. See Table A.3.5.

Honzontal Vertlcal ccmDcrent 1~ sampling Wi~ n ~locks samDling He!g~t ~n ~loc.~s num~er num~er U 0 1 ¦ O

Table A.3.5 8ampling numb-rs for 4:2:2 JPEG

21i~423 A.3.6 Sp~ial Token formats In accordance with the present invention, tokens such as the DATA Token and the QUANT_TABLE Token are used in their "extended form" within the decoder chip-set. In the extended form the Token includes some data. In the case of DATA Tokens, they can contain coded data or pixel data. In the case of QUANT_TABLE tokens, they contain quantizer table information.
Furthermore, "non-extended form" of these Tokens is defined in the present invention as "empty". This Token format provides a place in the Token stream that can be subsequently filled by an extended version of the same Token. This format is mainly applicable to encoders and, therefore, it is not documented further here.

Td~an Name MPEG JPEG H261 BIT_RATE
BROKEN_CLOSED
CODING_STANDARD
COMPONENT_NAME
CONSTRAINED
DATA
DEFINE_MAX_SAMPLING
DEFINE_SAMPLING
DRT_MARKER
DNL_MARKER
DaT_MARKER
DFll_lUARKER

Table A.3.6 tokens for different standards 2145~3 Token NameMPFGJpEG H261 EXTENSION_DATA
FIELD_INFO
FLUSH J ~ J
GROUP_START
HORIZONTAL_MBS J
HORIZONTAL_SIZE
JPEG TABLE_SELECT
MAX_COMP_ID
MPEG_DCH_TABLE
MPEG_TABLE_SELECT
MVD_BACKWARDS
MVD_FORWARDS
NULL J
PEL_ASPECT
PICTURE_END
PICTURE_RATE
PICTURE_START ~ J J
PICTURE_TYPE J ~ J
PREDICTION_MODE ~ ~ J
QUANT_SCALE J
QUANT_TABLE J
SEQUENCE_END ~ ~
SEQUENCE_START ~ ~ J
SLICE_START
TEMPORAL_REFERENCE J
TIME_CODE
USER_DATA
VBV_BUFFER_SIZE
VBV_DELAY
VERTICAL_MBS J
VEPITICAL_SIZE
Table A.3.6 Tokens for dirr~renl standards (contd) A.3.7 Use of Tokens for different stan~rd~
Each standard uses a different sub-set of the defined Tokens in accordance with the present invention; ss Table A.3.6.

SECTION A.4 The two wire interfac~
A.4.1 Two-wir~ int~rfac~s and t~ Token Port A simple two-wire valid/accept protocol is used at all levels in the chip-set to control the flow of information.
Data is only transferred between blocks when both the sender and receiver are observed to be ready when the clock rises.
l)Data transfer 2)Receiver not ready 3)Sender not ready If the sender is not ready (as in 3 Sender not ready above) the input of the receiver must wait. If the receiver is not ready (as in 2 Receiver not ready above) the sender will continue to present the same data on its output until it is accepted by the receiver.
When Token information is transferred between blocks the two-wire interface between the blocks is referred to as a Token Port.
~.4.2 ~h2r~ used The decoder chip-set, in accordance with the present invention, uses two-wire interfaces to connect the three chips. In addition, the coded data input to the Spatial Decoder is also a two-wire interface.
~.4.3 Bus sign~l~
The width of the data word transferred by the two-wire interface varies depending upon the needs of the interface concerned (See Figure 35, ~Tokens on interfaces wider than 8 bits". For example, 12 bit coefficients are input to the Inverse Discrete Cosine Transform (IDCT), but only 9 bits are output.

2145~23 Inl-~aCe Oa~a WICt~ (bn Coaea ~ata InDu~ tc SD~W Docod-r a OLtDUt con o~ SUDL O-COd-r g InDut port o~ ,emDor~l D-coa-r 9 OutDut Dort o~ TemDor~l D~coa~r a nDut pon o~ Im~ge Fomuner a Tabl- A.~.l Two wir- interfac~ data width In addition to the data signals there are three other signals transmitted via the two-wire interface:
.valid .accept .extension A.4.3.1 The ~Yt~nsion signal The extension signal corresponds to the Token extension bit previously described.
A.4.~ DQ ign consid~rations The two wire interface is intended for short range, point to point communication between chips.
The decoder chips should be placed adjacent to each other, so as to minimize the length of the PCB tracks 1~ between chips. Where possible, track lengths should be kept below 25 mm. The PCB track capacitance should be kept to a mlnimUm.

2145~23 The clock di~tribution should be designed to minimize the clock slew between chips. If there i~ any clock slew, it should be arranged ~o that '~receiving chips" see the clock before "sending chips".~
All chips communicating via two wire interfaces should operate from the same digital power supply.
A.~.S I~terfac~ timing 30 MH~ Note~
Num. Characteristie Unit Min.Max. 2 InDut sisnal set-up time S ns Input 519nal hold time O ns 3 Output signal drive 3me 23 ns OUtDut slgn~ ~olo 3me 2 ns T~ble A.4.2 T~o wire interf2ce timing a. Figures in Table A.4.2 may vary in accordance with design variations b. Maximum signal loading is approximately 20 pF

' Note: Figure 38 shows the two-wire interface between the system de-mux chip and the coded data port of the Spatial Decoder operating from the main decoder clock. This is optional as this two wire interface can work from the coded data clock which can be asynchronous to the decoder clock.
See Section A.10.5, "Coded data clock". Similarly the display interface of the Image Formatter can operate from a clock that is asynchronous to the main decoder clock.

21~5423 -A.4.6- Signal lev-l-The two-wire interface uses CMOS inputs and output.
V~Hm,n is approx. 70~ of V~D and V~Lm,~ is approx. 30% of VD~.
The values shown in Table A.4.3 are those for V~H and V~, at their respective worst case V~D. Vi~D=5 . 0+0 . 25V.

Symt~ol ~Y~mot~- Min. Max. UnlLS
V~ Inoutloglc 1 vaug~ 3.60 VOO~O.S ! V
-VIL Inoutlogic O v~g~ GNO C.S 1.43 I V
VO~ Out~ut loglc ~ 1 vo~t~S- V~O o. t VOO ~ 0.4 I V ' VOL OUtDUt l091C O VOIUS4 1 V ' 0.4 `/~ ;
Incutlo-~g- curront : 10 ~A

Tabl- A.~.3 DC electrical c~aract-risttic~

a. 1OH< lmA
b. 1OH< 4mA
c. lo,<lmA
d. lo~<4mA

-A.~.7 Control clock In general, the clock controlling the transfers across the two wire interface is the chip's decoder_clock. The exception is the coded data port input to the Spatial Decoder. This is controlled by coded clock. The clock signals are further described herein.

`_ 2145423 SECT~ON A.~ DRAM Interface A.5.1 ~h- DRAM int-rfac-A single high performance, configurable, DRAM interface is used on each of the video decoder chips. In general, the DRAM interface on each chip is substantially the same;
however, the interfaces differ from one another in how they handle channel priorities. The interface is designed to directly drive the DRAM used by each of the decoder chips.
Typically, no external logic, buffers or components will be necessary to connect the DRAM interface to the DRAMs in most systems.
A.5.2 Int~rface ~ignal~

Inpul /
Sign~ Nu~ r~ ; .
OUtDut DRAM_dau~31 01 UO The 32 bil wlde ORAM daat bus Optlonally tms 0us n b- configw d to be 16 or 8 bits wide See s ction A S 8 DRAM_acdr~10 01 0 The 22 bitwid- ORAM interbce ardctress Is tlme multiote~r d ovu this 11 bit wide bus O The ORAM Row Ad~re~ Strob~ signal ~3 01 O The DRAM CoiumnArtdress Slrooe slSinal One signal is Drovided p r byte ot tne intertace s data bus All ttle ~ signals are driven simu~laneou51y WE o The ORAM Wn~e Enabte signal ~5E O Tha DRAM Outout En bb slgral DRAM_-na~le I This input sign-l when low m~ s all the OUtpUI
si~nals on the intartace go high npad~ -e Note on chip data ~ ~ ls nor S oop d wnen ~ne DRAM interiace is high ime r unce So errcrs will occur i~ t~e cnip anemots to access - RAM wr ie DRAM_enat~le is low Table A.5.1 DRAM int~r~..ee sitanals In a~cordance with the present invention, the interface is configurable in two ways:
.The detail timing of the interface can be configured to accommodate a variety of different DRAM types .The "width" of the DRAM interface can be configured to provide a cost/performance trade-off in different applications.
A.5.3 Configuring th- DRAM int-rf~c-Generally, there are three groups of registers associated with the DRAM interface: interface timing configuration registers, interface bus configuration registers and refresh configuration registers. The refresh configuration registers (registers in Table A.5.4) should be configured last.
A.5.3.1 Conditions ~ft-r res-t After reset, the DRAM interface, in accordance with the present invention, starts operation with a set of default timing parameters (that correspond to the slowest mode of operation). Initially, the DRAM interface will continually execute refresh cycles (excluding all other transfers).
This will continue until a value is written into refresh_interval. The DRAM interface will then be able to perform other types of transfer between refresh cycles.
A.5.3.2 Bus configuration Bus configuration (registers in Table A.5.3) should only be done when no data transfers are being attempted by the interface. The interface is placed in this condition immediately after reset, and before a value is written into refresh interval. The interface can be re-configured later, if required, only when no transfers are being attempted. See the Temporal Decoder chip access register (A.1~.3.1) and the Spatial Decoder buffer manager access register (A.13.1.1).

2145~123 A.5.3.~ Int-rfac- timing configuration In accordance with the present invention, modifications to the interface timing configuration information are controlled by the interface timing access register.
Writing 1 to this register allows the interface timing registers (in Table A.5.2) to be modified. While interface_timing access = 1, the DRAM interface continues operation with its previous configuration. After writing 1, the user should wait until 1 can be read back from the interface_timing access before writing to any of the interface timing registers.
When configuration is compete, 0 should be written to the interface timing access. The new configuration will then be transferred to the DRAM interface.
a. s . 3.4 R-fr-sh configuration The refresh interval of the DRAM interface of the present invention can only be configured once following reset. Until refresh interval is configured, the interface continually executes refresh cycles. This prevents any other data transfers. Data transfers can start after a value is written to refresh interval.
As is well known in the art, DRAMs typically require a "pause" of between 100 ~s and 500 ~s after power is first applied, followed by a number of refresh cycles before normal operation is possible. Accordingly, these DRAM
start-up requirements should be satisfied before writing a value to refresh interval.
A.5.3.5 R-ad acc-ss to configuration r-gist-rs All the DRAM interface registers of the present invention can be read at any time.
A.5.4 Interface timing (tic~s) 21~423 The-~RA~ interface timing is derived from a Clock which is running at four times the input Clock rate of the device (decoder clock). This clock is generated by an on-chip PLL.
For brevity, periods of this high speed clock are referred to as tic~s.

21~t5~23 A. 5. 5 Interface registtersi Reçlster name ~O n Descr~tlon rn o intertace_timing_access l O Thls (uncuon enaCIe res~s er a bws ac:ess ro Cll ;he OR~U mtertace umlng ccnfi5u a icn registers The configurauon reSIs;e s shoulC rct rw Ce modified while this reglster holCs the value 0 Wriung a one to this tegister recues~s access to modiiy the configuration r gls crs At er a 0 has been wnn~n to this regi5ter uh- CRA,~1 Intertace witt st;trt to us~ th- new vabes In tne timing configwabon r~jiSters page_surt_lengtn 5 0 Speclfies the t-ngtn o~ the ccess s;art in ;icks Cit The minimum v-lu- that can be uses is:
(meaning 4 tiClu) O seiects the mia~lmum rw l~ngth ot 32 Dcks transter_eycte_lengtt~ 4 0 Sp cifi s the t-ngtn oZ ~e last paSe reaC or t~it write cycle in ticks The minlmum value ;hat ciar C- used is 4 (m-an,ng 4 LckS) O selecS he ~w miaximum length ol 16 Lcks r-~r-sn-cyc~ en9th 4 0 Specifi s the bng~n ot tne r~treSn eycle In uci~s Dlt The minimum value tha~ can Ce Lse~ IS 1 (mean~ng 4 tlck5). 0 selecs ~e ma~lmum ~w lençth ol 16 ticks RAS_tatling 4 O Speclfies the numoer o~ iiCkS attem~ne s;art ot Clt the acc-~ss start tnat Fl~alls The mlni--um vaiue tnat can Ce LSeri ,s ~ (meiLn~rS ~ Icks ~v selecs ine ma Imum len5 h ol 5 ::ks C~S_lalling ' ~ ' S ~ Spec fies L~e numDer cl uc~i a' er ~e s a~ ol ia ' Dl~ ~eaC :yele ~vrlle^y^!eor ac;~sss~a- -a (al!s The mln mum val_e t~a! :ar~ t~e ~secl s (mear r5 ~ tlc~l G se ec s -e ~ e~~^
oF S ~ic~i Tat~le A _ ~ Inter'acetlm~ng confjguration eg!ste!s -Reglsler nam- ~ _ D

DRAM_sau_wiatn 2 0 Sp c~fi s tn numoeroibit!tus a on ~ne DRAM I
bn in~ertacedUabuS DRAM-aaul31 0~ See rw row_~aarcss_DiU 2 0 So clfi-s th- numo r ol bits us d ior ale row bit adCress portion ol th- DR~M in~ertac~ ~CCress buS S e A 5 1 0 r~
DRAM_cnaole 1 1 WriDng the v~u- O in to tnis reglster iorces ~e bit DRAM int rt c- into high ~ ~ state O ~rill b- r d Irom Ihis tegiss-r it eitl~e sne rw DRAM_ n-~h ~1 Is low or 0 h~s b en wrrtt n to th- r gist CAS_str-ngtn 3 6 T~ sn - biS r-giss rs configure C~e outout RAS_ssr-ngt~ bit drrv- ssr-ngtn o~ DRAM int r~ace signLs adar_strengtn rhis allows tn int ~c- to b configur d hr DRAM saU_str ngtn rw vuious ditl r nt lo~
OEWE_str-ngtn S-~S t3 Tabl- A.5.3 Int-rfac- bus configuration r-gistors 211~q~

A.5.6 ~nt-rfac- op-ration The DRAM interface uses fast page mode. Three different types of access are supported:
.Read .Write .Refresh Each read or write access transfers a burst of 1 to 64 bytes to a single DRAM page address. Read and write transfers are not mixed within a single access and each lo successive access is treated as a random access to a new DRAM page.

Re51st r narrl ~ C C ~ I ~

r-ires~n_int-rvU 6 O ~his value sp~lh5 tn- mterval De~een bit relresh cycl~s in p-riods ol 16 d-coder_cloclc cycl Valu in tn- range 1 25i can ~e rvv configured rhe valw O i5 automaDl~y loace5 an-r r~t und ~o~C-s the DRAM ir~er aCe o conOnuously e~ecute retre5h cycl~sntll a va l~
r-fr sh inl-rval i5 configured It s b oWe~ th~t r~r~sh_int-rv~l shc~
configur C on~y orco arter ach resec no_r~lrtsh 1 0 Writing rh~ vUu~ ~ to this re9iSter ~ever!s Dit e~ cution ol any rdr-sh cycl s rw Tabl- A.5.4 R-fr-sh configuration r-gist-rs A.5.7 Acc-ss structure Each access is composed of two parts:
.Access start .Data transfer In the present invention, each access begins with an access start and is followed by one or more data transfer cycles. In addition, there is a read, write and refresh variant of both the access start and the data transfer cycle.
Upon completion of the last data transfer for a particular access, the interface enters its default state (see A.5.7.3) and remains in this state until a new access is ready to begin. If a new access is ready to 2145~23 begin when the last access has finished, then the new access will begin immediately.
A.5.7.1 Acc-ss st~rt The access start provides the page address for the read or write transfers and establishes some initial signal conditions. In accordance with the present invention, there are three different access starts:
.Start of read .Start of write .Start of refresh Nurn Cn-_ 'r l~b~ MinM~X Unlt Notes ~prtc~Ygcp~riods tOyrs9~st r ' '6 tlC~
RAS_~slling 6 ~CCsss SlUt tunDon s t ~y rCglSSsr 4 32 p~gs_st rt_lcngth W pr ChUgC longth s-l Dy rogiStor 1 ' 6 CAS_hlllng 8 F~stD~9sro~rJorwnt cyclol ngt~s tDy 4 16 tno rCgiStcr tnnshr_cyc~o_l-ngth 9 ~ tr-sn cycls I ngth #t Dy th- rogi51sr r~ 16 rc~r-~h_cycls Table A.5.5 DRAM ~nt-rfac- timing p~ram-t-rs a. Thls value must be less than RAS falling to ensure ~ before RAS refresh occurs.

In each case, the timing of RAS and the row address is controlled by the regi~ters RAS falling and page start length. The state of OE and DRAM data[31:0] is held from the end of the previous data transfer until **RAS
falls. The three different access start types only vary in how they drive OE and DRAM data~31:0] when RAS falls. See Figure 43.
A.S.7.2 D~t~ transfer In the present invention, there are different types of data transfer cycles:
.Fast page read cycle .Fast page late write cycle .Refresh cycle A start of refresh can only be followed by a single refresh cycle. A start of read (or write) can be followed by one or more fast page read (or write) cycles. At the start of the read cycle CAS is driven high and the new column address is driven.
Furthermore, an early write cycle is used. WE is driven low at the start of the first write transfer and remains low until the end of the last write transfer. The output data is driven with the address.
As a CAS before RAS refresh cycle is initiated by the start of refresh cycle, there is no interface signal activity during the refresh cycle. The purpose of the refresh cycle is to meet the minimum RAS low period required by the DRAM.
A.S.7.3 Interface d-fault state The interface signals in the present invention enter a default state at the end of an access:
RAS, CAS and WE high ~data and OE remain in their previous state .addr remains stable A.5.8 Data bus width 214S~23 The ~wo bit register, DRAM_data_width, allows the width of the DRAM interface~s data path to be configured. This allows the DRAM cost to be minimized when working with small picture formats.

DR~M_C~ta_~iCth 0- 8 bn wiC- ~au bus on DR~M_d~t~31 2~1D
16 bit wido d~t~ buS on DRAM_C t (31 161'~
2 32 bit wids d~t~ bu~ on D~AM_d~t~31 01 Table A.S.6 Conf ig~tring DRAM data width a. Default after reset.
b. Unused signals are held high impedance.

A.5.9 row address width The number of bits that are taken from the middle section of the 24 bit internal address in order to provide the row address is configured by the register, row address bits.

row_s~CnU_DIts WiCttl ot row sCdross 10 bits on DR~M_-Cdr~9 0]
2 11 bitson ~R~t~ dCr~10 0~
Tabl- A.5.7 Conf iguring row addr-s bits A.5.lQ Address bits On-chip, a 24 bit address is generated. How this address is used to form the row and column addresses depends on the width of the data bus and the number of bits selected for the row address. Some configurations do not permit all the internal address bits to be used and, therefore, produce "hidden bits)ll.
Similarly, the row address is extracted from the middle portion of the address. Accordingly, this maximizes the rate at which the DRAM is naturally refreshed.

rowrow 4ddr-ss d~ ~ cdurnn dd- ss tr~r~Oon 4rJdN~tn~4tiorl wl~intcm~ t~rnal widthht4m41:~ 4~tcrn~1 9~ 6] 0 18:01 8[19:151 0 110:61 15:l (5;0 16[20:lSi 110:51 15 11 ~4 32[21:1sjot10:4l (5:21~[3:0 10[15:61 [9:0] 8[19:161 0 ~10:61 ~5 01 [5:0 1 6t20: t 61 0 [ 1 0:51 [5: 1 1 (4:0 32[21:161 0 110:41 [5:21 [3-:0 11t16:6l0[10:01 8[19:17j~[10:6] [50l ~[
16[20:1~1 [1O:5j 15:1 j ~ 14:0 32[21:17j 0 [10:41 ~521 ~ [3:01 Table A.5.8 ~apping betw--n int-rnal and external addresses 2145~23 A.5.1 1 Low order column address bits The least significant 4 to 6 bits of the column address are used to provide addresses for fast page mode transfers of up to 64 bytes. The number of address bits required to control these transfers will depend on the width of the data bùs (see A.5.8).
A.5.10.2 D-coding row addr-~s to ~cc-s~ mor- DRAM banks Where only a single bank of DRAM is used, the width of the row address used will depend on the type of DRAM used.
Applications that require more memory than can be typically provided by a single DRAM bank, can configure a wider row address and then decode some row address bits to select a single DRAM bank.
NOTE: The row address is extracted from the middle of the internal address. If some bits of the row address are decoded to select banks of DRAM, then all possible values of these "bank select bits" must select a bank of DRAM.
Otherwise, holes will be left in the address space.
A.5.11 DRAM Interf~c- n~bl-In the present invention, there are two ways to make allthe output signals on the DRAM interface become high impedance, i.e., by setting the DRAM enable register and the DRAM-enable signal. Both the register and the signal must be at a logic l in order for the drivers on the DRAM
interface to operate. If either is low then the interface is taken to high impedance.
Note: on-chip data processing is not terminated when the DRAM interface is at high impedance. Therefore, errors will occur if the chip attempts to access DRAM while the ~0 in~erface is at high impedance.
In accordance with the present invention, the ability to ~ake the DRAM interface to high impedance is provided to allow otner devices to test or use the DRAM controlled by tne Spatial Decoder (or the Temporal Decoder) when the Spatial ~ecoder (or the Temporal Decoder) is not in use.
It is not intended to allow other devlces to share the memory during normal operation.
A.5.12 Refrffsh Unless disabled by writing to the register, no_refresh, the DRAM interface will automatically refresh the DRAM
using a~~S before R~ refresh cycle at an interval determined by the register, refresh interval.
The value in refresh interval specifies the interval between refresh cycles in periods of 16 decoder_clock cycles. Values in the range 1.255 can be configured. The value 0 is automatically loaded after reset and forces the DRAM interface to continuously execute refresh cycles (once enabled) until a valid refresh interval is configured. It is recommended that refresh_interval should be configured only once after each reset.
While resel is asserted, the DRAM interface is unable to refresh the DRAM. However, the reset time required by the decoder chips is sufficiently short, so that it should be possible to reset them and then to re-configure the DRAM
interface before the DRAM contents decay.
A.5.13 Sign~l strengths The drlve strength of the outputs of the DRAM interface can be configured by the user using the 3 bit registers, CAS_strength, RAS_strength, addr_strength, DRAM_data_strength, and OEWE_strength. The MSB of this 3 bit value selects either a fast or slow edge rate. The two less significant bits configure the output for different load capacitances.
The default strength after reset is 6 and this configures the outputs to take approximately lOns to drive a signal between GND and VDD if loaded with 24pF.

2145~23 stren5~h V~lUe Onv- Cll~r~-,llnSlh.5 O ApDroI~ 4 ns~V into 6 pl b~d Aopro~. 4 ns/V into 12 o~ lo~d 2 Appro~. 4 nsJV into 24 p~ lo~d 3 ADDrox. 4 nsfV into U p~ lo~d 4 ADproL 2 nstV into 6 p~ b~d S APPrOL 2 ns~V into 12 p~ lo~d 6- Appro~ 2 nstV into 24 p~ lo-d 7 ~PDroL 2 n~/V into 8 D~ b~d Tabl- A.5.9 Output strength configurstion~
a. Default after reset When an output is configured appropriately for the load it is driving, it will meet the AC electrical characteristics specified in Tables A.5.13 to A.5.16. When appropriately configured, each output is approximately matched to its load and, therefore, minimal overshoot will occur after a signal transition.
A.5.14 Electrical spocifications All information provided in this section is merely illustrative of one embodiment of the present invention and is included by example and not necessarily by way of limitation.

21~54~

SymOol Pararn lor Min. M~. Un~ts Voo SUpO~y vol~g~ ttlv- ~0 GNc) 0.5 6.5 V
V~N InDu~von~ge on ~ny D~n GND 0 5 V0O ~ 5 V
T~ ODerUIn9 t~mW~tUrS 10 ~85 _ TS SlOra9e lemt#ratW~ 55 ~150 C
Tabl~ A.S.10 Maxi~um Rating--Table A.S.10 sets forth maximum ratings for the illustrative embodiment only. For this particular embodiment stresses below those listed in this table should be used to ensure reliability of operation.

Symt~ol Pu~rn Isr Min. Ma~. Units V0O SupDly volt~gc rH~trvc to GND ~.7S 5.25 V
GN0 Ground 0 0 v V~ Inputlogic 1 voltago 2.0 V00Ø5 V
Vl~ InDutlogic O volt~gs GN0 0.5 0.8 V
T~ O~o r~Dng tomooratur~ 0 70 C-~able A.5.11 DC operating conditions a. With TBA linear ftlmin transverse airflow 21~5~23 SymDol Param-~er Min. ~ M~. Units VOL Output~oglc 0'volt~ge 1 0 4 v' VO~ ~ Output logic '1 ' volt~ge 2 9 V
o Outputcurr nt ~100 2 OutDut ott stU- l~oe current ~ 20 I~A
112 Input l-sk~g- current ~ 10 ~
1~o RMS Dower suVoly curr-n~ 500 mA
CIN Input~ nc- S pF
COUT OUIDUt / 10 ,,~p_Il.nce S pF

Table A.5.~2 DC Electric~l oh2r~cteristics a. AC parameters are specified using VO~ = O.8V
as the measurement level.
b. This is the steady state drive capability of the interface.
Transient currents may be much greater.

21~5423 A.5.14.1 AC characteristics Num. Parameter Min. M~.Unl( Nole Cycle tlme -2 ~2 ns I l Cycle om- -2 ~2 ns l2 high pulS- ~2 ns l3 Low Duls~ 2 ns Il Cyd~ tim~ -2 ~2 nS

Table A.5.13 Differences fro~ no~inal v~lu~tt for ~ ~trob~
a. As will be appreciated by one of ordinary skill in the art, the driver strength of the signal must be 5configured appropriately for its load.

Num. Pu~ler Min.M~x.Uni~ Note l 5 Strob to str~t~e d~l~y 3 ~3 ns l 6 Low ~old time 13 ~3 ns 17 Strot~e to strot~e practl-rge e.9. tCRP 9 ~3 ns tRCS tRCH IRRHMRPC
~Pr~r9- puls- ~tw -n ~ny two -5 :2 r~
sign~ on wid- DRAMs e.9. tCP. O~
~twoen W~ rising anr~ lling e.g.
tRPC
l8 Pr~ rg~ t~or~ 12 ~3 ~5 Table A.5.14 Diff-r-nc-s from nomin~l values b-tw~n two strob-s a. The driver strength of the two signals must be configured appropriately for their loads.

2145~2~

Num Parameter - Min. Ma~u Unlt No~e~
l9 set up om ~12 3 ns ~old om 12 ~3 rS
21 Aaaress acoess tlme 12 ~3 ns 22 Ne~t v~lid ~tte~ st~ot~e12 ~3 n5 T~ble A.5.15 Differ~nc~e~ fro~ nominal b-tween a bus and strobe a. The driver strength of the bus and the strobe must be configured appropriately for their loads.

Num Patameter Min. Ma~t. Urit Note 23 Read cata s t up om~ ore C ~Si slgnal O .. s statls to nse 24 R aa dU~ hold bme aner ~ slgnal O r5 st~Qs to 9o hi9h Tabl- A.5.16 Differenc-s from nominal b-tw-t~n ~ bu~ ~n~ ~ ~trob-~ hen reading from DRAM, the DRAM interface samples DRAM_data~31:0] as the ~ signals rise.

214542~

p~t~rn~r D run-l t v r~t"
nrtm- numD-r n~me numD-r n, m- numt~r IPC lOtRSH lCIRHCP 18 tCPRH
tRC l 1 tCSH t,ASR 19 IRP 12 tRWL tASC
tCP tCWL tC)S
tCPN tRAC tRAH 20 RAS 13 tOACllOE tCAH
ICAs tCHR tDH
tCAC tCRP 17 tAR
tWP tRCS tAA 21 IRAsp lRCH If~L
tRASC tRRH IR~O 22 tACPttCPA 14 tRPC
IRCQ 15 tCP
tCSR IRPC

Tabl- A 5 17 Cro~-r-f-r-nc- b-tw~-n '~t~nd~rd~ DRAM
param~t-r n~m-~ ~nd timing par~m-t-r numb-r~

SECTION A.6 Microprocessor interface (MPI) A standard byte wide microprocessor interface (MPI) is used on all chips in the video decoder chip-set. However, one of ordinary skill in the art will appreciate that microprocessor interfaces of other widths may also be used.
The MPI operates synchronously to various decoder chip clocks.
A.6.1 MPI sign-ls Input I
Signai Name r--, i. .
OUtDUt enaOle(1 0I Incut Two active low chip en Di-s ~oln musl De low to enaDle accesses via the MPI
~w Inpu~ High indicates thal a device ~shes to r~ad values ~rom the video cnip Thrs signai Shouid be staDI- wtule the !~iC is enabl d arCor~n OI Inoul ACCt ss sp ofi-s one ot 2" locUIons in ~ne cni~ s m-mor~r maD
~his signal should D~ St~bl~ vrhile Ihe c `~C is enaDled rtat~[7 0] Outcut ~ bit ~de cata 1/0 port rneSe C~ns ar- n,gn c 1 ~ it ~ith-r ~naDI~ 5j9n~1 is hiS~
jrq OUtPUt An actJve Iow op-n coll ctor interruct reque~st signai.
Table A.6.1 MPI int-rfac- signals 21~5423 A.6.2 MPI el-ctrical sip-cificationsi Sym~ol Para~m-ler Min Max Unlts V~O Supply vdtag- r-l~tive to GND -O S 6 5 V
V,~ Inoutvonageon any pm GND O S VOO O 5 V
, ~ Operanng temperature 40 ~ôS 'C
s S~orage ~emperature S; ~lSO C

Table A.6.2 Abtolute MaYimu~ R~tings' Symt~ol P~run-tet Min M~x Umts V~C SuPPlY voit~g~ r~l~trr~ to GNO '~,~5 525 V
GNO Groun~t O O V
V ~ Input logic ~1' voitage 2 0 VOO . O S V ' Vl~ Input logic 'O' vdt~ge GNO - O S O S V 1-1 ~~ Operuing t mp r~ture O 70 C~

Tabl- A.6.3 DC Operating conditionti a. AC input parameters are measured at a 1.4V
s measurement level.
b. with TBA linear ft/min transverse airflow.

2145~23 Symool Futuncl-t Min MUt Units VOL OUtPUt l091C C volt~g-- 0 4 V
Vo x Op-n coll ctor outDut loglc '0' 0 4 V
volta9~
OUtDUt l091C '1' v0114g- 2 4 V
lo OutDulcurr nl ~ lX
cOc Op n coll ctoroutDut curr nt 4 0 ~ 0 mA
02 OUtPUt oll ~l- b-lU9- curron~ : 20 ~4 I!N Inputl-~g-curr-nt :10 ~A
1~ RMS ~owor~upplycurrcnt 500 C ~ Inpul ~ 5 5F
CO~'T OUtDUt / IO ~D~ c 5 D' T~bl~ A.6.4 DC El-ctrical ch~ract-ristics a. lo<lo~m~
b. This is the steady state drive capability of the interface. Transient currents may be much greater.
c. When asserted the open collector ~ output pulls down with an impedance of 1oon or less.

2I45~23 `

A.6.2.1 AC characteristics Notes Num. Charact~rlst~ Min. Ma~t Unlt Ena~le low ocnoc 100 ns 26 Enaols hlsh p~riod 50 ¦ns 27 Address or rw sot-uD tO chlp ~naDi~ O ns 28 Addr~ss or r~ hold from chlo cisaDle O ns 29 OutDut turn-on time 20 ns ~oa~ data acesss tim~ 70 ns t~
31 R~ac aata hOld oms S ns 32 Road C~ta turn-oti ~m~ 20 Table A.6.5 ~icroproc-ssor int-rfac- r-ad timing a. The choice, in this example, of ena~le[0]
to start the cycle and enable[1] to end it is arbitrary. These signal are of equal status.
b. The access time is specified for a maximum load of 50 vF on each of the data[7.0].
Larger loads may increase the access time.

Num. Ch~ ,1. Min. Ma~. ¦ Unit Not5s 33 Wnt ~at~ set-uo tlms 15 nS
3~ Wnt~ aat~ lO~ t~mo O ns Table A.6.6 Microproc-ssor int-rfac- writ- timing a. The choice, in this example, of ena~le[0 to start the cycle and enale!l~ to end it is arbitrary. These signal are of equal status.

~ ~145~3 A.6.3 Int-rrupts In accordance with the present invention, "event" is the term used to describe an on-chip condition that a user might want to observe. An event can indicate an error or lt can be informative to the user's software.
There are two single bit registers associated with each interrupt or "event". These are the condition event register and the condition m~sk reqister.
A.6.3.1 condition vent r-gist-r The condition event register is a one bit read/write register whose value is set to one by a condition occurring within the circuit. The register is set to one even if the condition was merely transient and has now gone away. The register is then guaranteed to remain set to one until the user's software resets it (or the entire chip is reset).
The register is set to zero by writing the value one writing zero to the register leaves the register unaltered.
The register must be set to zero by user software before another occurrence of this condition can be observed.
The register will be reset to zero on reset.
A.6.3.2 Condition mn~ r-gi~t-r The condition mask register is one bit read/write register which enables the generation of an interrupt request if the corresponding condition event register(s) is(are) set. If the condition event is already set when 1 30 lS written to the condition mask register, an interrupt request will be issued immediately.
The value 1 enables interrupts.
The register clears to zero on reset.
~nless stated otherwise a block will stop operation 21~5423 after ~e~erating an interrupt request and will re-start operation after either the condition event or the condition mask register is cleared.
A.6.3.3 Event and m~sk bits Event bits and mask bits are always grouped into corresponding bit positions in consecutive bytes in the memory map (see Table A.9.6 and Table A.17.6). This allows interrupt service software to use the value read from the mask registers as a mask for the value in the event registers to identify which event generated the interrupt.
A.6.3.4 T~e chip event and m~k Each chip has a single "global" event bit that summarizes the event activity on the chip. The chip event register presents the OR of all the on-chip events that have 1 in their mask bit.
A 1 in the chip mask bit allows the chip to generate interrupts. A 0 in the chip mask bit prevents any on-chip events from generating interrupt requests.
Writing l to 0 to the chip event has no effect. It will only clear when all the events (enabled by a l in their mask bit) have been cleared.
A.6.3.5 Th- irq signal The irq signal is asserted if both the chip event bit and the chip event mask are set.
2~ The irq signal is an active low, "open collector" output which requires an off-chip pull-up resistor. When active the irq output is pulled down by an impedance of loon or less.
I will be appreciated that pull-up resistor of approximately 4kn should be suitable for most applications.
A.6.4 Accessing r-gister~
A.6.4.1 Stopping circuits to n~ble acc-ss In the present invention, most registers can only - 214~423 modified if th- block with which they are Associated is stopped. Therefore, groups of registers will normally be associated with an ~ccess register.
The value O in an access register indicates that the group of registers a6sociated with that access register should not be modified. Writing 1 to an access register requests that a block be stopped. However, the block may not stop immediately and block's access register will hold the value O until it is stopped.
Accordingly, user software should wait (after writing 1 to request access) until 1 is read from the access register. If the user writes a value to a configuration register while its access register is set to 0, the results are undefined.
A.6.~.2 Rogi~t~r- holding intqg~r-The least significant bit of any byte in the memory mapis that associated with the signal data[O].
Registers that hold integers values greater than 8 bits are split over either 2 or 4 consecutive byte locations in the memory map. The byte ordering is "big endian" as shown in Figure 55. However, no assumptions are made about the order in which bytes are written into multi-byte registers.
Unused bits in the memory map will return a O when read except for unused bits in registers holding signed integers. In this case, the most significant bit of the register will be sign extended. For example, a 12 bit signed register will be sign extended to fill a 16 bit memory map location (two bytes). A 16 bit memory map location holding a 12 bit unsigned integer will return a O
from its most significant bits.
A.6.~.3 ~yholod address location~
In the present invention, certain less fre~uently accessed memory map locations have been placed behind 21~54~

"keyhol~ ~. A "keyhole" has two registers associated with it, a keyhole address register and a keyhole data register.
The keyhole address specifies a location within an extended address space. A read or a write operation to the keyhole data register accesses the location specified by the keyhole address register.
After accessing a keyhole data register the associated keyhole address register increments. Random access within the extended address space is only possible by writing a new value to the keyhole address register for each access.
A chip in accordance with the present invention, may have more than one "keyholed" memory map. There is no interaction between the different keyholes.
A.6.5 Sp~ci~l regi~ters A.6.5.1 Unus~d r~gistarQ
Registers or bits described as "not used" are locations in the memory map that have not been used in the current implementation of the device. In general, the value O can be read from these locations. Writing O to these locations will have no effect.
As will be appreciated by one of ordinary skill in the art, in order to maintain compatibility with future variants of these products, it is recommended that the user's software should not depend upon values read from the unused locations. Similarly, when configuring the device, these locations should either be avoided or set to the value 0.
A.6.5.2 R~s~rv-d r~gist~rs Similarly, registers or bits described as "reserved" in the present invention have un-documented effects on the behavior of the device and should not be accessed.
A.6.5.3 ~ese registers Furthermore, registers or bits described as ~test registers" control various aspects of the devlce's -testability. Therefore, these registers have no application in the normal use of the devices and need not be accessed by normal device configuration and control software.

21~5423 SECIION A.7 Clocks In accordance with the present inventions, many different clocks can be identified in the video decoder system. Examples of clocks are illustrated in Figure 56.
As data passes between different clock regimes within the video decoder chip-set, it is reQynchronized (on-chip) to each new clock. In the present invention, the maximum frequency of any input clock is 30 ~ . However, one of ordinary skill in the art will appreciate that other frequencies, including those greater than 30MHz, may also be used. On each chip, the microprocessor interface (MPI) operates asynchronously to the chip clocks. In addition, the Image Formatter can generate a low frequency audio clock which is synchronous to the decoded video's picture rate. Accordingly, this clock can be used to provide audiotvideo synchronization.
A.7.1 8p~itial D~codor clock ~ignal~
The Spatial Decoder has two different (and potentially asynchronous) clock inputs:
Input /
Signal Narne Descnp~ion Output coded_clock Input rhls c!oc~ controls cata ;rans'er in to '~.e codeC cata port ot the Ssatial Decoder.
On chip this clock controls tne processing of the codeC Cata until it reaches the coded data ~uiter.
aecoder-clock Input rhe oecoCer ciock controis the rr,alonty ol ~.e processing lunctions cn the Spa;lal Decocer.
rhe Cecoder clock aiso controls the transler oi dala out oi .he Spatial Decoder through Its OUtDUt port.
Tabl- A.7.1 ~patial D-cod-r cloc~s A.7.2 T~mporal D-eoder clock signals The Temporal Decoder has only one clock input:

Input /
Signal Name ~ ,p~(, OutplJt ~hcoc- _clocl~ ~nDU~ ~h- ~ coCer c~ock con~rols aJI ot tna processlng lunc~ions on the T mooral D coder ~c C coder clock aso controls transfer ol data In lo t~ Tempor~ D co~-r tnrougn iU input port anC out vi~ itS OUtpUt pOrt.

T~bl~ A.7.2 T~mpor~l Decod~r clock~

A.~.3 El-ctrical ~pecification~

30 Mhz Num Cnua ,,I,C Un,l Nole Min M~
35 Clock p riod 33 n5 36 Clock high period 13 ns 3~ Clock low p nod 13 ns Ta~le A.7.3 ~nput cloc~ r-quirom-nts - 2145~23 Symbol Pu~meter Min. Ma~. Unlts V!~InDu~ logic '1' volt~go 3.6J V~ 0 5 V
V~,InDut logic 'O' voltag- GND ~ O.S l.43 V
lozInDut lo-k~g~ curr~nt ~10 ILA

Tabl~ A.7.~ Clock input conditions A.7.3.1 CMOS l~v~19 The clock input signals are CMOS inputs. V~Hm~ is approx. 70% of Vl)D and VI~NZ is approx. 30% of VDD. The values shown in Table A.7.4 are those for VIH and VL at their respective worst case VDD. VDD=5 . + . 25V.
A.7.3.2 8t~bility of clocks In the present invention, clocks used to drive the DRAM
interface and the chip-to-chip interfaces are derived from the input clock signals. The timing specifications for these interfaces assume that the input clock timing is stable to within + 100 ps.

- 21~5~23 SECT10~ A.8 JTAG
As circuit boards become more densely populated, it is increasingly difficult to verify the connections between components by traditional means, such as in-circuit testing using a bed-of-nails approach. In an attempt to resolve the access problem and standardize on a methodology, the Joint Test Action Group (JTAG) was formed. The work of this group culminated in the "Standard Test Access Port and Boundary Scan Architecture", now adopted by the IEEE as standard 1149.1. The Spatial Decoder and Temporal Decoder comply with this standard.
The standard utilizes a boundary scan chain which serially connects each digital signal pin on the device.
The test circuitry is transparent in normal operation, but in test mode the boundary scan chain allows test patterns to be shifted in, and applied to the pins of the device.
The resultant signals appearing on the circuit board at the inputs to the JTAG device, may be scanned out and checked by relatively simple test equipment. By this means, the inter-component connections can be tested, as can areas of logic on the circuit board.
All JTAG operations are performed via the Test Access Port (TAP), which consists of five pins. The trst (Test Reset) pin resets the JTAG circuitry, to ensure that the device doesn't power-up in test mode. The tck (Test Clock) pin is used to clock serial test patterns into the tdi (Test Data Input) pin, and out of the tdo (Test Data Output) pin. Lastly, the operational mode of the JTAG
circuitry is set by clocking the appropriate sequence of bits into the tms (Test Mode Select) pin.
The JIAG standard is extensible to provide for additional features at the discretion of the chip manufacturer. On the Spatial Decoder and Temporal Decoder, - 2145~23 there are 9 user instructions, including three JTAG
mandatory instructions. The extra instructions allow a degree of internal device testing to be performed, and provide additional external test flexibility. For example, all device outputs may be made to float by a simple JTAG
sequence.
For full details of the facilities available and instructions on how to use the JTAG port, refer to the following JTAG Applications Notes. --a. 8.1 Connection of JTAa plns ~n non-JTaa Systeas Signal Directlon Descnpoon trst lnDut ThiS pin has an intemal pull~up, but mus; t~e taken low at power up even it the JTAG lea ures are no~
being used This may be achieved t~y c^nnecDng trst in cornmon with the chiD reset pin rese-tCi Input Thes- pins have internal pull~ups, ar,cl--ay ~e len tms d;~onne_t.d it the JTAG circui'Jy is not ~eing used tck Input This pin does not have a pull-up anC snouid be lleC
to ground it the JTAG circuitry is not used tCo Curput High llllph~dnce e~tcepl Curing JTAG s an operabons Il JTAG is not ~eing useC ~is pin may ~e len dis~onne_l~d T~bl- A.8.1 ~ow to conn-ct JTAG inputs `- 214S423 A.8.2 Level of Conformance to IEEE 11~9.1 A.8.2.1 Rule~
All rules are adhered to, although the following should be noted:

Rut-s D- ~ti~
3 1 1 (b) ~h- t~t Din is ~r~d 3 5 llb~ Guu~nte~ lor ~U putlic insttucDo~s (s-e IEEE 11~9 1 52 1(c)) 5 2 1 (c) Guu nt~ lor ~11 pubtic instructlor~ For som~ V~ U
instnJcDons tho TDO pin mey bo cttve during ~ny ot ttlC
st W C~Dtur~DR~ E~it1-DR E~il-2-DR ~ P~us~DR
5 3 1(~) Pow r On-r~#t is ~i vod by us- ol t~ ~i pm 62 1 (-.n A co~ lor ~- SYPASS in trucoon is looC d in ol- T st Loglc Reset sUte 7 1 1(~) Un-alloc~lea instruction coo~ are e~uivLent to 3YPASS
72 1 (c) T~lere is no o~lc- ID reti5#~

Tabl- A.8.2 JTAG Rul-s 21~23 ~u~es i~ ,~t~o~
7 9 1 (tl) single~sleD oDeraaon reculres ert-mal conuol ol ~e sYs~em clock 7 9 1 ( ) There Is no RUNEilST fac~lity 7 11 1 ( ~) Thare is no 10COiDE insttuctlon 7 12 1 ( ) Th-re is no i~Sc~CODE instrucaon e l l (~) There is no devee i~ 1~ n regisUt 8 2 1 (c) Guuanleea ?or all ouùlic insttuctions Th- apCarent !ength ol the 3ath Irom tai to tao may change una-r certaln circumstances while Drivate insuucuon coaes ar~ Ioaehd e 3 1 (a i) Guaranteea ~or all puiolic insttuctions 0Ua may De loaoed at ~irn-s o~hH tnan on the nsing eoige o~ tck Whii- ~nvate insttuctions codes u- load d 10 4 1 (e) During INTEST~ ti~ syst-m cioci~ Dm mtJst ~e con~ollea e~amally 10 6 1 (c) Dunng INTEsT~ outDut pins ate conitolled ~y aua s~ltt-d in via tdl Tabl- A . 8 . 2 JTAG RUl-~i A. 8 . 2 . 2 R-col~-nt~tion~
n ~ , C
32 1(~) tr k is a ~ uno~ CMOS input 3 3 t (c) tJn~ h S a hlgh ~C ~ ~. 3ull uD
3 6 1 (d) (~Ppli~ to us~ ol chip~
3 7 1(~) (APoli-s to us- ol Chip) 6 1 1(-) The SAMpLEl~RELoAo instructlon coC- IS loaeee curmg caDture-lR
7 2 1 (i~) Th- INTEST instnucaon Is sup30tt-a 7 7 1(9) Zuos are loaaea at system o~tput Dlns aunng E%~-ST
7.7.2(h~ All SyS~er!l out3uts may ~e se~ high-imo aance~
, 3, (n z,roS are ioaeea at sys em in3ul 3Ins r~tunnS IN ~
3 t 1 (~ e) Deslgn s~ecl~lc !esl eata r~gist-rs are nol ~USIlC y ac:e C e Table A.8.3 Recommenciations met - 2145~23 R n ~
10 4 ~(~ Durirg EXTEST ~ ~ ~n into tSIo t~p lOglC ttom ttla s~m cloc)l ph t5 th t ~d ~ mal~

Tabl- A. 8 . ~ Reco~-nd~tion~ not iaple~ente~d A. 8 . 2 . 3 P-rmi~ion~

D `i 3 2 l(c) Gu r~nted ~or all puDlic i~tl~eti~s 6 l l (l) T~ instrucDon ~sg~t r is not u~d lo c pture doslgn SD onc i.\ ~ ", - ~
7 2 1(9) S~r I ~CdibonL DUt~lic r ~n re proviCe~
~ 3 1 (a) S v r l Drr~at in~rue~on co~ u alloc~tad 7 3 l (c~ ~Rulo?) 5uetl i~trucDons cod s u Cocum nt C
7 ~ ) AdCition~l cod s psttottn id<ntlcutv to 9YP~SS
10 1 1(1) E-ch outWt pin n s its o~n 3 sUto control 10 3 1(~) ~ DU-Ihl IttC!l 8 Drtr~d-c 10 3 l (i j) Dunng EXTi ST input pin~ ue ct~nttoll-d by t~ata snittec In v~a tal.
10 6 t (C e~ 3 sUte c-lls ~re nol totc-d in~cDve in t~o T st Loglc R~sel st~t~

T~bl~ A. 8 . 5 P-rmi~t~ion~ m-t 2145~23 SECTl~ A.9 Spatial Decoder 30 MH7 operation Decodes MPEG, JPEG & H.261 Coded data rates to 25 Mb/s Video data rates to 21 MB/s Flexible chroma sampling formats Full JPEG baseline decoding Glue-less DRAM interface Single +5V supply 208 pin PQFP package Max. power dissipation 2.5W
Independent coded data and decoder clocks Uses standard page mode DRAM
The Spatial Decoder is a configurable VLSI decoder chip for use in a variety of JPEG, MPEG and H.261 picture and video decoding applications.
In a minimum configuration, with no off-chip DRAM, the Spatial Decoder is a single chip, high speed JPEG decoder.
Adding DRAM allows the Spatial Decoder to decode JPEG
encoded video pictures. 720x480, 30Hz, 4:2:2 "JPEG video"
can be decoded in real-time.
With the Temporal Decoder Temporal Decoder the Spatial Decoder can be used to decode H.261 and MPEG (as well as JPEG). 704x480, 30Hz, 4:2:0 MPEG video can be decoded.
Again, the above values are merely illustrative, by way of example and not necessarily by way of limitation, of typical values for one embodiment in accordance with the present invention. Accordingly, those of ordinary skill in the art will appreciate that other values and/or ranges may be used.

214~423 A. 9 .1 Spatial D~cod-r Signals Signæ ~ UO Pin Nurnt~ r C _t codert_cloclt 1 162 Coded 0-1- Po~ Uxd to suPoly coaed-c~ 7 Ol I 172 171 169 16a 167 166 164 code~d d~U or Toi~en~s to ~e Sp tlul 163 Ood~c coaec_enn 1 174 Se- #cttons A l O 1 ard cod-d_v~lid 1 162 coC~ ccept O 161 A 4 1 oyte_moCe I 176 en-ol-ll 0] 1 126 127 b~icro Proc- sor Inl rbce (MPI) w 1 125 ~do ~6 0] 1 136 135 133 132 131 130 128 C~t~70] 0 1U ~51 149 147 145 143 141 S- ~c~on~61 r~ O 154 DRAM_ct~U~31 0] UO 15 17 19 20 22 2S 27 30 31 ORAbllnt rt c~
33 35 38 39 42 44 4~ 49 57 59,61,63 66 68 70,~2 ~4,76 S - se0On ~52 79 91 ~3 ~ ~5 DRAM_~rtdr~10 01 0 1U 186 lU 189 192 193 195 ~3 0] O 2 4 6 8 ;~E o 12 Zi~ 0 20 DRAbt_enaol- I 112 ou~_C~U~ 01 88 ~9 90 92 93 9~ 95 97 9~ Ou~n Po -out_enn O 87 S-e J ction ~4 1 cut_valid O 99 ou~ ~c~pt 1 100 JTA5 pa~

9ee SeCDon ~-~ O 120 :--5 1 117 .~
! 1 121 Ta~le A 9 1 Spalial Decoder signals - 2145g23 S;gnal N~ ~ Pin Nurnt~er Dect ~ption d cr~r_clock 1 177 ~he main Cecooer cloc~ S~ SecDon rffet 1160 ReseD
Table A.9.1 Spatial Decoder signals (contd) Signal Nuna VO Ptn NunL C, ;~Jtlon tphOish I 1~ l~ov rtid 1th ntphO;sh~ndtph1ishut tph1ith 1 123 inpUls lor th on chip Iwo Dh~ clock ov rrid 1 110 For nomul openoon s t overrid- O
tphOhh nd tph 1 ish are ignored (so conn cl to CND or VOO~
cniptnt 1 111 S<t cnipt~St ~ O lor normaJ operUion t~oop 1 114 Cono ct to GND or VOO duing normal op ntion ramtfft 1 109 l~ nmtfft 1 test ol th- on-chp RAMs is en~d S-t nmtfft O lor normat opennon pll~ct 1 17~ ll pll~t a . O ~h~ on-chlp ph~e loc)tr C
loops ua dis Cl d S-l pll#ba ~ 1 lor nom~al oDerauon ti I 100 Two cbcks r quir~ Dy tle DRAM imerlace q 1 179 during te5t openbon.

Connect to GND ct VDo Culng normal openDon poout 0 207 Tha r~o pins ~re ~ o ~ lor an 2Cin I 206 esurra filter lot tne ~h~ce loc~ loop Tab~e A.9.2 Spatial Decoder Test signals Slgnal N~rr~ _P~l Signal Name ~in Signal Nam- Pin Signal N~m~ P
nc zoa nc 156 nc 104 nc 52 les ~In 207 nc 155 nc 103 nc S ' ;es ln 206 ~ 154 nc '02 nc 50 GNo 205 nc 1SJ V~O 101 DRAM_Cata~151 ¦43 OE 204 data~7~ 152 out_acccpt 100 nc 14 ORAM_adCr(0]203 d~t~(6] 151 out_v~lid 99 DRAM-cau(l6l 14~
VW 202 nc 150 out_data[01 98 nc 46 nc 201 daU(Sl 149 out_data~11 97 GNO ¦4s ORAM-adcr[ll 200 nc 1413 GNO 96 DRAM_C~ta[17~ !4 DRAM_aadrt21199 d-t-l41 147 out_dU~[2] 95 nc 43 GNO 1g8 GNo 146 out_C~t~t3] 94 OP~AM_d~U~181 2 ORAM_addr~31197 dat~3l 145 out_dat l4l 93 VDD 41 nc 196 nc 144 ~ t~ (S] 92 nc 40 DRAM_acdr~4~l9S d-tat2] '43 VDD 91 oRAM-~atal19~ 39 VDD 194 nc 142 out_data(6] 90 DFlAM-datal2ol 38 DRAM_~ddr[S]193 d 1-~1] 141 Out_d l~17] 89 nc 37 oRAM-addrt6l 192 dUa(0¦ 140 otn_d U(Ol 88 GNO 36 nc 191 t c 139 out_s~tn 87 oRAM-datal2ll 35 GhO 190 VOO t38 GNO 86 nc 34 ORAM-~cdrr7] le9 nc 137 MAM-d~t 10] 85 DRAM-t ~U(22~ 3 DQAM_acdr(8]188 ~r(61 136 DRAM_d~(1¦ 84 VDO ;2 VOD 187 addrtSl 13S DR~M_dau(2] t3 ORAM eat~(23l 31 ORAM-aodri9l 186 GND 134 VOO 82 DRAM_da~a~24] 30 nc 18S ~a~tt4] 133 ORAM_d-t t31 81 nc 29 OPAM_~ddr~l01 184 addrt3] 132 nc 80 GNO 28 GNO 183 ~ddr[2] 131 oRAM_t5 U~4] '9 DRAM_e~ul251 27 coda-c!ock 182 ~ddtt1l 130 GND 78 nc 26 VOo 181 VOD 129 nc 77 ORAM_data(261 25 le5 ~ln 180 addr~0] 128 DRAM_daUtS] 76 nc 2 les ~In 179 en~D~01 127 nc 75 VOD 23 tesl ~In 178 enaolcj11126 DRAM_~U[61 7~ DRAM_eata(271 !22 eecocer_:~ocx 177 r~ 125 VOD 73 nc 2 ~e_~oce 176 GND t24 ORAM Cata(7~ 72 DRAM_ea a~251 2~
GNO 1175 le5 ~m 123 nc 71 ORAM Ca a~29~ S
caed-e~n ll74 t slDIn 122 DRAM_dau(81 ~0 GNO
Table A.s.3 Spatial Decode~ Pin Assignments 214~23 S~l N~rne hn Sigr~l N~ Pin S~na~ Na~e ~ ~ S~nal Name Pln nc 206 nc 156 nc l G~ nc 52 lest pin 207 nc 155 nc l 03 nc 51 test Din 206 uq 154 nc 102 nc 50 GNO 205 K 1S3 VDO 101 DRAM_~U(15j 49 OE 20~ d~ta(7¦152 ou~_acce~t 100 nc 48 OF~ht ~d~r[0l 203 d~t~(61 151 ou~_valid 99 DF~AM_Cau(16j 47 VOO 202 nc 150 out_data[0] 58 nc 46 nc 201 d U(5]149 out_Cat~[l] 9? GNO 45 DR~ dd 11~ 200 nc 14~ GND 96 OAAM_0at t171 44 DFW~_ ~Cr~21 1g9d t [41 147 out_daUt2¦ 95 nc 43 GNo 198 GNO 146 out_d~Ut3¦ 94 OFUM_d t~[l91 42 DFUM_addr[3l 197d~t~t31 1 5 out_d~U~4] 93 VDD 4 ~
nc 196 ~ 4 out_C U[5] 92 K 40 DF~ht_ dd~(41 195 d~t l21 143 VOO 91 DFUM_d~t~19] 39 VOD 19J nc 142 out_daUtcl 90 DRAM_d~20~ 38 OFl~ ddr~Sl 193 d~l1] 141 out_d t~ 89 nc 37 DF~t_~d~rl6¦ 192 d~pl 1~0 out_d~U~8¦ 88 GNO 36 nc 191 nc 13S ou~onn 87 ORAM_~U(21l 35 GND 190 VOO 138 GNO 86 nc 34 OFt~ ddr~71 1 U Y 137 DFUM_d~U[0185 ORAM_d~U[221 33 DF~M_~dd t81 188 ~[61 136 DFlAM-dua[1l 54 VOD 32 VDO 187 ~S] 135 OFlAM_d~U[21 83 DRAM_~t~t231 31 DFUhl_~ddrt91 186 GNO t34 VOO 82 DRAM_C~tt 124¦ 30 nlc 135 ~ 133 ORAM_dau(3]81 nc 29 DF~_adcrt101 184 ~31 132 nc 80 GNo 28 GNO 183 U1~2¦ 131 DFUM_C~tat~l 79 DF~AM_C~ut25] 27 coded_clock 182 addr[1] 130 GND 78 nc 26 VDD 1g1 VDO 129 nc 77DFtAM_datat261 25 t tpin 150 U~Ol 129 DFlAM_data~S~ 76 nc 2~
test pin 179 n~pl 127 nc 75 VDD 23 t stpin 178 ~1~ 126 OR~A_d~tta[61 74 O~AM_~t~t27~ 22 ae~er_ctrcl~ 177 rw 125 VDO 73 nc 21 b~te_mode ~76 GND 124 DR~M Catal71 72 DRAM_~ta~29~ 25 GND 175 ~e5t pin 123 nc 71 ! ,,~M_~:al291 ~
coa~d-estn 174 t stpin 122 DRAM_aatala~ 70 1 5r l'ab~e A.9.3 Spatial Decoder Pin Assisnments 21~q~3 S~gnal N~ tn S~l N~ ~in Sign~l Nur~ ~n S~grlal N~mc P"~
~c 173 ~st 121 GN0 69 DPAM~ (3G1 17 cxee_Cu~71172 tCo 120 OR~ t [91 68 nc 16 coeeo_~al~[61 171 nc 1~9 ~ 67 OR~M_C~u(3ll 15 vOO 1~0 VOO 11a OR~M_CU~(10l 66 ~'00 14 :oeeo ~l~(sl 169 Ims 117 V00 65 nc l3 coe C_CU~[~I 1U tdi 116 nc 64 WE 12 c~Ceo_~ta(31 16~ tct~ 115 O~M_C~t llll 63 ~ 11 coCeC_C~t~(21 166 t~stpin 11- rc 62 nc 10 GND 16S GN0 113 0~41~ 121 61 GND 9 cwa-o~;~(ll16J, OR~_~b 112 GN0 60 ~ 01 8 coeeo_C-I~[01 163 t~t pin 111 oR~4-a~l~(13l 59 nc coCe~_valiC 162 tt~h 110 rc 5~ ~ll 6 c~C~d_ cceo~ 161 I ~tpi~ 109 0R~ 141 5~ vO0 5 resel 16C nc 10~ VDO j6 ~;21 4 vOO 159 r~ 10~ ne 55 rlc 3 nc 158 r,c 106 nc 5~ ~;31 2 nc 157 nc 1 OS nc 53 nc ~abl- A.9.3 Spatial Decoder Pin Assignments (contd) A.9.1.~ "nc" no connect pins The pins labeled nc in Table A.9.3 are not currently used these pins should be left unconnected.
A.9.1.2 V~ and GND pin~
As will be appreciated by one of ordinary skill in the art, all the Vl,l, and GND pins provided should be connected to the appropriate power supply. Correct device operati~n 21~5423 cannot be ensured unless all the V~ and GND pins are correctly used.
A.9.1.3 Te t pin conn-ctions for normal operation .~ine pins on the Spatial Decoder are reserved for internal test use.

Pin nu~r Conntlon Conn cl to GNO bl nor~ul ooerauon C~ct to V~O tor normal Wer~Uon L~e ODen C-rc~ lor rnorrnal ooera~lo Table A.9.~ D-fault te~t pin conn-ctions A.9.1.~ JTAG pins for normal op-ration See section A.8.1.

A. 9 . 2 Spatial D-cod-r memory ~ap Addr. (h~s) Rqi~t N~m~ S~ t~ls OsOO .... Os03 Inl~mup~sor~ r ~ ~.9.6 Os04 .... Os07 In~utek~itr~gist n ~.9.7 Os08 .... O~OF St~rt eods d bCtOt rsgists Os10 . . . Os1 S ~dhr ~n~ con~d rsgists~s A.9.8 Os16 .... Os1~ Not ~d Os18 ... , o~e3 DA~U ht~ ~ tur t~srs A.9.9 0~2~, ... 0~26 ~ul~ nwu~r ~ srd Icsyhob r~iste-s ~.9.1C
0~27 Not ~d 0 8 ..... Os2F Hullnun d cod r r gis~ A.9. 13 0~30 .... 0~39 In~ ~u nt~r rsgist~rs ~.9.1 Os3~.... 0~3EI No~
Os3C R~d o~o ... 0~3f Not U~d Os~O ... Os7F T st r ~jstsrs Tab~e A.g.5 Ov_. v;e~ ot Spatial ~ecor~er memory map 2145~23 `_ A~C-. 9a g~s~er N~ P~ge ~ rences ~he~) num OsO0 7 c lip_ v nt CED_EVENT_0 6 not us-d S ilbgsl_bngtl~_coun~_ v nt SCo-lLLEG~ LENG7~/-couNr 4 r rvd m y re~ 1 or O
SCo-JpEG-ovERupplNG-s7;~FiT
3 -~C ~F ~g_st n_-v nt Sco-Nov-JpEG-o~ERulpplNG-sTARr 2 ut ~ _st n_ v nt SCO_UNRECOGNlSEO_SrARr stop_dt r_picture_e~nt SCO_STOP_AF7ER_P~CTURE
0 non_~lign d_st n_~nt SCo-Nt~fv-ALlGNEo-sTART
0~01 7 ct~ip_rN k CED_~ASIC_0 6 not u#d S IU pl_bngtt~_count_mssk 4 r~wd ~rit 0 to ~is bc tion SCO_JPEG_Ov~RUPPlNG_Sr~Rr 3 non~p~ _rn#k 2 ~ _~n_tn- k stop_~tJ~lut_tn- k O non_digni_~n_mcsk 0~02 7 Idct_too_l~_~nt loCr OEFF NUM
6 Idet_too_m ny_~nt IDCr SuPER_NUM
S ee pt_ N~h_~nt 8S_STRE~M_ENO_EVENr 4 t rpt_met_ev nt 8S_ r~RGET MET EVENT
3 count r_~ h d_too_~ rty_ ~nt 8S_FLUS~_8EFORE r~RGET_MEr_EVENr 2 eounUr_tlu~h d_ev~nt 8S_FLUS~_EVENT
p~rser_event OE~VX_EVENT
o hut~rr~n_event ~UFF~AN_EVENT
Table A.9.6 Interrupt #rvice area registers - 2 14~423 it Rq~r N~ P~g~ r~ r~r~
(h~ n~T~.
0~3 7 Ida_too_~_mn~t 6 ida_too~ r~_rn~
~ p 4 t~rge~_rn t_m~l~
3 eount r_llu h d_too_e~r~y_m~
2 eount r_tlu~
, 1 p~r_m#lt O ilutl~n_rn 1~

T~bl- A 9 6 ~nt-rrupt ~-rvic- ~r-~ r-gi~t-r~ (cont~) Ador 91t R~gist r N~ Pa~e rd~r-nc~s (he~) num 0~04 ~ co~_~u~
6 n~b_mpl_lnp~n S cod d_~
4 0 nol u~d 0~05 7 0 coded_d~
0~06 7 0 nol u~d 0~07 7 0 not u~d o~oe 7:1 nol u~C
O ~ codo_d~or_~
Iso ~npul_clr~rdt_~
CEO_SCO_~CCESS
O~Og 7 ~, nol u~-d CEO_SCO_CONJ~OL

2 di~rd_ ~n lon_d t~
dl~rd_u- r_d~U
O Ignor-_non_ 1ign d O~OA 7 5 not U#d CEO_SCO_ST~TU5 . in#rt_~u nc~_st~rt 3 disrd_~ll_d~
2 0 st n_cn~_#~rctl Tab~e A.9.7 Ssars code detector and input circuit . ~g.sle, s 2145~23 --~c-. ait R gi~ N~ Fs9- r terences (h-x) num OxOB 7 0 r str 5ps-bngtl_count OxOC ~ O
OxOD 7 2 not o#C
1 0 st~n_code_~ecto~_coCing_~un~rC
OxOE 7~0 sun-nlu OxOF 7 4 not u~
3 0 picture_numi~r Table A.9.~ Stut code d~t _tor ~nd input circuit r~;~ters (contd) AdCr Bit R gist Nsme ~ge referencc5 (\lex) num Ox l O 7 1 nol u~
O surtup_ o~ CEO_8S_ACCESS
ûxll 73 notu~d 2 0 bit_count_p~nc i- CED_BS_PRESCALE
Ox12 7 0 bit_count_~rpt C 0_BS_rARGFT
Ox13 7 0 bit_count CEO_BS_COUNr C-14 71 notus C
O ottchlp_~u u CED_BS_OUEUE
Ox 15 7 1 notu~d 0 en b~_str- m CEO_BS_ENA8LE_NXT_SnU
Tabh A.9.8 8ut~er statl-up regislers `_ ACdr. Bd F~g~ N~me P~ge relerences (h~) num.
O~rl8 t:5 nolus d 4:0 p~ge_~Q_bn9m CED_IT ~At;E_ST~T_LENG~H
0~197:4 nol 3:0 resd_c~ck_1eng~
0~1A7:~ nol us d 3:0 ~nt~_c~1cl _~ga ~abk A.9.9 DRAIA i.~t~ S:e configu ~ c~ ~3 'e ~

2.35 2145g23 AaCt. ~rl Rqist r N~ P~ge relercr~
(hex) num.
Ox1B7:~ nol U#d 3:0 r-~r~n_c~cl-_lengtn OxlC7:4 notuscd 3:0 C~S_hlling Ox1D7:~ notud 1:0 R~S_blling Ox1E7:1 notuud O Int~cc_Umlng_ucc s~
Ox1F?.~ r 1~h_hto~d Ox20 7 no~
6:4 DR~ll,l_~dCr_~ngtnl2:0 3:1 CAS_~w,9~-0]
O RAS_~ng~t21 Ox217 6 R~S_ ~t~:]
5:3 O~WE_~ht2 0]
2 0 M~ l_~b_n~t~ g]
0 2 7 AccE:ss W~ br ~ ~ ~ ?nol r~dCED_OR~_CONFlGUf~E
6 ~ro_hln n S M~.~ll_~Ob , no_~h 3 2 ro~_~ l :O
1.~ MA~_~_~ld~1 :01 Cx237:0 T t ~ CED_PLL~I~S_CONFIG
TableA.9.9 D~A~ t~ configuration(~g;st~.s (contd) Adtr. 5it R-gist~ir N~ P~g~ r~renc~s (~ex) nu~L
Cx2~ 7:1 notu~ d O Dun r_mcn 9--_~CCs Ox25 ~:6 nol u~ed 5:0 bu~ r_r~r~g r_~no~ a~rff~
C~26 7:0 t~u~l~r_~ r~ g~r_~qrnob_r~u Table A.9.10 Butter manager accesS and keyhole registers 214~423 ~r. ~t ~ ~y ~ p~g< r~l~nnc~s (~) ~r OxOQ 7D not 0~01 ~.2 1 0 cdb_b~s-Ox02 ~ 0 0~03 7D
O~tO~, 7:0 rotuS d 0~05 ~ O edb_bngth 0~06 ~.0 0~0~ ~:0 Ox08 7 O nol U#d OxO9 70 cdb_r ~d OxO~ 7D
0~ ~ O~
O~ O not w~d OxOD 7.0 c~b_num~
O~OE 7 0 OxOf ~ O
OxlO 70 notu~ d Oxl1 70 tD_~
Oxl2 ~:0 Ox13 7 0 Ox14 ~:0 ~ ~d Ox1S 7 0 tb_~
Ox16 7 Ox17 7:0 Ox18 7:0 rot ~d 0~197.~ t~_r d 0~ O
0~1570 0~1 C 7.0 ~ol u#d 0~1 D 7:0 t~_num~c~
0~1E7:0 Q~1F70 Tab~e A 9.11 Bu~ter manager e~ended addresS s~ace 2145~23 i~t i~qister N~me P~qe rebr-nccs ~)nunL
Os20 7 0 not u~d Os21 70 butt r_limit 0~27 0 0~23 7 0 0~24 7 4 not u~d 3 CdiO_tUII
2 cdb_cmpty tb_lull O t~_ mpt~y Tab~e A.9.11 Buffer ...- l~ger e~l.ndcd address space (contd) Aodr i3it i~ gi~tcr N~ P~gc rct nc~s (~)num Os29 7 ~ _r I CEO_I~ CrRtt77 6 4 iluttm n_ nor_cod ,2 I CEO_~t_Cr~Lr6:~
3 0 ~t ~n control b~ p] t ct P Ci~
C8i~, t21 ~ 4ni bit fi- d bngth C8P
Or29 7:0 i~-#r_ mr_cod- CED-H-Diuux-ER~
o~ 7 4 notu~ d 3 0 ~mu~_ir~ol-_cddrff~
0~i3 7~ CEO_~ KEYhCLE_~DOR
0~2C 7~0 d mu~ ob_dot~ CEO_H_ltErHOLE
0~ 7 dummy_i~t_pictur- CED_H_ALU_REG0.
r_~_t S~ t 6 r~dd_into CEO_~_ALU_REG0.r_ficld_inh_on 5 1 not u- d O continu CED_~ ALu-REGQr-cononuc-olt 0~E 7 0 rom_nni~on CEO_H_~LU_REGl o~F 7:o p~u r 9~t r Tabk A.9.12 Video demux registers Ar~Cr B/t R gist r N~ p~ge reler nces (hcx) nu~L
Ox2F 7 cED-H-TRAcE-EvENrwn~llosn9e step, r~
wiU ~ re~ en th- St P h~5 ~n r ompbbd 6 C0_H_TRACE_M~SK #l lo on to enter single step mode S CEO_H_rRACE_RSt pUlW r~l ~n s quenced 1,0 O r~ol u~ d Table A . 9 . ~2 Vid-o d-~tuY r-gi~t-r~ ( cont~ ) 214~23 `_ ACCt Bit Registu N~ P~gs rcluc~ s (h~x~ nutn C~OO 7 0 nol used OYOF
Y~O 7 0 llorkJ#I~ r ho~5 OY1 1 7.0 0~12 7:0 V~tt~lS t_v~15 OS13 7:0 OY 1 4 7:2 not u~
1 0 bUn t~ t hd'~cr si~c OY 16 7 ~, nol u~d 3 0 p l_~P et t o~l_~ct OY17 72 rloluS d bit_r t t_bl~t te OY1~ 7:0 OY19 7:0 OY1 A 7:~, ItOI u#d 3-0 pie_nt r ole_t~
0~1B 7 1 nolu#C
O ~ t_co~ t~ ~
Or 1 C 7:0 piCtur _t~
01~ l D 7 0 ~261_pc_t~p-Table A 913 V;deo t~cmux extcnded ddress space (Sheet 1 ot 8) `_ A~Cr. B~
F~ r NYT~- Pag- nl-nnces I (h~X) nurL
! Ox1E7J~ rlotus d 1 0 bro~sn_cto~d Oxl F7:5 rlot uxd 4~ pr dic~on_mode Ox20 ~0 v~v_W~r Ox21 ~o Ox22 7D priv~lC rsgat r MpEG ~uU_pd_~d JPG
p ndin~ ~_ch~
Ox23 ~ O privsta tcgat r ~APEG ~utl_~l_~wd JPEG
r son_ind-x Ox2~ 7 0 privUa rotist r hon2_mO_copy Ox25 70 plc_numb r Ox26 7 1 not us d 1,0 m~_h Ox27 71 not uc d 1 0 mu_v 0~23 70 prilQtot~t rxrUchl O prt t r oistscr~2 Ox2A 7D p~r r gist rscr~3 Ox2B 7 0 ~ItMPEG W d1, H261 h900 0~2C 7 O ~ts r~r ~IPEG ~roup, JPEG h~st_scan Ox20 7 O plinta r pt r tApEG h-Dic~ra Ox2E 7 ~rnmlr_~t~c~ur r ,m_ 6 R~ld_into 5 1 r~ol uxd O co~nu Ox2F 7:0 rom_nvhion Ox30 72 nolus d 1 0 dc_hutt_0 Ox31 7 2 rlot us~d 1 o dc_hu~_1 0~32 7 2 r~ol uxd 1 :0 dc_hun_2 TaD~e A.9.13 Video ~emux extend~d address s~ace (S~leet 2 of 8) 214~23 ._ _ _ _ . _ _ ~dr. Bn _ Rqist r Narn ~ge r~t~er~Ce5 nUm Ox33 7~:2 not u#d 1.0 ~c_~lUtr_3 0~3, 7 2 notus d 1 O ~c_hun_O
Ox~S ?~ nol uoed 1 :0 ~C_I~Uf~
Ox36 7 2 nol u#d 1.0 ~c_lwn_2 0~37 7 2 not t~d 1 0 c_llutr_3 ox38 7 not u#d 1 0 ~ 0 r_t~_O
Ox39 7 2 rto~ l~d 1D to 1 r_t~ 1 0~ 7:2 not U#d 1 o t~_2 r t~ 2 0~39 7 2 not U~d 1 0 tQ3 r t~_3 Or3C 7 0 . ~ _r~_O t_c_O
0~3D 7.0 ; r J- _nJme_1 r_c_1 0~3E 7:0 : r t_n-n- _2 r_c_2 Ox3F 7:0 : , ~r t_n _3 r_c_3 Ox40 70 pr~t ~ t rs 0~63 O~J,O 70 r_dc_cr d_O
Ox~t 7.0 Ox42 7 r_tlc_D~ d_1 Ox~3 7 0 Ox~ 7:0 r_oc_or d_2 O~tS 7.0 0~6 7 O r_oc_Dr~_3 01~7 7:0 0~ 7:0 r~ot use~
0~4F
Tab~e A.9.13 Vit*o demux e~tended address space (Sheet 3 of 8) _ 21~5423 AdCr Ba ~e9~t r Nur~ P~r;- relerences (he~) nu~
0~50 7.0 r_pr-v_mht OxS 1 ~ .0 OXS2 ~ O ~ V_ OxS3 7 0 OxS4 7 0 r_prcv_mhO
OxS; 7 0 OxS6 7 0 r_Drov_mvo 0~57 7:0 OXS8 70 notus d OXSF
0~60 7.0 r_nOrj~ mOCnt 0~6 ~ 7.0 OX62 70 r_v n_mCcnt 0~6~ 7:0 ~0~ r_hok_mbs O~j6 70 v rt_ ~t' ~ t_vort_lrbS
0~67 7:0 0~68 7O p~l r gia rr_r~t~n_cnt 0~69 7:0 0~6A 7.0 resun_lnt~l r_r stut_int OsSB 7 0 0~6C 7.0 pnv~h regrst r r_Dlk_n_cnt 0~60 7 0 priv~U rqist r r_Olk_v_cnt 0~6E 7 0 pr~U rqist r r_co~
0~6F 7 O ~ C ~ I_id r_m~_compid 0~70 70 codinQ~nr~r~ r_co~in std 0~71 7 0 priv~U r~r r_p~n m 0~72 7 0 pr~t r gi t r r_twd_r_si2-0173 7 0 D~t rqist r r_D~d_r_si2e 0~74 7 0 nol u#d ~7 ^~7~ 72 nol use~
1 o ~Oc~ n_0 r_~k_h_O

Ta~e A.9.13 Video demux extended address space (Shee~ 4 ot 8 2145~23 ~OCr. ~a ~ Rcg6ter N~ Page relerences (h~X) nun~L
Ox79 7 2 nol used 1:0 olocl~s_h_l r_blk_h_l Os7A 7.2 nolus d 1.0 bloclu_h 2 r_Dlk_h_2 Ox7B 7 ~ nol u#d 1.0 bloc~_h_3 r_blk_h_3 Os7C 72 no~us d 1.0 blocl~_v_0 r-blk-v-o Ox7D 7~ nolus d 1:0 blocl~_v_1 r_blk_v_l 0~7E 7 2 not us d 1 0 Olocl~s_v_2 r_blk_v_2 Ox7F 7 2 nolus d 1:0 bloclu_v_3 r_blk_v_3 Os7F 7:0 nol i Oxf F
Ox100 7.0 Cc_~it~_0[1S:01 CED_H_KEY_C~C_CP50 Ox10F
OX110 7:0 oc-~iu-l[ls:olcED-ll-lcEr-Dc-cpB1 Ox11F
0~120 7.0 not us-d 0~13~
Osl40 7.0 xc_bils_0[15:0] CED-H-KE`t-Ac-cpBo 0~14F
O~tSO 7 0 ~Ic_~ lS:Ol CEO_H_KEY_~C_CPB1 Oxl SF
0~160 7:0 nol u#d 0~17F

C~l~O ~:0 rJC_2sSss_O CED-H-KEy-zssss-lNoExo O~lel 7:0 oc_2ssss_1 CED_H_KEY_ZSSSS_IN
~18~ 7:0 nol usec OY1 â8 7:0 ~c_eoc_O CED_~_KEV_EO~_INDEXO
TaDle A.9.13 Videa demux extendet address space (Sheet S ot 8) 21~5~23 AoC~, B~
R-g~ler~m~ P~ reterences ~-(h~X) num.
Ox189 7-0 ~c_~oO_1 CEo-H-KEy-Eoc-lNoEx1 0x1BA 70 not ~ d 0x198 0x1eC 7:0 ec_~rl_0CED_H_KEY_ZRL_1~0EX0 ox 1 eo 7.0 ~c-2rl-tcED-H-KEy-zRL-l~DE
0x1eE 7:0 no~d 0x1FF
0x200 7.-o ec_hu~vsl_0(161:0]CED_H_KEY_AC_~00_0 0x2AF
0x280 70 rJc-hun~ql-o~11:o]cED-H-KEy-oc-lToD-o 0x2BF
0x2C0 7:0 rlol~
0~FF
0x300 7.0 sc_hunv~l_l[161:0]CED_H_KEY_AC_lTO0_ 0x3B0 70 ~c_hr~ql_1[11:0]CED_H_KEY_DC_ITO0_1 0x38F
0x3C0 7g r~lu~
0x7FF
0~900 ~.0 pn~t~ r g~us Ox~C
F

0~00 7~ CE0_KEY_TCOEFF_CP8 0x80F
0x810 70 CE0_KEY_C9P_CP9 O~e1F
0xt20 7:0 CED_KEY_~8~_CP8 0x82F
0x830 7:0 CE0_KEY_MVD_CPB
O~e3F
o~s~o 7:0 CED_KEY_~TYPE_I_CPB
O~e~F
Tab~e A.9.13 Video demux extended address spaee (Sheet 6 ot 8) - 2145q23 A~cr. 3a Rbqr~ N~m~ P~r~l~r~r~
~) nun~
0~850 7:0 CEO_KEY_ ~ YPE_P_CP9 0~85F
0~860 7:0 CED_KY_MTYPE_8_CPB
O~B6F
O~B70 7:0 CEo-KEy-MTypE-H261-cp8 O~BBF
OYBBO 7.0 nolus~d 0~900 Or901 7:0 CEO_KY_HOST~OM_O
0~02 7:0 CED_KEY_HOsTROM_1 0~03 7:0 CEO_KEY_HOSTROM_2 O~OF
0~10 70 no~

F

O~AC 7:0 CED_KEY_O~X_WORO_O
o O~AC 7.0 CED_KEY_3M%_WORO_1 O~AC ~0 CED_KEY_OMX_WO~D_2 O~AC 7:0 CED_KEY_DMX_WOPID_3 OxAC 7:0 CED_KEY_OMX_WOP10_4 O~C 7:0 CEO_KEY_DMX_WOFID_5 O~C 7:0 CED_KEY_DMX_WORD_6 O~AC 7:0 CED KEY_DMX_wO~D_7 Table A.9.13 V~o~eo demuY e~ended address space (Sheet 7 ot 8) 2145~23 ~oCr. Brt ;~, Register N;rr~ Pase relerences (h~) num.
0~C 7:0 CED_KEY_DM%_WORO_S

O AC 7:0 CED_KEY_OMX_WORO 9 0xAC 7 0 nol us~
A

OxAC

B

0xAC 7:0 CEO_KEY_DMX_AlNcR
C

O~AC 7:0 0xAC 7.0 CED_IOEY_DMX_CC

E
OxAC 7:0 F

Table A.9.13 Video demux cxb2n1 ! d address space (Sheet 8 o~ 8J

A~r. ait Rqist r Nune Page relerer~ce5 (llex) nu~L
7 1 nol u#o 0~30 7:1 not us~
O i~_~CC~
0x31 7:2 notus d 1 0 I~_coding_st~nCsrC
0~32 ?:5 nol us~
4:0 testr gisl riQ~cab 0x33 7 " nol u#d 1.0 Iest re~isle~ i4_c~ .,t 0~34 7 ~ nol usa 1:0 lest re~ster inver*e_4u~ntiser_pre~iclion_mOae 0~357:0 l~s(regrsterlpe~ in~ on Ta~le A.s.14 Inverse quantiser regislers AoCr ~n Reglsl r Narn- Pa5e elerences (h-~) num 0~36 7 2 nol useC
1 0 last reSIs U mpeg_ nCi ._~ion 0~3? 7 0 not used 0~32 ? 0 io t~able_~eyhole_~CCr-ss 0~39 7 0 lo t~tbl~ yhol~_C4U

T~bl- A. 9 .1~. Inv-r~- gu~ntiz-r r-gi~t-r- (cont~) AdCr Regisl-r Nune Pa5e re~-rences t) O~OO Or3F JPEG Invene cu~ntlsatJon taD~e 0 MpEG C-~ault inUa ~able Ox40 0~7F JPEG Inverse quanosanon UDle 1 MPEG da~ault non-lntra Uble 0~80 0~3F JPEG Inver5e quamlsanon UDle 2 MPEG Co rn !C - ~e ~ inDr~ t ble O~CO O~FF JPEG nverse quanDsaDon Ubl- 3 MpEG rtown-loaCeo non innra Uble Tabl~ A. 9 .15 Iq tabl- ext~nded ~re~ pace _ 2145~23 SECTlOr`I A.10 Coded data input The system in accordance with the present invention, must know what video standard is being input for processing. Thereafter, the system can accept either pre-existing Tokens or raw byte data which is then placed intoTokens by the Start Code Detector.
Consequently, coded data and configuration Tokens can be supplied to the Spatial Decoder via two routes:
The coded data input port The microprocessor interface (MPI) The choice over which route(s) to use will depend upon the application and system environment. For example, at low data rates it might be possible to use a single microprocessor to both control the decoder chip-set and to do the system bitstream de-multiplexing. In this case, it may be possible to do the coded data input via the MPI.
Alternatively, a high coded data rate might require that coded data be supplied via the coded data port.
In some applications it may be appropriate to employee a mixture of MPI and coded data port input.

21gt5~23 A. lo. ~ ~he coded data port Inout /
Signu Name C~
OutPut codoo_clocl~ Inpul A Chck op nong U UD to 30 MH2 controlling ~he op ntJon oi th- input circuit cOd-~_C~ta(~ OJ Input Th- ~dUd 1~ w~ras rSqui d lo Implem~n~ a coaoc_e~tn Input Tok n t~on t ~ ~ 9 ~ 0it ~ta values See sec~on coa~ n~ldInDut A ~ hr n sl ctrical ~s L ~ ot ;his coCad_accapt O~tput int-rl o~
Circui s on chip must P-cl~ge h- coded ~ata in~o Tokan~
ort- mo~aInput Wh-n high thU signt~ indicuas that Irto ~t on ~s o o- tr~bn d aaoss tn cod-o dat~ port In ~r~
mods nt~r than rokcn rno~e.

$abl- A. 10.1 Cod-~ data port signal~

`- 2145423 The coded data port in accordance with the present invention, can be operated in two modes: Token mode and byte mode.

A.10.1.1 Tok-n mode In the present invention, if byte mode is low, then the coded data port operates as a Token Port in the normal way and accepts Tokens under the control of coded valid and coded accept. See section A.4 for details of the electrical operation of this interface.
The signal byte_mode is sampled at the same time as data ~7:0], coded_extn and coded_valid, i.e., on the rising edge of coded_clock.
A.10.1.2 Byte mode If, however, byte_mode is high, then a byte of data is transferred on data[7:0] under the control of the two wire interface control signals coded_valid and coded_accept. In this case, coded_extn is ignored. The bytes are subsequently assembled on-chip into DATA Tokens until the input mode is changed.
l)First word ("Head") of Token supplied in token mode.
2~Last word of Token supplied (coded_extn goes low).
3)First byte of data supplied in byte mode. A new DATA Token is automatically created on-chip.

A.10.2 Supplying data via th- MPI
Tokens can be supplied to the Spatial decoder via the MPI by accessing the coded data input registers.
A.10.2.1 Writing Tokens via th- MPI
The coded data registers of the present invention are grouped into two bytes in the memory map to allow for efficient data transfer. The 8 data bits, coded_datai7:0;, are in one location and the control registers, coded_busy, enable mpi_input and coded_extn are in a second locat~^r..

21~5423 (See Table A.9.7).
When configured for Token input via the MPI, the current Token is extended with the current value of coded_extn each time a value is written into coded_data[7:0~. Software is responsible for setting coded_extn to 0 before the last word of any Token is written to coded-data[7:0].
For example, a DATA Token is started by writing 1 into coded_extn and then Ox04 into coded data[7:0]. The start of this new DATA Token then passes into the Spatial Decoder for processing.
Each time a new 8 bit value is written to coded datar7:0;, the current Token is extended. Coded extn need only be accessed again when terminating the current Token, e.g. to introduce another Token. The last word of lS the current Token is indicated by writing 0 to coded_extn followed by writing the last word of the current Token into coded data[7:0].

~ister nun- ~ ~ rl sption c.
cocec_enn 1 ~ Tolten~ can D- su~p~i~ to l~e Soa~lal Cecccer rw via the MVI D~ wntinS to tn~e reSIs;ers ~O~ OI 8 ~t w coCeC_Dus~ 1 1 Th- st~t- ot tt ls reglslers mclca es I :r e r Sp~tl~l D cod-r is ~DI- Io aCCeC! .~ ler5 wnnen into coC~_dat~t7 O]
Ttl~ VLU~ 1 in~iat~s th~t ~ int~ c~ Is OU5'1 and unaOle to accept Cata B-havloums unC-rin C d t~ uS r tri-s to wnle lo coC-d_Cat~ Ol wh-n coCoC_Dus~ ~
enaDle-mpl-inpul 1 The valu- ,n tt~s tunclion enaDIe reS!S erS
rw controlswtl-th rcOCeCCatainDutlC~eS^a:~
D coC-ms vla ~le coded Cau cor ~i or vla ~^e MPI (1).
Ta~le A.10.2 Co~e~ data inpu~ registers Each time before writing to coded-data[7:o~ coded_busy should be inspected to see if the interface is ready to accept more data.
A.10.3 Switching b-t~--n iApUt mod-~
Provided suitable precautions are observed, it ispossible to dynamically change the data input mode. In general, the transfer of a Token via any one route should be completed before switching modes.

Prev~ous rnod- No~t MorJ- ~h~viour 9~a Tokon Ttu on-ctlip circuttry will wo th- last byte suPp~
MPI hput Drte rnoC- ~5 tl~ st byto ol th- DATA Token ~a it w~s constrUcting (i - ~ nn bn w~ e se~ lo 5!
9-lore ccopting tt~e ne~ Tok~n Tabl- A.10.3 8~itching data iApUt mod-s -~-~o~ o~ N~ ~cCe 1, ~e~av,o~r ~ol~e~ o~cnlo e:rc~ uy s~C~I~ng ~ en ,n ,ol(en ~OC~ is /e~ sle~! !or cO~ ~e ~c~(e~t, e.
Wl~ the e~ ~,t C~ ~e 1~5~ ~e o~ -'cr~.a~lcn se' ~
O) ~e~o~e S~ 5 I yte moCe. I
UPI In~ot Ac~ss ;0 Illsul v~ tn~ P~ wlll ~c: :e ~ran:er l.e.
c~Ced_~usy ~11 ~m~m S~l to ) on31 ~~e O~C!:-clreoitry su~ly~rlg ~ Tok~n In :~e~ e ~.as compl~t~ ~n~ Tolt~n (i.~. Wdh :t e ~t~ n 0~ tnc '25 ~ o~ h,.-~ n s-t to 0).
MPI I~U~ 3Y~ conttol solr~u- muSl h~v~ ec ~ e MPI inCut Tok~ it ot t~ 135; ~yte ~f Al~r.. ~l~ s~t to o) ~or~ ~n~ mpi_input s Sel 0.

Tabl- A.~0.3 Switching data input mod-s ~contd) The first byte supplied in byte mode causes a DATA Token header to be generated on-chip. Any further bytes transferred in byte mode are thereafter appended to this DATA Token until the input mode changes. Recall, DATA
Tokens can contain as many bits as are necessary.
The MPI register bit, coded busy, and the signal, coded accept, indicate on which interface the Spatial decoder is willing to accept data. Correct observation of these signals ensures that no data is lost.
A.10.4 Rate of accepting coded dat~
In the present invention, the input circuit passes Tokens to the Start Code Detector ~see section A.11). The Srart code Detector analyses data in the DATA ~okens bi~
~, serially. The Detector~s normal rate of 21~5423 procesSi~g is one bit per clock cycle (of coded_clock).
Accordingly, it will typically decode a byte of coded data every 8 cycles of coded_clock. However, extra processing cycles are occasionally required, e.g., when a non-DATA
Token is supplied or when a start code is encountered ln the coded data. When such an event occurs, the Start Code Detector will, for a short time, be unable to accept more information.
After the Start Code Detector, data passes into a first logical coded data buffer. If this buffer fills, then the Start Code Detector will be unable to accept more information.
Consequently, no more coded data (or other Tokens) will be accepted on either the coded data port, or via the MPI, while the Start Code Detector is unable to accept more information. This will be indicated by the state of the signal coded accept and the register coded busy.
By using coded accept and/or coded busy,the user is guaranteed that no coded information will be lost.
However, as will be appreciated by one of ordinary skill in the art, the system must either be able to buffer newly arriving coded data (or stop new data for arriving) if the Spatlal decoder is unable to accept data.
. A.10.5 Cod~d dat8 clock In accordance with the present invention, the coded data port, the input circuit and other functions in the Spatial Decoder are controlled by coded clock. Furthermore, this clock can be asynchronous to the main decoder clock. Data transfer is synchronized to decoder clock on-chip.

21~S423 SECTI~I A.ll Start code detector A.ll.l Start cod~s As is well known in the art, MPEG and H.261 coded video streams contain identifiable bit patterns called start 5 codes. A similar function is served in JPEG by marker codes. Start/marker codes identify significant parts of the syntax of the coded data stream. The analysis of start/marker codes performed by the Start Code Detector is the first stage in parsing the coded data. The Start Code Detector is the first block on the Spatial Decoder following the input circuit.
The start/marker code patterns are designed so that they can be identified without decoding the entire bitstream.
Thus, they can be used in accordance with the present invention, to help with error recovery and decoder start-up. The Start Code Detector provides facilities to detect errors in the coded data construction and to assist the start-up of the decoder.
A.11.2 St~rt cod- d-t-ctor r-gist-rs As previously discussed, many of the Start Code Detector registers are in constant use by the Start Code Detector.
So, accessing these registers will be unreliable if the Start Code Detector is processing data. The user is responsible for ensuring that the Start Code Detector is halted before accessing its registers.
The register start code_detector access is used to halt the Start Code Detector and so allow access to its registers. The Start Code Detector will halt after it generates an interrupt.
There are further constraints on when the start code search and discard all data modes can be initiated. These are described in A.11.8 and A.11.5.1.

2145~23 R-~gist-r n~m~ sc p ,on C.
sUr~_coa-_3-t ctor_ cc-s~ ~ O Writing 1 to tnis regls;e ~-Cu sts tnat tr.e s;a-rw code det ctor s~op to allow acci ess `o ~;s regis~ers ~he user snou!c wa~t un~ e væue c~n oe read irom t~s reglSter InCicaa^s ~a oD~r~tlon hi~ StODD C anC acc-ss Is ~ossiaie.

Tabl- A 11 1 8tart cod- d-t-ctor r-giist-r~ ~8~--t 1 of 5) 21~5923 ._ Reg~ster na,me ~ . C~ Y ~1 on ilbqal_l-ngtn_count_ev-nt 1 0 An Ul qu length coun~ evenl wlll oc: r d wn,ie nv d~ing JPEG c~u. ~ l~ngth counl Gelc :s ill-gal_bngttl_count_nusk 1 0 lound c~rrying a valu- les5 lhan 2 This should ~w only oCCur as th- r sult ol an error ~n ~he JPE G
daU
Il the m slt rsgist r is Sel Io 1 then an Inlerr_ l c n h- g n-ratad and the slart coae Cetec or wiU StOp Bshaviour tollowlng an error 6 nol d tlliS anor is suDpressed (mas~
~-g~st r s t to 0) S-- A 11 4 1 IP~ g_over~pping_sUn_ev nt 1 0 11 tha coding stuul rC is JPEG and the rW ~qu nce 0xFF 0xFF is lounC while looking br iP 9_0~ I~;p ~g_sun_mast~t 1 0 amarlc-rcod-t~ev-ntwilloccur rw rhis s qu-nce is a l-gal stutting secuence 1~ th- mask r gister is s-t to 1 then an inlern t c n h- g n-rt t tt nd ~he stan coCe Cetec or witt StOD B-- A 11 4 2 o _ ~p ng_sUn_ v nt 1 Itth~coCin9st nCardis~lPEGor H25t anc ~v t n c c lat~ ~ st n coCe is lound wnile !oola~s O - ;; 3_stan_mask 1 0 tor a start coC this event will occur 11 the rr asY
rw r gist-r is set to 1 then an Inle~Tuot c2n De g-n-rateC and the stan coC- Cetector ~11 s ;^
S--A11 ~2 Table A.11.1 Start code detector registers (Sheet 2 ot 5) - 214~g2~

gister nam~ Descnp~lon r . 3n Is~_sUrt_event 1 0 11 an un ~;OgA ~cC s;an ;oCe IS enc^unterec r~v this rvent wtll cur 1~ Ue Tas~ re7lstems set ur .CC gnlsed_st~tn_rrt s~t 1 0 to 1 then an internupt can t~e generateC anC tne rw stan CoCC CeteCtor ~vtll stop sun_valu~ 9 x The sWt code value rea5 Irom the ~ns ream s ~O availao~e in the regl5ter stan_v~lue while ~e st~rt COC~ d~tec~or Is nalteC See A. 1 1 4.3 Dunng normal oceratlon stan_value contains th- value ol the most renay CecaeC starJ
marker coCe~
Only the C LS~s ol st; n_vatu~ are useC during H~61 oD-rauon Th- 4 ~lSE~s will t~e zero.
stop_atter_pictu e_e~wnt 1 0 1~ th- reSIster stop_aner_pic~ure Is set to 1 rvv th tn a stop a~ter p~cture event will ~e seneratec stop_~n~r_olctur~ k 1 0 ~tt r th~ ~nC ot 2t Dlctur~ !taS pass~C through r~v th- sun cod- d~tector stop_~r_pictur- 1 0 If th- mas)t re~gistemS set to 1 then an Interrupt rvv can h- g-neratec anC tne s an coCe oeteC or v~ill stop S- e A 11 5 1 stop-aner picture Coes not reset !O ~ a~ter the enC ot a plCtUre nas t~een Cetec!eo so shoulC Xt cleareC Cirec~v Table A.11.1 Start eode detector register5 (Sheet 3 Ot 5) 2145~23 Reglster name ~ Descnpt~on tr non_aligneC_5un_event 1 0 When i9note-non-ali9neo ~s se !O l. s an ~w coCes tha~ ue not byte a~ignec a~e Igrorr C
non_allgned_suQ_mast~ 1 0 (t eateC as no mal data~
rw When ignore_non_aligneC is SCI ~c 0 H 251 ignore_non_aligneC 1 0 anC MPE5 stan eoC-s wlll be C-tec eC
n~ re9arcless ol byte alignment anC ~e non-aligneC stUt vent wilt be; ~r _ ~n 1~ the m~st~ r-gister is set to 1 then the evenl will caus an in~enuDt and the stan coCe d~t ctor wtll stoD~ Se A 11 6 Il the coding sUnd~rC is configurec as ~ PE5 Ignore_non_align d is ignored ana tle non-ali5n d sUrt vent wtll n ve ~e g-nerateC
CiscarC_e~rten~ion_CtaU 1 1 When t1~ese reglsters ar- set to 1 e~tenslon or rw us r C-ta that cannot t~ decodect Cy he etiscarC_user_CaU 1 1 SpUiL D coder i5 CiscuCed by t~e s an coCe rv~ C-t cto~ Se- A 1 1 3 3 oiscarct_all_CaU 1 0 Wh-n s t ~o 1 a~ ~U anC Tot~ens are n~ Cisct rC-d by th- stan coCe Cetec or Th s connnues until a FLUSH Token is suc~lleo or th register i5 set tO O dir ctly Tl~- FLUSH Token that resets this regls e ms disc~rW and not outDut Cy th- start ccCe d-tector Se~ A 11 5 ¦ in#Q_se~UenCe_suQ l l See A.11.7 rv~
TaDle A.11.1 Start code detector registers (Sheet 4 ot 5) ai Regist-r name ~ cnon ~i sUn_code_xa~ch 3 5 When tr~s reglste Is s~ o ~ ne s ar~ :::e rw deiec~or operates normall1 ~lhen se! o a ~gher value nhe sUn coCe Ce~ec cr c soarcs d~ta un~il the sD~clfiec ty~e o~ s ar ;xe !S
det ctod When the sD ~eC s a t :xe s Cetected the register is set U C anC :r~a OD-~Don ~ollow5 Sse A 11 3 sUtt_coCe_CeteC~or_coding_sUnCUd 2 0 This regis~r configures ~he coCing s;a~:aro rv uSed by the sUrt coCe Ce!e~c or The e~ s;er c~n be lo~ded direcny or ~y uslng a CODING_STANDARD ol~en Whenwer the s~rt code Ce!ector ;erera;es a CODING_STANDARD Token (see A 11 7 4 it c~mes its cur coding st~ndud confiqura~_n his Toi~en wlll tt n configure the coding sUnCarC useC ~y all oth-~ pUts o~ th~ decode :hiD-set See A.21.1 ~ndA 11 7 picture_numo~r 4 0 E~ch bme tne sUrt coCeC :et C:or O-!eC:S a rw picture sttut code in the CaU sUeam (:r -e H.26 1 or ~EG ecuivalenl) a PICTURE_START Token is Sene~a;eC
which rn s the cu~ent v~lu~ oi piaure_number This reSIs er then increm nts Ta~le A.11.1 Start code deteetor ,egistlLrs (Sheet 5 ot S) 214542~

F~-gis:~r ~am- ~ ~n C Y
u~ c l-ngth_co~nt 16 0 Thi~ r~glst-r cont~ curr~llt v~lue ol ~e ~0 JPEG l~n~ count. ~his r~gist~r 5 moc5~e~
und-r th~ con~ol o~ co~ u c!xk and only o~ r-~ vi~ ~- MPI w-le~ ~ stan cod- d-l~ctor i~ StO~D~.

Tabl- a. 1~. 2 8tart code det-ctor t~st r~gist-rs A.11.3 Conversion of start codes to Tok~ns In normal operation the function of the Start Code Detector is to identify start codes in the data stream and to then convert them to the appropriate start code Token.
In the simplest case, data is supplied to the Start code Detector in a single long DATA Token. The output of the Start Code Detector is a number of shorter DATA Tokens interleaved with start code Tokens.
Alternatively, in accordance with the present invention, the input data to the Start Code Detector could be divided up into a number of shorter DATA Tokens. There is no restriction on how the coded data is divided into DATA
Tokens other than that each DATA Token must contain 8 x n bits where n is an integer.
Other Tokens can be supplied directly to the input of the Start Code Detector. In this case, the Tokens are passed through the Start Code Detector with no processing 2145~23 to other 6tages of the Spatial Decoder. These Tokens can only be inserted just before the location of a start code in the coded data.
A.11.3.1 Start code formats Three different start code formats are recognized by the Start Code Detector of the present invention. This is configured via the register, start_code_detector_coding_standard.

C~Cing S~ncar~ S~an Co~e Pa~em (he~) Siz~ o~ s~r. :^ce val~,e MPcG OxCO 0~00 0~1 ~alu~, 8 ~it JPEG QxF- ~vaiue~ 3 ~it .261 OxCO O~ value~ 4 ~n Table A.11.3 Start code for~ats :o A.11.3.2 Start code Token equivalents Having detected a start code, the Start Code Detector studies the value associated with the start code and generates an appropriate Token. In general, the Tokens are named after the relevant MPEG syntax. However, one of ordinary skill in the art will appreciate that the Tokens can follow additional naming formats. The coding standard currently selected configures the relationship between start code value and the Token generated. This relationship is shown in Table A.11.4.

S~a~ COC- ValU~
SUnCOCeTOk~n9en~ e~ MPEG H.25t JPEG JP-5 ~heX) (heX) (heX) (nVTe) PICTURE_START oxoo oxoo 0XOA SCS
SLICE_START ~ oxo1 IO 0X01 IO 0XD0 ~O RS I ~ 10 0XAF 0XCC 0XD7 RS T t SEOUENCE_START oxa3 0XD8 SOI
SEOUENCE_END oxB7 OxD9 EOI
GROUP_START oxes oxco SOF0~
USER_DATA oxe2 OxEO to APP0 ~O

0XfE COM
EXTENSION_DATA 0xJ5 oxc~ JPG

0XFD JPG~
Ox02 to RES
oxeF
0XC1 ~O SOF~ 10 0~CS SOF"

DHT_MARKER OxC4 DHT
DNL_MARKER - OxDC 3NL
DCT_MARKER oxDa j OOT
DRI_MARKER 0XDD I ORI
Tabl- A. ll. ~ Tokens from start cod- valu-a. This Token contains an 8 bit data field which is loaded with a value determined by the start code value.
b. Indicates start of baseline DCT encoded data.

A.11.3 3 Extended featur-~ of th- coding standards The coding standards provide a number of mechanisms to allow data to be embedded in the data stream whose use is not currently defined by the coding standard. This might be application specific "user data" that provides extra facilities for a particular manufacturer. Alternatively, it might be "extension data". The coding standards authorities reserved the right to use the extension data to add features to the coding standard in the future.
Two distinct mechanisms are employed. JPEG precedes blocks of user and extension data with mar~er codes.
However, H.261 inserts "extra information" indicated by an extra information bit in the coded data. MPEG can use both these techniques.
In accordance with the present invention, MPEG/JPEG
blocks of user and extension data preceded by start/marker codes can be detected by the Start Code Detector.
H.261/MPEG "extra information" is detected by the Huffman decoder cf the present invention. See A.14.7, "Receiving Extra Information".
The registers, discard extension data and discard user data, allow the start Code Detector to be configured to discard user data and extension data. If this data is not discarded at the Start Code Detector it can be accessed when it reaches the Video Demux see A.14.6, "Receiving User and Extension data".
The Spatial Decoder of the present invention supports the baseline features of JPEG. The non-baseline features of JPEG are viewed as extension data by the Spatial Decoder. So, all JPEG marker codes that precede data for non-baseline JPEG are treated as extension data.

- 2145~23 ..11. 3 . 4 ~ Ta~le ~ef i~it~o~
JPEG supports down loaded Huffman and quantizer tables.
In JPEG data, the definition of these tables is preceded by the marker codes DNL and DQT. The Start Code Detector generates the Tokens DHT MARKE~R and DQT MARKER when these marker codes are detected. These Tokens indicate to the Video Demux that the DATA Token which follows contains coded data describing Huffman or quantizer table (using the formats described in JPEG).
10 A. 11. 4 Error det~l-tion The Start Code Detector can detect certain errors in the coded data and provides some facilities to allow the decoder to recover after an error is detected (see A.11.8, "Start code searching").
A.11.4.1 Illegal Jp~a l~ngth count Most JPEG marker codes have a 16 bit length count field associated with them. This field indicates how much data is associated with this marker code. Length counts of 0 and 1 are illegal. An illegal length should only occur following a data error. In the present invention, this will generate an interrupt if illegal length count mask is set to 1.
Recovery from errors in JPEG data is likely to require additional application specific data due to the difficulty of searching for start codes in JPEG data (see A.11.8.1).
.11.9.2 Ov~rlapping ~tart/m~rker cod-s In the present invention, overlapping start codes should only occur following a data error. An MPEG, byte aligned, overlapping start code is illustrated in Figure 64. Here, the Start Code Detector first sees a pattern that looks like a picture start code. Next the Start Code Detector sees that this picture start code is overlapped with a group start. Accordingly, the Start Code Detector generates a overlapping start event. Furthermore, the Start Code Detector will generate an interrupt and stop if overlapping start_mask is set to 1.
It is impossible to tell which of the two start codes is the correct one and which was caused by a data error.
However, the Start Code Detector in accordance with the present invention, discards the first start code and will proceed decoding the second start code "a~ if it is correct" after the overlapping start-code event has been serviced. If there are a series of overlapped start codes, the Start Code Detector will discard all but the last (generating an event for each overlapping start code).
Similar errors are possible in non byte-aligned system~
(H.261 or possibly MPEG). ln this case, the state of ignore_non_aligned must also be considered. Figure 65 illustrates an example where the first start code found is byte aligned, but it overlaps a non-aligned start code. If ignore_non_aligned is set to 1, then the second overlapping start code will be treated as data by the Start Code Detector and, therefore no overlapping start code event will occur. This conceals a possible data communications error. If ignore_non_aligned is set to 0, however the Start Code Detector will see the second, non aligned, start code and will see that it overlaps the first start code.
A.11.4.3 ~nrecognised start cod-s The Start Code Detector can generate an interrupt when an unrecognized start code is detected (if unrecognized_start_mask = 1). The value of the start code that caused this interrupt can be read from the register start_value.
The start code value OxB4 (sequence error) is used in MPEG decoder systems to indicate a channel or media error.
For example, this start code may be inserted into the data by an ECC circuit if it detects an error that it was unable 2145~2~

to co~ect.
A.11.4.~ 8-qu-nc- of v-nt g-n-ration In the present invention, certain coded data patterns tprobably indicating an error condition) will cause more than one of the above error conditions to occur within a short space of time. Consequently, the sequence in which the Start Code Detector examines the coded data for error conditions is:
l)Non-aligned start codes 2)Overlapping start codes 3)Unrecognized start codes Thus, if a non-aligned start code overlaps another, later, start code, the first event generated will be associated with the non-aligned start code. After this event has been serviced, the Start Code Detector's operation will proceed, detecting the overlapped start code a short time later.
The Start Code Detector only attempts to recognize the start code after all tests for non-aligned and overlapping start codes are complete.
A.1~.5 D-cod-r start-up ~nd Jhutdown The Start Code Detector provides facilities to allow the current decoding task to be completed cleanly and for a new task to be started.
There are limitations on using these techniques with JPEG coded video as data segments can contain values that emulate marker codes (see A.11.8.1).
A.11.5.1 Cl-~n nd to d-coding The Start Code Detector can be configured to generate an interrupt and stop once the data for the current picture is complete. This is done by setting stop after picture = 1 and stop after picture mask = 1.
Once the end of a picture passes through the Start Code Detector, a FLUSH Token is ~enerated (A.11.7.2), an int8r~upt is generated, and the Start Code Detector stops. Note that the picture just completed will be decoded in the normal way. In some applications, however, it may be appropriate to detect the FLUSH arriving at the output of the decoder chip-set as this will indicate the end of the current video sequence. For example, the display could freeze on the last picture output.
When the Start Code Detector stops, there may be data from the "old" video sequence "trapped" in user implemented buffers between the media and the decode chips. Setting the register, discard all data, will cause the Spatial Decoder to consume and discard this data. This will continue until a FLUSH Token reaches the Start Code Detector or discard all data is reset via the microprocessor interface.
Having discarded any data from the "old" sequence the decoder is now ready to start work on a new sequence.
A.11.5.2 Wh-n to st~rt disc~rd all mod-The discard all mode will start immediately after a 1 is ~ritten into the discard all data register. The resultwill be unpredictable if this is done when the Start Code Detector is actively processing data.
Discard all mode can be safely initiated after any of the Start Code Detector events (non-aligned start event etc.) has generated an interrupt.
A.11.5.3 Starting a n-w s-qu-nce If it is not kno~n where the -tart of a new coded video sequence is within some coded data, then the start code search mechanism can be used. This discards any unwanted data that precedes the start of the sequence. See A.11.8.
A.11.5.4 Jumping b-twe-n segu-nces This section illustrates an application of some of the techniques descrlbed above. The objective is to "jump"

2145~23 26g from On~ part of one coded video sequence to another. In this example, the filing system only allows access to "blocks" of data. This block structure might be derived from the sector size of a disc or a block error correction system. So, the position of entry and exit points in the coded video data may not be related to the filing system block structure.
The stop after picture and discard all data mechanisms allow unwanted data from the old video seguence to be discarded. Inserting a FLUSH Token after the end of the last filing system data block resets the discard all data mode. The start code search mode can then be used to discard any data in the next data block that precedes a suitable entry point.
a. 1l. 6 Byt- alignm-nt As is well known in the art, the different coding schemes have quite different views about byte alignment of start/marker codes in the data stream.
For example, H.261 views communications as being bit serial. Thus, there is no concept of byte alignment of start codes. By setting ignore non aligned = 0 the Start Code Detector is able to detect start codes with any bit alignment. By setting non-aligned start mask = 0, the . start code non-alignment interrupt is suppressed.
In contrast, however, JPEG was designed for a computer environment where byte alignment is guaranteed. Therefore, marker codes should only be detected when byte aligned.
When the coding standard is configured as JPEG, the register ignore non_aligned is ignored and the non-aligned start event will never be generated. However, setting ignore non aligned = 1 and non aligned start mask = o is recommended to ensure compatibility with future products.
MPEG, on the other hand, was designed to meet the needs of both communications (bit serial) and computer (byte oriented; systems. Start codes in MPEG data should normally be byte aligned. However, the standard is designed to be allow bit serial searching for start codes (no MPEG bit pattern, with any bit alignment, will look like a start code, unless it is a start code). So, an MPEG
decoder can be designed that will tolerate loss of byte alignment in serial data communications.
If a non-aligned start code is found, it will normally indicate that a communication error has previously lo occurred. If the error is a "bit-slip" in a bit-serial communications system, then data containing this error will have already been passed to the decoder. This error is likely to cause other errors within the decoder. However, new data arriving at the Start Code Detector can continue to be decoded after this loss of byte alignment.
By setting ignore non_aligned = 0 and non aligned start mask s 1, an interrupt can be generated if a non-aligned start code is detected. The response will depend upon the application. All subsequent start codes will be non-aligned (until byte alignment is restored).
Accordingly, setting non aligned start mask = 0 after byte alignment has been lost may be appropriate.

MPEG JPEG ~.261 ignor~_non_~lign~ 0 1 0 non_~lign~C_~t~n_m~lt 1 0 0 Table A.11.5 Configuring for byt- alignm-nt - 214542~

.~1.7 Automatlc To~eu ge~eratiou In the present invention, most of the Tokens output by the Start Code Detector directly reflect syntactic elements of the various picture and video coding standards. In addition to these "natural" Tokens,some useful "invented"
Tokens are generated. Examples of these proprietary tokens are PICTURE_END and CODING STANDARD. Tokens are also introduced to remove some of the syntactic differences between the coding standards and to ~tidy up" under error conditions.
This automatic Token generation is done after the serial analysis of the coded data (see Figure 61, "The Start Code Detectorn). Therefore the system responds equally to Tokens that have been supplied directly to the input of the Spatial Decoder via the Start Code Detector and to Tokens that have been generated by the Start Code Detector following the detection of start codes in the coded data.
A.11.7.~ Indic~ting the ~nd of a pictur~
In general, the coding standards don't explicitly signal the end of a picture. However, the Start Code Detector of the present invention generates a PICTURE_END Token when it detects information that indicates that the current picture has been completed.
The Tokens that cause PICTURE_END to be generated are:
SEQUENCE_START, GROUP_START, PICTURE_START, SEQUENCE_END
and FLUSH.
A.11.7.2 Stop aft~r pictur~ ~nd option If the register stop_after_picture is set, then the Start Code Detector will stop after a PICTURE_END Token has passed through. However, a FLUSH Token is inserted after the PICTURE_END to ~push~ the tail end of the coded data through the decoder and to reset the system. See A.11.5.1.

21~S423 A.1~.7.3 ~ntroducing sequ-nc- start for ~.26~
H.261 does not have a syntactic element equivalent to sequence start (see Table A.11.4). If the reglster insert sequence start is set, then the Start Code Detector will ensure that there is one SEQUENCE START Token before the next PICTURE START, i.e., if the Start Code Detector does not see a SEQUENCE START before a PICTURE START, one will be introduced. No SEQUENCE START will be introduced if one is already present.
This function should not be used with MPF~ or JPE~G.
A.11.7.~ 8-tting coding st-n~rrd for ach s-gu-nc-All SEQUENCE START Tokens leaving the Start Code Detector are always preceded by a CODING STANDARD Token.
This Token is loaded with the Start Code Detector's current coding standard. This sets the coding standard for the entire decoder chip set for each new video sequence.
A.11.8 8tart cod- s-arching The Start Code Detector in accordance with the invention, can be used to search through a coded data stream for a specified type of start code. This allows the decoder to re-commence decoding from a specified level within the syntax of some coded data (after discarding any data that precedes it). Applications for this include:
start-up of a decoder after jumping into a coded data 2~ file at an unknown position ~e.g., random accessing).
to seek to a known point in the data to assist recovery after a data error.
For example, Table A.11.6 shows the MPEG start codes searched, for different configurations of start_code search. The equivalent H.261 and JPEG
start/marker codes can be seen in Table A.11.4.

_sur~_c~ rcn Slan ca~5 sea. cne~ ~or o ~ Nor~nal cx~allon Rl~#rVe~ (Wdl Denav~ ~s c,s~a~ ca~a) 3 ~ ~U~IC~ Sl~n sun_coC~ ch S~ eo~ ~uc~ or grouD o- ~u~ st~n S c pctur-~ group o ~u~nc- ~n 6 ~lic-, ~e~r~. grouD or ~u~ I
t~ n~SI sUn a m~rlt r eo~

T~bl- A.1~.6 8t~rt cod- s-~rch ~od-s a. A FLUSH Token places the Start Code Detector in this search mode.
b. This is the default mode after reset.

When a non-zero value is written into the start code search register, the Start Code betector will start to discard all incoming data until the specified start code is detected. The start code search register will then reset to O and normal operation will continue.
The start code search will start immediately after a non-zero value is written into the start code search register. The result will be unpredictable if this is done when the Start Code Detector is actively processing data.
So, before initiating a start code search, the Start Code Detector should be stopped so no data is being processed.
- The Start Code Detector is always in this condition if any of the Start Code Detector events (non-aligned start event etc.) has just generated an interrupt.
A.11.8.1 Limitations on using start cod- search wit~ JPEG

21q542~
-.

Most ~PEG marker codes have a 16 bit length count field associated with them. This field indicates the length of a data segment associated with the marker code. This segment may contain values that emulate marker codes. In normal S operation, the Start Code Detector doesn't look for start codes in these segments of data.
If a random access into some JPEG coded data "lands" in such a segment, the start code search mechanism cannot be used reliably. In general, JPEG coded video will require additional external information to identify entry points for random access.

- 214~423 2~5 SECTJ~N A.12 Decoder start-up control A.12.1 Ov-rvi-w of d-cod-r start-up In a decoder, video display will normally be delayed a short time after coded data is first available. During this delay, coded data accumulates in the buffers in the decoder. This pre-filling of the buffers ensures that the buffers never empty during decoding and, this, therefore ensures that the decoder is able to decode new pictures at regular intervals.
Generally, two facilities are required to correctly start-up a decoder. First, there must be a mechanism to measure how much data has been provided to the decoder.
Second, there must be a mechanism to prevent the display of a new video stream. The Spatial Decoder of the invention provides a bit counter near its input to measure how much data has arrived and an output gate near its output to prevent the start of new video stream being output.
There are three levels of complexity for the control of these facilities:
Output gate always open Basic control Advanced control With the output gate always open, picture output will start as soon as possible after coded data starts to arrive at the decoder. This is appropriate for still picture decoding or where display is being delayed by some other mechanism.
The difference between basic and advanced control relates to how many short video streams can be accommodated in the decoder~s buffers at any time. Basic control is sufficient for most applications. However, advanced control allows user software to help the decoder manage the start-up of several very short video streams.

2145~23 A.12.2 ~PEG vid-o buff-r v-rifi-r MPEG describes a "video buffer verifier" (VBV) for constant data rate systems. Using the VBV information allows the decoder to pre-fill its buffers before it starts to display pictures. Again, this pre-filling ensures that the decoder's buffers never empty during decoding.
In summary, each MPEG picture carries a vbv delay parameter. This parameter specifies how long the coded data buffer of an "ideal decoder" should fill with coded lo data before the first picture is decoded. Having observed the start-up delay for the first picture, the requirements of all subsequent pictures will be met automatically.
MPEG, therefore, specifies the start-up requirements as a delay. However, in a constant bit rate system this delay can readily be converted to a bit count. This is the basis on which the start-up control of the Spatial Decoder of the present invention operates.
A.12.3 D-finition of ~ str-am In this application, the term stream is used to avoid confusion with the MPEG term se~uence. Stream therefore means a quantity of video data that is "interesting" to an application. Hence, a stream could be many MPEG sequences or it could be a single picture.
The decoder start-up facilities described in this chapter relate to meeting the VBV requirements of the first picture in a stream. The requirements of subsequent pictures in that stream are met automatically.

A. 1~2 . 4 8tart-Up control r-gi~t-rSt R-gis er n~me ~ Descn~tlon ~o sUrtup_~cctns 1 0 Wnong 1 to thss reSIster re~uests ;ra -e ~ t CEO_~JS_ACCESS co~Jnur it~ 9~:e ocer!lng bg~c 5~0D :0 allow ~Cc~ tO th ir configur~oon rqisle~s Di~_count O O T~tis0itcountems rcl~ _n~asc~Ce~:aa Ce~_35_COUNT rw le~vu th- stUt cOOe Cel CtOr The nu--_er ol bil_count_p sc~le J 3 bitsr~uir dto ircrementbit_count: ce s CED_95_MESCALE tw t DplroL 2~-~n~ 5t2.
Trte Dit Counter star counting 0its at er a FLUSH Totun p~S-5 ttlrou5n Ihe D~ un er It iS rt~t to ~t ro itnd th~n StopS A ~ Ln3r9 ~tt~r the bit count Urget ha5 Oeen met bit_count_urg--t 8 ~ T~lo r~giSt~r sp clfi~s h~ Dit co ;nt tarSet A
CeD_9S_rAfrGET rw tuge~ met vent is ~en-r~teo wt eneve mne idlowtn9 condiOon t#COmeS true ~it_eount ~- bit_count_tlt g-t Urget_m-t_ev nt 1 0 When the 0it count tuqel is m t ~ls even 95_r~f~GEr ~tEr_EVEWr Iw o- gen~rUa 1~ t~ tnask regisler Is sel ;o t rget_m-t_tnntc 1 0 th-n an interrupt can 0e generate~ howevcc r~ the hit counter W~ OT stOP Drocesslrg Ca;a rhis event wtll occur when the Ce ;oLr er ir.rr ,U to its uget It will also oc:_ ~ a tYget velue is wrllten which is less an ^~
Cu~l tO the current value ol tre C; c: r e Writtng 0 to bit_count_ -rget w i aiwa s generilte a tarSet me~ even~
Ta~le A.12.1 Deco~er start-up (e,3;s~ers `~ 2145423 -t~-gist-r n-m- ~ D ~t G~
~i t~
count-r_tlu~n-d_ v-nt t 0 Wh-n ~ F-USH To~en p~s truousn ~he ~ll 8s-FLus~/-cvENT r~v count circuit thiS v-nt w~ll Occur Il the ma COunt- _tlu~h-d-ma~t~ 1 0 r-giSt r ~ S t tO 1 th n ~n inlenu~t can ee rw g-nerU d snd th- bit c~unt-r ~11 SlcD
count r_tlush-C_too_-srl~_ v nt 1 0 ll ~ FLUSH Token D~ s through ~e o t 8S_FLUSI t-8c-~oRE-r~RGEr-MEr-EvENr ~ count C~Cuit nd the e~t count Urget ha5 not eount-r_tlusn-d_too_ rt~_mast~ 1 û b- nm tt~v ntwitl occw ll th- m~Sit rw reg~t r iS Set to 1 th n ~n interruDt can D-gen rU C and the Dn count-r wjll StOD

ottchip_qu-u- 1 0 Setttn9 this register to l con6gur s ~he gate CED_95_OVEUE rv openin9 togk to r~uire _ ~ pr.-Suppo~ When tnis r-siSW is s-t lo 0 ~he ou ;ut 9ste conuol togie wiU ~ut~ ccnuol he op~oon ol tl~e outDut gate S-s eoon A t 2 6 ~nd A 12 7 enaDle_stre m 1 0 When nonehipqu ueisinuse~mlnS o CE3-3s-EMAJLE-Nxr-sTht rw enstcl-_su am conuols th- b h~our ot ~he Output 9U- tt-r the tnC ol strearn ~sses throug~l it on in thU r-gistet en~ol-~ ttl- C~J SlJt 5~
op n Tne r gist r will be r-set wr~n an cc-pt_en-t~l- ime uo~ is genera c Table A.12.1 De~Qdcr stat,l-up re~i~ters (contd) 21~5423 R-gi~r n~ ~ ;~ O ~ tio as ,3~
~ec p~_ n-ol--~H~ 1 0 rhrsevH~ulo~c~t-s tr~t ~ FLUSH Tolten n~s 8S_S~E~M_ENO_EVENT r~' puu~ ~rough ~e outDUt 9~le (c~us~ng It lo cc pt_ n~Ole_m~ 1 0 clo~) U~ IhU ~n en~Ole w~s sv~llU~le lo allow w t~ 9~te lo open l~ the m~sl~ reqlSler is scl lo 1 then an ;n err can Oe ~enertt~ tnC the resiSt~r en~Cb_suesm w~ll t~e reseL S~e A 12 ? 1 T~bl- A 12 1 D-cod-r ~t~rt-up r-gi~t-r~ (contd) A.~2.5 oueput gat- always open The output gate can be configured to remain open. This configuration is appropriate where still pictures are being decoded, or when some other mechanism is available to manage the start-up of the video decoder.
The following configurations are required after reset thaving gained access to the start-up control logic by writing 1 to startup access):
set offchip queue = 1 set enable stream = l - ensure that all the decoder start-up event mask registers are set to 0 disabling their interrupts (this is the default state after reset~.
(See A.12.7.1 for an explanation of why this holds the output gate open.) A.12.6 ~9ic op-ration In the present invention, basic control of the start-up logic is sufficient for the majority of MPEG video applications. In this mode, the bit counter communicates directly with the output gate. The output gate will close automatically as the end of a video stream passes through it as indicated by a FLUSH Token. The gate will remain closed until an enable is provided by tne bit counter circuitry when a stream has attained its start-up bit count.
The following configurations are required after reset (having gained access to the start-up control logic by writing 1 to startup_access):
set bit count_prescale approximately for the expected range of coded data rates set counter flushed_too early mask = 1 to enable this error condition to be detected Two interrupt service routines are required:
~'ideo Demux service to obtain the value of vbv delay for the first picture in each new stream Counter flushed too early service to react to this condition The video demux (also known as the video parser) can generate an interrupt when it decodes the vbv_delay for a new video stream (i.e., the first picture to arrive at the video demux after a FLUSH). The interrupt service routine should compute an appropriate value for bit_count target and write it. When the bit counter reaches this target, it will insert an enable into a short queue between the bit counter and the output gate. When the output gate opens it removes an enable from this queue.

- 214~423 A.~2.~.1 8tart~g ~ ~ str~ ~ortly 3fter anot~r f ~ e~
A~ an example, the MPEG stream which i~ about to f inish is called A and the MPEG stream about to start is called B.
A FLUSH Tok-n should be inserted after the end of A. This pushes the last of its coded data through the decoder and alerts the various sections of the decoder to expect a new stream.
Normally, the bit counter will have re~et to zero, A
having already met its start-up conditions. After the FLUSH, the bit counter will start counting the bits in stream B. When the Video Demux has decoded the vbv delay from the first picture in stream B, an interrupt will be generated allowing the bit counter to be configured.
As the FLUSH marking the end of stream A passes through the output gate, the gate will close. The gate will remain closed until B meets its start-up conditions. Depending on a number of factors such as: the start-up delay for stream B and the depth of the buffers, it is possible that B will have already met its start-up conditions when the output gate closes. In this case, there will be an enable waiting in the queue and the output gate will immediately open.
Otherwise, stream B will have to wait until it meets its start-up requirements.
A.12.6.2 A succ~io~ of short stro~u-The capacity of the queue located between the bitcounter and the output gate is sufficient to allow 3 separate video streams to have met their start-up conditions and to be waiting for a previous stream to finish being decoded. In the present invention, this situation will only occur if very short streams are being decoded or if the off-chip buffers are very large as compared to the picture format being decoded).
In Figure 69 stream A is being decoded and the 214S~23 output gate is open). Streams B and C have met their start-up conditions and are entirely contained within the buffers managed by the Spatial Decoder. Stream D is still arriving at the input of the Spatial Decoder.
Enables for streams B and C are in the queue. So, when stream A is completed B will be able to start immediately.
Similarly C can follow immediately behind B.
If A is still passing through the output gate when D
meets its start-up target an enable will be added to the queue, filling ,the queue. If no enables have been removed from the queue by the time the end of D passes the bit counter (i.e., A is still passing through the output gate) no new stream will be able to start through the bit counter. Therefore, coded data will be held up at the input until A completes and an enable is removed from the queue as the output gate is opened to allow B to pass through.
A.12.7 Advanced operation In accordance with the present invention, advanced control of the start-up logic allows user software to infinitely extend the length of the enable queue described in A.12.6, "Basic operation". This level of control will only be required where the video decoder must accommodate a series of short video streams longer than that described in A.12.6.2, "A succession of short streams".
In addition to the configuration required for Basic operation of the system, the following configurations are required after reset (having gained access to the start-up control logic by writing 1 to start_up access):
set offchip queue = 1 set accept_enable_mask = 1 to enable interrupts when an enable has been removed from the queue set target_met_mask = 1 to enable interrupts when a stream's bit count target is met Two ad~itional interrupt service routines are required:
accept enable interrupt Target met interrupt When a target met interrupt occurs, the service routine should add an enable to its off-chip enable queue.
A.12.7.1 Output gat- logic b-havior Writing a 1 to the enable stream register loads an enable into a short queue.
When a FLUSH ~marking the end of a stream) passes through the output gate the gate will close. If there is an enable available at the end of the queue, the gate will open and generate an accept_enable event. If accept_enable mask is set to one, an interrupt can be generated and an enable is removed from the end of the queue (the register enable stream is reset).
However, if accept_enable mask is set to zero, no interrupt is generated following the accept_enable_event and the enable is NOT removed from the end of the queue.
This mechanism can be used to keep the output gate open as described in A.12.5.
A.12.8 Bit counting The bit counter starts counting after a FLUSH Token passes through it. This FLUSH Token indicates the end of the current video stream. In this regard, the bit counter continues counting until lt meets the bit count target set in the bit_count_target register. A target met event is then generated and the bit counter resets to zero and waits for the next FLUSH Token.
The bit counter will also stop incrementing when it reaches it maximum count (255).
A.12.9 Bit count presc le In the present invention, 2~ P~S"''~n x 512 bits are -requir~d to increment the bit counter once. Furthermore, bit count prescale is a 3 bit register than can hold a value between O and 7.

n ~e (bils) nw ~ ~(bi~) O O to 2621~4 1024 0 to 5242~8 20~
7 0 to 3~457280 122880 Tabl- A.12.2 Ex~mpl- bit count-r rang-s The bit count is approximate, as some elements of the video stream will already have been Tokenized (e.g., the start codes) and, therefore includes non-data Tokens.
A.12.10 Count-r flush-d too arly If a FLUSH token arrives at the bit counter before the bit count target is attained, an event is generated which can cause an interrupt (if counter flushed too early mask =
1). If the interrupt is generated, then the bit counter circuit will stop, preventing further data input. It is the responsibility of the user's software to decide when to open the output gate after this event has occurred. The output gate can be made to open by writing O as the bit count target. These circumstances should only arise when trying to decode video streams that last only a few pictures.

2145~23 .

SECTION A.13 Buffer Management The Spatial Decoder manages two logical data buffers:
the coded data buffer (CDB) and the Token buffer (TB).
The CDB buffers coded data between the Start Code Detector and the input of the Huffman decoder. This provides buffering for low data rate coded video data. The TB buffers data between the output of the Huffman decoder and the input of the spatial video decoding circuits (inverse modeler, quantizer and DCT). This second logical buffer allows processing time to include a spread so as to accommodate processing pictures having varying amounts of data.
Both buffers are physically held in a single off-chip DRAM array. The addresses for these buffers are generated by the buffer manager.
A.13.1 Buffer m~nager registers The Spatial Decoder buffer manager is intended to be configured once immediately after the device is reset. In normal operation, there is no requirement to reconfigure the buffer manager.
After reset is removed from the Spatial Decoder, the buffer manager is halted (with its access register, buffer_manager_access, set to 1) awaiting configuration.
. After the registers have been configured, buffer_manager_access can be set to O and decoding can commence.
Most of the registers used in the buffer manager cannot be accessed reliably while the buffer manager is operating.
Before any of the buffer manager registers are accessed buffer_manager_access must be set to 1. This makes it essential to observe the protocol of waiting until the value 1 can be read from buffer_manager_access. The time taken to obtain and release access should be taken into -consider~tion when polllng such registers as cdb full and cdb empty to monitor buffer conditions.

~egister name ~ O DescriDtion buner_tn n g~r_acc-ss 1 1 ThiS c~c ss brt SlOpS the opera;t n c -~ ;e Duner rranager so t~at .-5 rw various registers can De accessed reliably See A 6 4 1 Note this acicess register is unusual as its detault state aner reSei s I e aner reset the buner manager is nalted awalting corr Lra;
via the ~ ovr~ ~ inler~ace ~egister na~n- ~ ct ih buner-tn nag-r_t~-yhol-_addre~s 6 x Keyhol- access to th- exutnded aoCress space usec cr re Cu er rw tnanager registers shown below See A 6 ~ 3 !or mote buner_m nztger-t~eyho~e-dau 8 x ;~ aooutacctssingre9ls~ersthroushakeynole rw buner-limn 1~ x This specifies tho overall 512e ot ~nr ~ ; ar i anac^ec c u e rw Spatial Decoden All buner aodresses arE ç u ecl ~1 ~ nls ~ut e!
siZ- and so will wraD round within ttte Ci ' ` o~ovideC
edb-base 1 a x These tegisters point to th- base ot the ~ c ~ata (cao) anc Tcken tb_Oaset rw (tb) butters edb_l-ngth 18 x These ~ specity ~he len5 h (Le see) o~ the codes Ca;a icCc tb_leng~h rw and Tol r l b) buners cdb_reed 1t~ x These registe s hdd an onset irom the bu! - ase anc ;nclcate tb_r-ad ro wt~er- data - il be r -d Irom next Cdb_numDer 1~ x These ~ sters show how much data ~ e nt~y helC In tne :L~ers tb_number ~0 CdO_~UII 1 x Ttle~ ~ iters will b- set lo ~ It tt~ sd cata (C^:j cr ~-.~e- ::
tD_~ull ro bu -caD_empry 1 x T~ -cglsters ~ll be set to 1 it tD~ ,oGec ca;a (cc~) c~ e- ~~
tb_empty ~o b r er empties Table A.13.1 Buf~er manager registers (cortd) --A.13.~ 1 Buffer m~n~g-r pointer v~lues Typically, data is transferred between the Spatial Decoder and the off_chip DRAM in 64 byte bursts (using the DRAM's fast page mode). All the buffer pointers and length registers refer to these 64 byte (512 bit) blocks of data.
So, the buffer manager's 18 bit registers describe a 256 k block linear address space (i.e., 128 Mb).
The 64 byte transfer is independent of the width (8, 16 or 32 bits) of the DRAM interface.
lo A.13.2 Use of the buffer m~n~ger registers The Spatial Decoder buffer manager has two sets of registers that define two similar buffers. The buffer limit register (buffer limit) defines the physical upper limit of the memory space. All addresses are calculated modulo this number.
Within the limits of the available memory, the extent of each buffer is defined by two registers: the buffer base (cdb_base and tb base) and the buffer length (cdb length and tb_length). All the registers described thus far must be configured before the buffers can be used.
The current status of each buffer is visible in 4 registers. The buffer read register (cdb_read and tb_read) indicates an offset from the buffer base from which data will be read next. The buffer number registers (cdb_number and tb_number) indicate the amount of data currently held by buffers. The status bits cdb_full, tb full, cdb_empty and tb_empty indicate if the buffers are full or empty.
As stated in A.13.1.1, the unit for all the above mentioned registers is a 512 bit block of data.
Accordingly, the value read from cdb_number should be r,ultiplied by 512 to obtain the number of bits in the coded data buffer.
A.13.3 Zero buffers Still picture applications (e.g.~ using JPEG) that do 214~423 not h~e a "real-time" requirement will not need the large off-chip buffers supported by the buffer manager. In this case, the DRAM interface can be configured (by writing 1 to the zero buffers register) to ignore the buffer manager to provide a 128 bit stream on-chip FIFO for the coded data buffer and the Token buffers.
The zero buffers option may also be appropriate for applications which operate working at low data rates and with small picture formats.
Note: the zero_buffers register is part of the DRAM
interface and, therefore, should be set only during the post-reset configuration of the DRAM interface.
A.13.~ Buff-r operation The data transfer through the buffers is controlled by a handshake Protocol. Hence, it is guaranteed that no data errors will occur if the buffer fills or empties. If a buffer is filled, then the circuits trying to send data to the buffer will be halted until there is space in the buffer. If a buffer continues to be full, more processing stages "up steam" of the buffer will halt until the Spatial Decoder is unable to accept data on its input port.
Similarly, if a buffer empties, then the circuits trying to remove data from the buffer will halt until data is available.
As described in A.13.2, the position and size of the coded data and Token buffer are specified by the buffer base and length registers. The user is responsible for configuring these registers and for ensuring that there is no conflict in memory usage between the two buffers.

-SECTION A.14 Video Demux The Video Demux or Video parser as it is also called, completes the task of converting coded data into Tokens started by the Start Code Detector. There are four main processing blocks in the Video Demux: Parser State Machine, Huffman decoder (including an ITOD), Macroblock counter and ALU.
The Parser or state machine follows the syntax of the coded video data and instructs the other units. The Huffman decoder converts variable length coded (VLC) data into integers. The Macroblock counter keeps track of which section of a picture is being decoded. The ALU performs the necessary arithmetic calculations.
A.1~.~ Vid~o D~mux r-gistt~r~

Regis ername ~ ~ C - ;~ti n ui d-mux_~ccess 1 0 This 8cc-sS bit StopS th- op ration o~ the Vdeo C)emux so t~a~ It s CED_I~l_CTRLt7] rw vSrious tegiSt rs c n bo cct~d r-liably S~e A 6 4 t huttman_ rror_cod- 3 Wh-n the V~d o D mus stopS tollowing the g-neration ot a CED_H_Cri~Lt64] ~ hunm~n_ v-ntint rrtptr qU stthis3bitr-gislerholdsava~ue nCirating why th- int-rrupt waS g ~n~ _ ~ S-e A 14 5 1 par~-r_error_code 6 When the Vid o D mux StopS ~ollowing the 9enerdtion oi a ~arser_even~
CED_H_OMUX_ERR ro interrtlpt requ St thiS e dt r~gist-r hold5 a value indicaDng why the int-rrupt was; ~n~ _ S-e A 14 52 d-mux_keYhole_addrns 12 x Keyhol-ac~e#toth-VId oD muxsext-naeoaddr-sss~are See CE3_H_KEYHOLE_AOD~ rw A 6 4 3 tor mor- i ,t~ ~n about acc-ssing reglsters demux_k-ynole_data 6 x througn a k-yhol-15 CEO_H_KEYHOLE rw Tat~les A 14 Z A 14 3 and A 14 4 describ- the reSisters that :a~ e ~cc-Ss d vu th- k yhol-Table A.14.1 Top level Video Demux registers 21~5423 ~j n39 ~ C D ,~
c dummy_bst_Dicture 1 0 When t~is reglstems sel ;o 1 the Vid~o Cemux ~ll genera e Inlorma~on CED_*ALU_~r 5~ rw ~or a 'dummr Intra plcture as the la5t plc ~Jre ol an MPEG s~uence r_rom_con~rol 'This ~uncDOn is use~ul when the Temporal Decoder is configureC lor automatic picture ~r OrJ ~ (sn A 18 3 5 'Pictute sec~uence re r dumrny_last trame_Oit ord-ring to ~lush the last ~ or I picture out ol the emporal Decoder No 'tummy' picture is r-quired i~
the Temporal Decoder is no~ cv ~fi~ t ~or re crdenng another MPEG sequerce will be decoCed; ~Ci~t. y (as this will also nUsh OU~ tlU I~St ~icnlr~) the coding standard is not MPEG
fi-ld_into 1 0 When this register is set ~o 1 ttle firs~ byta o~ any MpEG
CE!:)-H-Aw-f1Go rw extra_i"~o,. ~ _picture is plsc-d in the FIELD_INFO Token See r_rom_conrro/
r_fielO_in~o_bd continue 1 0 This regist r ~lows us r sotrware to control how much exua user or CED_H_ALU_~EGO rw xtension data it w nts lo receive wh-n is it is Ce~ected by the Cecocer r_rom_control See A 14 6 and A 14 7 r_continue_oit rom_revision 6 I e~ y lollowing rcsel this holds a CoVy oi ~.~e micrococe RCM
CD-H-ALu-RlEG 1 ro r-vision num~er r_rom_r~rision This register is also used to present to conuol solTwar- data values reaC
~rom t~e cod-d data See ~14 6 'ReceMng U#r and E~lension data and A 14 7 'Receiving Extra Intor c~ion' Table A. 1~ .1 Top level Vid-o De~ux registers (contd) - ~9~ 2145423 o - Register nam- O ~ ~ U - vbon ~n L
huttmsn_eve5t _ 1 0 A Hunrnar event iS gener ted i' an error iS louno in rhe cooec ca;a See rw A 14 5 1 lor a C tin ~ ol these events hunman_mask 1 0 l~ th- mask register is set to 1 then an interruDt can oe generaleo anc ~ ~e rw Vldeo D~mux will Stop ~ th- mask r~gist~r is sel to O then no interru~l is generated and th- Vldeo Demux vrill attemDt o recover irom ~ne e ^r parser_even~ 1 0 A Parser even~ can oe in r~sponce ~o errors In rhe cocer~ ~ata cmo ~e rw u ival d; ~ althe Vldeo Demux thatrecuires so~Tware parser_mask 1 0 ~rve t~n S- A1452 loraC~n~tionoltheceeven!s rw It ~he mask r-grst r is set to 1 then an inlerrupt can be generateC anC De Vldeo D-mux will Stop It th- m~ r 9iSl r is set to O Ih n no interruDt ~s 9-nera~ed tUld t~ Vld o D rr~x wi~ attempt to continue Table A 1~ 1 Top l-v-l Vid-o D-mux r-gist-r~ (contd) Regis~er name ~ rnO D i~
rn L
- ~e t_nam-_O 8 x Dunng JPEG operation tne register cc ~ t_nam-_n holds an 8 Dl~ value - ~nt_name_1 rw indicabng~ton~ )which~o~ourco ~ e tha5th- on~onc liDn c ~ on~ ~t_name_2 s ~ ~nc t_n-m-_3 ri2-pels 16 x These registen hold the horizonatl and vert~cal di ns ons ol tne video Delng rw decoded in pixels ven_D-ls 16 x Se- secbon A 14 2 rw noru_ . s.t e 16 x These registers nold tn- horizontal and vert~al dlnlrnslol~c ol tne Vloeo !celrS
rw decoded in .. ~I~
ven_ lC ct~`ct 16 x See section A'~ 2 rw Table A 1~ 2 vid-o demux pictur-construction r-gist-rs 2I45~t23 -. ~

c Reglsler name ~ D ,vtion it.i tro ma~it_h 2 x rhese t~g~sters hold the obl~k Wicith anci helshl In bloc~s (a ~ 3 Dl~els) rvv Th- valu s O to 3 indicate a ~ c ghl ot 1 to 4 blocks max v 2 x S~e s ction A 14 2 r~
max_componcot_id 2 x The values O to 3 indicate that 1 to 4 ditteren~ video comDoner s are :ur e-t;y rw being decoCed Sec section A 14 2 Nt 3 x During JPEG operation this reoister holds the Dararne~er ~it (numDer oNma5e rw C~l~ von_ ~ts in trune) blocks_h_O 2 x For each ot the 4 coiour Co~ ~vn ,ts the regls~ers blocks_h_n anC
blocks_h_1 rw blocks_v_n hold th- number ot biocks ho ~or; 'ly and vertlcaily In a blocks_h_2 " ,. ~bfi~ tor th- colow c~ ~na~ ,t with cr, nooncn~ ID n blocks_h_3 S-e section A 142 blocks_v_O 2 x blocks_v_1 rw blocks_v_2 blocks_v_3 tQ 2 x The tvvo bit valu- h h by the regist-r tq_n r~escnt~es wh~ch lnverse tQ1 rw Ciut~ntisation tabl- is lo t~ used wn-n decodins data wiln co ;~on~ s ID n tQ2 tQ3 Ta~le A. 1~ . 2 Vid-o domux piCtUre con~truCtion regi5terti~ (contd) A.14.~ Regist-r loading and Tok-n g-neration Many of the registers in the Video Demux hold values that relate directly to parameters normally communicated in the coded picture/video data. For example, the horiz pels register corresponds to the MPEG sequence header information, horizontal size, and the JPEG frame header parameter, X. These registers are loaded by the Video Demux when the appropriate coded data is decoded. These registers are also associated with a Token. For example, the register, horiz pels, is associated with Token, HORIZONTAL_SIZE. The Token is generated by the Video Demux when (or soon after) the coded data is decoded. The Token can also be supplied directly to the input of the Spatial Decoder. In this case, the value carried by the Token will configure the Video Demux register associated with it.

`- 2145423 nu~ . C .vl,o ac-hu~-o 2 rhe two bit value nela by the register dc_hun_n ~escrlDes wh~cn Hl ~tman dc_hut~_1 rw decoding table is lo b- u#d wh-n decocing the DC; ~ Yi~ s ts ol data w~tn dc-hun-2 co ~one ,l ID n dc_hut~_3 Similarly ac_hun_n dexnbes ~he table to be used wnen Cecoc rS AC
,c hu~ o ~r ,t~
ac_hut~_1 fw 3aseline JPEG requires uP to two Hunman tables Der scan ~he or iy taeles ac_hutt_2 1~ _n~ ~ are 0 and 1 ~C_hUti_3 dc_bits_0~15 0] t Each o~ th-se is a table oi 16 eight bit v~lues They Crovi~e the BlTa dc_bits_1[15 01 rw j ~ n ~#e JPEG Hunman lable t" ~i' ~ 1) which ~orm Dart of the ac_bits_0~15 01 8 ~n~ ; b~l d two DC and t~vo AC Hunman tabtes ac_bits_1t15 0] rw See secaon A 14 31 dC_hu~val_0~11 01 8 Each ot these is a table o~ 12 ight bQ valu~s A8hey provide the HUFrAVAL
dc_huttval_1 [t 1 0] rvv ; I~V l ' ~ (se JPEG Hu~man tabl- ~ ic l~ wllich iomm part of tt e d ~ N7 ot two DC Hutiman tables 5- s cbon A 14 3 1 ac_hu~val_0(161 0] 8 E ch oi th~ is ~ tabh ol 162 ~ight bit values They provide the HUFrAVAL
ac-hu~tvat-1[161 01 rw in~ (se- JPEG HuthTuAn tabl- s; 3' ~ ~l) which form part ol the J ~ ~ ; ti~ ~ ot two AC Hunman tables Se~ s caon A 14 8 1 dc_z sss_0 8 These 8 bit r-gisters hold values that are 'speoal case~ to accelera~e ~Ae rtc_zs~s5_1 rw decoding ot certain tr-r~wntly used JPEG VLCs~
ac_eob_0 8 dc_ssss magnitude o~ DC coenlcient is 0 ~c_eob_1 rw ac_eob end o~ block ac_~rt_0 8 ac_zrl - run ot 16 zeros ac_zrl_1 rw T~ble A. 1~ . 3 Vid-o d-mux ~uffman t~ble regi~ter~

`

~ .~i R gisler n~me`
~n rD
t~utlet_si2- 10 This reg~stet is baaeo when decodlng MpEG data wnh a value ~nc~cat~ng ne rw size d V9V bun r r-quir d in n ide~l d coae This vt~lu- is not usa by th~ d coder chlps However the value It hoi~s mal b- use~ul to us~r sotfware when configunng the coCt data but;er slze anc '3 de~-min- whether th- d coder is capable o~ decoding a particular 1''^ C2 a file pel_aspect 4 This regiSter is baded when d coaing MPEG data ~th a value mCIca~ -9 ne rw pel aspect ratio The Vtllue is 4 bit int pr thU is us d as an inde~ into a table defin~d by MPEG
See the MPEG standard ~u a definition d this tabl-This value is not us d by the deeoder chips However the value it holCs may b- us-~ul to U#r sottw~tre wh n: 99 ~ a display or output dev!ce bit_rate 1e This r gist r is bJdea when d coding MPEG data with a vaiue inC ca -g ~e rw cod d d ta rate 5-- the MPEG st nd rd ~w a definition o~ this value This value is not us d by the d coder chips However the value Q holds may be u#hl to us r sohwue wh n co ~ i~ ; th- d cod-r start UD r~s ers pic_r~e 4 This regist r is toad d when d coding MpEG dau Wlth a value inG~ca;~ng ~he rw picture rate See th- MPEG sUndard lor a d-finibon ot this value This value is not us d by th- d coder chips However the value it ro!cs rna b- u#hl to us r sottw re wh-n con6guring a disptay or output device const \cd 1 This r~ist r is twtded wh n cecorting MpEG dau to indicate il the cxed ~a z rw rne ts MPEGscor t~ ud ~ c s Se- the MpEG standard ior a definition ot this nag This value is no~ used by the docoCer ChiFs Howeve ~e va!ue ~ ~^ cs--a t b~ us~tul to us~r soitwar~ to c~t-mln- wheth~r th~ ceccc~r is ;2~ C' decoding a particular MpEG daU t~le Table A.14.4 Other Video Demux registers -Registernarr~ 7 C l~iun r~ t~
picture_typ- 2 Dunng MPEG opetaDon this teglsl~r hold5 Lh- plCtWe tyPe ol the ~icture belng rw d coded h_261_pic_type 8 This register is ~o~ded when C coding H 261 d~ta It holds in~orrraDon about rw the picture ~ormaL

¦ r ¦ r ¦ s ¦ d ¦ ~ ¦ q ¦ r ¦ r ¦
Flags s - Split Scre-n Indicator d C~ocument Camera ~ Fr eze Piaure Rdease rnis valu- is not used by the d cod-r chips How-vet the ;r ~o aoon should ~ us d when configunng horiz_~ls vett_p IS nd the display or cutput d-vice hroken_closed 2 During MPE~i operation this register holds the Droken_link ana c osed_gop rw ; ~ lot the group ol pictures being decoded l,l6l5l4l3l21 ~1l ¦ r ¦ r ¦ r ¦ r ¦ r ¦ r ¦ c ¦ D ¦
Flags c ~ closedsop Table A.14.4 Other Vid-o Demux r-gist-r~ (contd) 2145~2~
, Registet n~ ~ i i~i æ
pr-a~CIion_mOde S Durmg MDEG and t~ 2610porat~on thls regiS~er nol~s th- curr enl value ol rw pr diction moda 1,161sl4l3121 ' 1l ¦ r ¦ r ¦ r ¦ h ¦ y ¦ x ¦ b ¦ I ¦
Fiags h ~nabl~ H 261 loop filter y rasel bachvtrd v ctor pr dicbon vbv_d lay 16 This r gisler is load d wh n d coding MpEG data wlth a value in~icating the ~w minimum s~an-up d-by bdors d~coding should stan 5~ the MPEB stand rd tor a d-finition ot this value This value is not us d by the d coder chips How v r the value it holds may be u~tul to user sOt~N wh n configuring th- d cod-r stan up regislers pic_numb-r 8 This rogister hdds ~e pictute numb r tor the pictur~s that is currently being rw d~d by the Vdeo D mux This numher wts generated by the stan coCe d-t ctor when this picture um~ed there Sae Tabla A 112 hr t description ot the pictur- number dummy_bsl_plcture 1 0 Th-~erqisterstretlsovisbbatthetoDbvel SeeTable~l4 1 rw thld_lnto 1 0 rw continu- 1 0 rw rom_revision 8 rw coding_standard 2 This register is loaded by the CODING_STANDARD ~oken lo cor ro ths Video ûemux s mode ol op ration Se- s~ction A 21 1 Table A.14.4 Other Video Demux registers (contd) Register name ~ r~. ~

resurt_lnterval 8 This register is haded when decwing JPEG dala wn~ a value InCica~lng r.e rw minimum start-up delay oelore decooing should s:alt Se~ ~Q MPEG standud ~or a d-finiuon o~ this value.

~bl- A.14.~ Oth-r Vid-o D-mux r-gi~t-r~ (contd) r-gister Tok-n standard commen CC~ 30/\ ,l_nam-_n COMPONENT_NAME JPEG in co~ed data.
MpEG not used in s~anduC.
H.261 tlori2_pels HORIZONTAL_SIZE MPEG in coded aau.
ven_p-ls VERTICAL_SIZE JPEG
H261 ~ ~ " '1~ derrvea Irom pictue type.
horiz_,. .tQ Q HORIZONTAL_M8S MPEG controlsofrwuemuslcerivefrom ven_.. .t `c ~ VERTICAL_MPS JPEG honzontal and vertical p,cture size.
H.261 aulo." 'l~ derrved from plc:ure typ~.
ma~_h DEFINE_MAX_SAMPLING MpEG control sof~vue must confiçwe.
ma~_v Sampling struclure i5 fixed by sfandard.
JPEG incoded ~ata.
H.261 auto",~i~cL: t configur~c lor 4:2:~
video.

T~ble A.14.5 Regi~ter to To~en cro~ r-f-rence 2145~23 r~ister Token st naard commen ma~_c~ ~r~ ld MAX_COMP_îD MPEG conuol sottwu~ must configure S~mpling sUucture is fi~ed Dy standard JPE~ in coaed data H261 a t Iy configured lor 4 2 0 video tq_o JPEG_TABLE_SELECT JPEG in codea data tq_1 MPEG not us-a in slanaara t~_2 tq_3 hlocks-n-o DEFINE_SAMPLING MPEG control Sonwue must conGgure blocks_h_1 S~mpling structure is fixeC Dy blocks_h_2 sundard bloc~_h_3 JPEG incod ddata 11261 ~ configured lor 4 2 0 blocks_v_0 video blocks_v_1 ~locl~s_v_2 blocks_v_3 ~c_hun_0 inJcanhe-d rdUIt JPEG incoa acata dc hun 1 MPEG_DCH_TACLE MPEG conUolso~vue,nust conhgure H261 nol us-d in sundud ~c_hu~t_2 dc_hutt_3 ac_hun_0 ir~san h ad rd-ta JPEG incoCea Cala MPEG nol used in slanduC
~c_hun_1 ac_hun_2 ac-hun-3 T~ble A. ~,~ . 5 R-gi~ter to Token cross ref erence ( contd ) DEMANDES OU BREVE~S VOLUMINEUX

LA l~tu~ TE PARTIE DE CETTE DEMANDE OU CE BREVET
COMPREND PLUS D'UN TOME.

CECI EST LE TOME DE

NOTE: Pour les tomes additionels, veuillez c~ntacter le Bureau canadien des brevets 2 )~t 5 sl~ 3 JUMBO APPLICATIONS/PATENTS

THIS SECTION OF THE APPLlCATlONliATENT CONTAINS MORE
THAN ONE VOLUME

THIS IS VOLUME l- OF

NOTE: For add;tional v~lumes please contact the Canadian Patent Office

Claims (43)

1. In a system having an input, an output and a plurality of processing stages between the input and the output, the improvement comprising:
an interactive interfacing control token, defining a universal adaptation unit, for control and/or data functions among said processing stages, whereby said processing stages are afforded enhanced flexibility in the performance of diverse tasks.
2. In a system having an input, an output and a plurality of processing stages between the input and the output, the improvement comprising:
an interactive metamorphic interfacing DATA
token, defining a universal adaptation unit, for data functions among said processing stages, whereby said processing stages are afforded enhanced flexibility in the processing of data.
3. A control token as recited in claim 1, wherein said token is position independent of said processing stages for performance of functions.
4. A DATA token as recited in claim 2, wherein said token is position dependent upon said processing stages for its performance.
5. A DATA token as recited in claim 2, wherein said token has unlimited word length.
6. A control token as recited in claim 1, wherein said token has a fixed, invariant word length.
7. A token as recited in either claim 1 or 2, wherein said token is generated by one of said processing stages.
8. A token as recited in either claim 1 or 2, wherein said token is created by one of said processing stages.
9. A token as recited in either claim 1 or 2, wherein said token is converted by one of said processing stages.
10. A token as recited in either claim 1 or 2, wherein said token is dynamically adaptive.
11. A token as recited in either claim 1 or 2, wherein said token is altered by interfacing with said stages.
12. A token as recited in either claim 1 or 2, wherein said token interacts with all of said stages.
13. A token as recited in either claim 1 or 2, wherein said token interacts with some, but less than all of said stages.
14. A token as recited in either claim 1 or 2, wherein said token interacts with only prede-termined ones of said stages.
15. A token as recited in either claim 1 or 2, wherein said token interacts with adjacent stages.
16. A token as recited in either claim 1 or 2, wherein said token interacts with non-adjacent stages.
17. A token as recited in claim 1, wherein said control token causes said proces-sing stages to reconfigure.
18. A token as recited in either claim 1 or 2, wherein said token is position dependent for some functions and position independent for other functions.
19. A token as recited in either claim 1 or 2, wherein said token provides a basic building block for the system.
20. A token as recited in either claim 1 or 2, wherein the interaction of said token with a stage is conditioned by the previous processing history of said stage.
21. A token as recited in claim 1, wherein said token has an address field which characterizes said token.
22. A token as recited in claim 21, wherein interaction with a selected processing stage is determined by said address field.
23. A token as recited in either claim 1 or 2, wherein said token includes an extension bit.
24. A token as recited in claim 23, wherein said extension bit indicates the presence of additional words in said token.
25. A token as recited in either claim 23 or 24, wherein said extension bit identifies the last word in said token.
26. A token as recited in either claim 21 or 22, wherein said address field is of variable length.
27. A token as recited in any of claims 21 or 22, wherein said address field is Huffman coded.
28. A token as recited in claim 2, wherein said DATA token includes data for transfer to said processing stages.
29. A token as recited in claim 1, wherein said control token is devoid of data.
30. A token as recited in claim 1, wherein said control token only conditions said processing stages.
31. A token as recited in claim 30, wherein the conditioning includes reconfiguring of said processing stages.
32. A token as recited in either claim 1 or 2, wherein said token is hybrid control and DATA
token and provides both data and conditioning to said processing stages.
33. A token as recited in claim 1, wherein said control token identifies a coding standard to said processing stages.
34. A token as recited in either claim 1 or 2, wherein said token operates independent of any coding standard among said processing stages.
35. A token as recited in either claim 1 or 2, wherein said token is capable of successive alteration by said processing stages.
36. A token as recited in either claim 1 or 2, wherein the interactive flexibility of said token in cooperation with said processing stages facili-tates greater functional diversity of said processing stages for resident structure.
37. A token as recited in either claim 1 or 2, wherein the flexibility of said token facili-tates system expansion and/or alteration.
38. A token as recited in claim 1, wherein said control token is capable of facilitating a plurality of functions within a processing stage.
39. A token as recited in either claim 1 or 2, wherein said token is hardware based.
40. A token as recited in either claim 1 or 2, wherein said token is software based.
41. A token as recited in either claim 1 or 2, wherein said token facilitates more efficient use of system bandwidth.
42. A token as recited in either claim 1 or 2, wherein said token provides data and control simultaneously to a processing stage.
43. A system as recited in claim 1, wherein certain of said control tokens carry control bits containing indices indicating information for use in corresponding state machines to create a set of picture standard-independent indexer signals.
CA002145423A 1994-03-24 1995-03-23 Inverse modeller, system including same, and methods relating thereto Abandoned CA2145423A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GB9405914A GB9405914D0 (en) 1994-03-24 1994-03-24 Video decompression
GB9405914.4 1995-02-28
GB9504046A GB2288520B (en) 1994-03-24 1995-02-28 Pipeline
GB9504046.5 1995-02-28

Publications (1)

Publication Number Publication Date
CA2145423A1 true CA2145423A1 (en) 1995-09-25

Family

ID=26304580

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002145423A Abandoned CA2145423A1 (en) 1994-03-24 1995-03-23 Inverse modeller, system including same, and methods relating thereto

Country Status (5)

Country Link
JP (2) JPH0897725A (en)
KR (1) KR100304511B1 (en)
CN (1) CN1114489A (en)
CA (1) CA2145423A1 (en)
GB (1) GB2288520B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3263807B2 (en) * 1996-09-09 2002-03-11 ソニー株式会社 Image encoding apparatus and image encoding method
US20030159152A1 (en) 2001-10-23 2003-08-21 Shu Lin Fast motion trick mode using dummy bidirectional predictive pictures
US8284844B2 (en) 2002-04-01 2012-10-09 Broadcom Corporation Video decoding system supporting multiple standards
US8731054B2 (en) 2004-05-04 2014-05-20 Qualcomm Incorporated Method and apparatus for weighted prediction in predictive frames
KR100711088B1 (en) * 2005-04-13 2007-04-24 광주과학기술원 Integer Transform Device for Moving Picture Encoder
CN101569200B (en) 2007-03-28 2011-11-02 松下电器产业株式会社 Dequantization circuit, dequantization method, and image reproduction device
US8194977B2 (en) * 2008-12-09 2012-06-05 Microsoft Corporation Remote desktop protocol compression acceleration using single instruction, multiple dispatch instructions
US20150049105A1 (en) * 2013-08-13 2015-02-19 Mediatek Inc. Data processing apparatus for transmitting/receiving indication information of pixel data grouping setting via display interface and related data processing method
GB2534420B (en) * 2015-01-26 2021-01-13 Advanced Risc Mach Ltd Data processing systems
CN112817889A (en) * 2019-11-15 2021-05-18 合肥美亚光电技术股份有限公司 Data acquisition method and system
CN111064912B (en) * 2019-12-20 2022-03-22 江苏芯盛智能科技有限公司 Frame format conversion circuit and method
CN113747060B (en) * 2021-08-12 2022-10-21 荣耀终端有限公司 Image processing method, device and storage medium
CN114580628A (en) * 2022-03-14 2022-06-03 北京宏景智驾科技有限公司 Efficient quantization acceleration method and hardware circuit for neural network convolution layer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2059724B (en) * 1979-09-28 1984-04-04 Racal Datacom Ltd Data transmission systems
JPS61194989A (en) * 1985-02-22 1986-08-29 Mitsubishi Electric Corp Still picture transmitter
US4680581A (en) * 1985-03-28 1987-07-14 Honeywell Inc. Local area network special function frames
GB8618060D0 (en) * 1986-07-24 1986-12-17 Gec Avionics Data processing apparatus
EP0255767A3 (en) * 1986-07-31 1990-04-04 AT&T Corp. Selective broadcasting arrangement for local area networks
JPH0695986A (en) * 1992-06-19 1994-04-08 Westinghouse Electric Corp <We> Real-time data imaging network system and operating method thereof
DE69229338T2 (en) * 1992-06-30 1999-12-16 Discovision Ass Data pipeline system
FR2695278B1 (en) * 1992-08-26 1994-10-14 Euro Cp Sarl Method for exchanging information, in particular between equipment in a room, and functional unit and installation relating thereto.

Also Published As

Publication number Publication date
JP2003143018A (en) 2003-05-16
GB9504046D0 (en) 1995-04-19
GB2288520A (en) 1995-10-18
KR100304511B1 (en) 2001-12-01
JPH0897725A (en) 1996-04-12
CN1114489A (en) 1996-01-03
KR950033894A (en) 1995-12-26
GB2288520B (en) 1998-10-14
JP3423942B2 (en) 2003-07-07

Similar Documents

Publication Publication Date Title
US5829007A (en) Technique for implementing a swing buffer in a memory array
CA2145549C (en) Multi-standard configuration
EP0891088A1 (en) Pipeline decoding system
US6119213A (en) Method for addressing data having variable data width using a fixed number of bits for address and width defining fields
US20020066007A1 (en) Multistandard video decoder and decompression system for processing encoded bit streams including pipeline processing and methods relating thereto
CA2145423A1 (en) Inverse modeller, system including same, and methods relating thereto
CA2145223C (en) Huffman decoder
GB2293076A (en) Video decoding and time synchronisation

Legal Events

Date Code Title Description
EEER Examination request
FZDC Correction of dead application (reinstatement)
FZDE Dead