WO2024079586A1 - Dispositif à semi-conducteur et dispositif de stockage - Google Patents

Dispositif à semi-conducteur et dispositif de stockage Download PDF

Info

Publication number
WO2024079586A1
WO2024079586A1 PCT/IB2023/060031 IB2023060031W WO2024079586A1 WO 2024079586 A1 WO2024079586 A1 WO 2024079586A1 IB 2023060031 W IB2023060031 W IB 2023060031W WO 2024079586 A1 WO2024079586 A1 WO 2024079586A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulator
conductor
oxide
transistor
film
Prior art date
Application number
PCT/IB2023/060031
Other languages
English (en)
Japanese (ja)
Inventor
國武寛司
太田将志
齋藤暁
山崎舜平
Original Assignee
株式会社半導体エネルギー研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体エネルギー研究所 filed Critical 株式会社半導体エネルギー研究所
Publication of WO2024079586A1 publication Critical patent/WO2024079586A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film

Definitions

  • One aspect of the present invention relates to a semiconductor device, a memory device, and an electronic device that use an oxide semiconductor layer. Another aspect of the present invention relates to a method for manufacturing the semiconductor device.
  • one embodiment of the present invention is not limited to the above technical field.
  • Examples of technical fields of one embodiment of the present invention include semiconductor devices, display devices, light-emitting devices, power storage devices, memory devices, electronic devices, lighting devices, input devices (e.g., touch sensors), input/output devices (e.g., touch panels), driving methods thereof, or manufacturing methods thereof.
  • a semiconductor device refers to any device that can function by utilizing semiconductor characteristics.
  • Semiconductor elements such as transistors, as well as semiconductor circuits, arithmetic devices, and memory devices, are one embodiment of semiconductor devices.
  • Display devices (such as liquid crystal display devices and light-emitting display devices), projection devices, lighting devices, electro-optical devices, power storage devices, memory devices, semiconductor circuits, imaging devices, electronic devices, and the like may be said to have semiconductor devices.
  • a CPU is a collection of semiconductor elements that have semiconductor integrated circuits (at least transistors and memory) that are chipped by processing a semiconductor wafer and have electrodes that serve as connection terminals.
  • IC chips Semiconductor circuits (IC chips) such as LSIs, CPUs, and memories are mounted on circuit boards, such as printed wiring boards, and are used as components in a variety of electronic devices.
  • transistors are widely used in electronic devices such as integrated circuits (ICs) and image display devices (also simply referred to as display devices).
  • ICs integrated circuits
  • image display devices also simply referred to as display devices.
  • Silicon-based semiconductor materials are widely known as semiconductor thin films that can be used in transistors, but oxide semiconductors are also attracting attention as other materials.
  • Patent Document 1 discloses a low-power consumption CPU that utilizes the property of low leakage current of transistors using oxide semiconductors.
  • Patent Document 2 discloses a memory device that can retain stored contents for a long period of time by utilizing the property of low leakage current of transistors using oxide semiconductors.
  • Patent Document 3 and Non-Patent Document 1 disclose a technique for increasing the density of integrated circuits by stacking a first transistor using an oxide semiconductor film and a second transistor using an oxide semiconductor film to provide multiple overlapping memory cells.
  • Patent Document 4 discloses a technique for increasing the density of integrated circuits by vertically arranging the channel of a transistor using an oxide semiconductor film.
  • An object of one embodiment of the present invention is to provide a semiconductor device that can be miniaturized or highly integrated. Alternatively, an object of one embodiment of the present invention is to provide a semiconductor device with high operating speed. Alternatively, an object of one embodiment of the present invention is to provide a semiconductor device with good electrical characteristics. Alternatively, an object of one embodiment of the present invention is to provide a semiconductor device with little variation in electrical characteristics of transistors. Alternatively, an object of one embodiment of the present invention is to provide a highly reliable semiconductor device. Alternatively, an object of one embodiment of the present invention is to provide a semiconductor device with high on-current. Alternatively, an object of one embodiment of the present invention is to provide a semiconductor device with low power consumption.
  • an object of one embodiment of the present invention is to provide a new semiconductor device.
  • an object of one embodiment of the present invention is to provide a method for manufacturing a semiconductor device with high productivity.
  • an object of one embodiment of the present invention is to provide a method for manufacturing a new semiconductor device.
  • one object of one embodiment of the present invention is to provide a memory device that can be miniaturized or highly integrated. Alternatively, one object of one embodiment of the present invention is to provide a memory device with a large storage capacity. Alternatively, one object of one embodiment of the present invention is to provide a memory device with a high operating speed. Alternatively, one object of one embodiment of the present invention is to provide a memory device with low power consumption. Alternatively, one object of one embodiment of the present invention is to provide a novel memory device.
  • One aspect of the present invention is a semiconductor device having a first insulator on a substrate, an oxide semiconductor covering the first insulator, a first conductor and a second conductor on the oxide semiconductor, a second insulator disposed on the first conductor and the second conductor and having an opening overlapping a region between the first conductor and the second conductor, a third insulator disposed in the opening and on the oxide semiconductor, and a third conductor disposed in the opening and on the third insulator, and in a cross-sectional view in the channel width direction, the height of the first insulator is greater than the width of the first insulator.
  • the side of the opening of the second insulator coincides or roughly coincides with the side of the first conductor and the side of the second conductor.
  • the height of the first insulator is at least 2 times and at most 20 times the width of the first insulator when viewed in a cross section in the channel width direction.
  • the first conductor functions as one of the source electrode and drain electrode of the transistor
  • the second conductor functions as the other of the source electrode and drain electrode of the transistor
  • the third conductor functions as the gate electrode of the transistor
  • the oxide semiconductor and the third conductor face each other on one side of the first insulator, sandwiching the third insulator therebetween, and that the oxide semiconductor and the third conductor face each other on the other side of the first insulator, sandwiching the third insulator therebetween.
  • the first conductor contacts the oxide semiconductor on one side and the other side of the first insulator, and the second conductor contacts the oxide semiconductor on one side and the other side of the first insulator.
  • the oxide semiconductor contains one or more elements selected from the group consisting of In, Ga, and Zn.
  • Another aspect of the present invention is a memory device that includes the above-described semiconductor device and a capacitor, in which one electrode of the capacitor is electrically connected to a first conductor of the semiconductor device.
  • the capacitive element is disposed on the third conductor, and at least a portion of the capacitive element overlaps with the oxide semiconductor and the third conductor.
  • a semiconductor device that can be miniaturized or highly integrated can be provided.
  • a semiconductor device with high operating speed can be provided.
  • a semiconductor device with good electrical characteristics can be provided.
  • a semiconductor device with less variation in electrical characteristics of transistors can be provided.
  • a highly reliable semiconductor device can be provided.
  • a semiconductor device with large on-state current can be provided.
  • a semiconductor device with low power consumption can be provided.
  • a novel semiconductor device can be provided.
  • a method for manufacturing a semiconductor device with high productivity can be provided.
  • a method for manufacturing a novel semiconductor device can be provided.
  • a memory device that can be miniaturized or highly integrated can be provided.
  • a memory device with a large storage capacity can be provided.
  • a memory device with a high operating speed can be provided.
  • a memory device with low power consumption can be provided.
  • a novel memory device can be provided.
  • Fig. 1A is a plan view showing an example of a semiconductor device
  • Figs. 1B to 1D are cross-sectional views showing the example of the semiconductor device.
  • 2A and 2B are cross-sectional views showing an example of a semiconductor device.
  • 3A to 3C are cross-sectional views showing an example of a semiconductor device.
  • 4A to 4C are cross-sectional views showing an example of a semiconductor device.
  • Fig. 5A is a plan view showing an example of a semiconductor device
  • Figs. 5B to 5D are cross-sectional views showing an example of the semiconductor device.
  • Fig. 6A is a plan view showing an example of a semiconductor device
  • Figs. 6B to 6D are cross-sectional views showing an example of the semiconductor device.
  • FIG. 7A is a plan view showing an example of a semiconductor device
  • Figs. 7B to 7D are cross-sectional views showing an example of the semiconductor device.
  • FIG. 8 is a cross-sectional view showing an example of a semiconductor device.
  • 9A is a plan view showing an example of a semiconductor device
  • FIGS. 9B to 9D are cross-sectional views showing an example of the semiconductor device.
  • 10A and 10B are cross-sectional views showing an example of a semiconductor device.
  • 11A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 12A is a plan view illustrating an example of a method for manufacturing a semiconductor device, and FIGS.
  • FIGS. 12B to 12D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 13A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 13B to 13D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 14A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 14B to 14D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 15A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 15B to 15D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • FIGS. 16A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 16B to 16D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 17A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 17B to 17D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 18A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 18B to 18D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 19A is a plan view illustrating an example of a method for manufacturing a semiconductor device, and FIGS.
  • 19B to 19D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 20A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 20B to 20D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • 21A is a plan view illustrating an example of a method for manufacturing a semiconductor device
  • FIGS. 21B to 21D are cross-sectional views illustrating an example of a method for manufacturing a semiconductor device.
  • FIG. 22 is a block diagram illustrating an example of a storage device.
  • 23A and 23B are a schematic diagram and a circuit diagram showing an example of a memory device.
  • 24A and 24B are schematic diagrams showing an example of a storage device.
  • FIG. 25 is a circuit diagram showing an example of a memory device.
  • 26A and 26B are cross-sectional views showing an example of a memory device.
  • 27A and 27B are cross-sectional views showing an example of a memory device.
  • FIG. 28 is a cross-sectional view showing an example of a storage device.
  • 29A and 29B are diagrams showing an example of a semiconductor device.
  • 30A and 30B are diagrams illustrating an example of an electronic component.
  • 31A and 31B are diagrams showing an example of electronic equipment, and
  • FIGS. 31C to 31E are diagrams showing an example of a mainframe computer.
  • FIG. 32 is a diagram showing an example of space equipment.
  • FIG. 33 is a diagram illustrating an example of a storage system applicable to a data center.
  • top views also called “top views”
  • perspective views some components may be omitted from the illustration. Also, some hidden lines may be omitted from the illustration.
  • ordinal numbers “first” and “second” are used for convenience and do not limit the number of components or the order of the components (e.g., the order of processes or the order of stacking). Furthermore, an ordinal number attached to a component in one place in this specification may not match an ordinal number attached to the same component in another place in this specification or in the claims.
  • film and “layer” may be interchangeable depending on the circumstances.
  • conductive layer may be interchangeable with the term “conductive film”.
  • insulating film may be interchangeable with the term “insulating layer”.
  • conductor may be interchangeable with the term “conductive layer” or the term “conductive film” depending on the circumstances.
  • insulating material may be interchangeable with the term “insulating layer” or the term “insulating film” depending on the circumstances.
  • parallel refers to a state in which two straight lines are arranged at an angle of -10 degrees or more and 10 degrees or less. Therefore, it also includes cases where the angle is -5 degrees or more and 5 degrees or less.
  • approximately parallel refers to a state in which two straight lines are arranged at an angle of -30 degrees or more and 30 degrees or less.
  • Perfect refers to a state in which two straight lines are arranged at an angle of 80 degrees or more and 100 degrees or less. Therefore, it also includes cases where the angle is 85 degrees or more and 95 degrees or less.
  • approximately perpendicular refers to a state in which two straight lines are arranged at an angle of 60 degrees or more and 120 degrees or less.
  • Openings include, for example, grooves and slits. Also, the area in which an opening is formed may be referred to as an opening.
  • the side walls of the opening in the insulator are shown as being perpendicular or approximately perpendicular to the substrate surface or the surface on which the film is formed, but they may also be tapered.
  • a tapered shape refers to a shape in which at least a portion of the side of the structure is inclined with respect to the substrate surface or the surface on which the structure is to be formed.
  • it refers to a shape having an area in which the angle between the inclined side and the substrate surface or the surface on which the structure is to be formed (hereinafter, sometimes referred to as the taper angle) is less than 90°.
  • the side of the structure and the substrate surface do not necessarily need to be completely flat, and may be approximately planar with a slight curvature, or approximately planar with fine irregularities.
  • the same or approximately the same height refers to a configuration in which the heights from a reference surface (for example, a flat surface such as a substrate surface) are equal in cross-sectional view.
  • a planarization process typically a CMP process
  • the surfaces treated in the CMP process are configured to have the same height from the reference surface.
  • the heights of multiple layers may differ depending on the processing device, processing method, or material of the processed surface during the CMP process. In this specification, this case is also treated as "the same or approximately the same height".
  • the difference in height between the top surface of the first layer and the top surface of the second layer is 20 nm or less, this is also referred to as "the same or approximately the same height".
  • side edges that coincide or roughly coincide means that, in a plan view, at least a portion of the contours of the stacked layers overlap. For example, this includes cases where the upper and lower layers are processed using the same mask pattern, or where a portion of the mask pattern is the same. However, strictly speaking, the contours may not overlap, and the contour of the upper layer may be located inside the contour of the lower layer, or the contour of the upper layer may be located outside the contour of the lower layer. In these cases, the term "side edges that coincide or roughly coincide" is also used.
  • FIG. 1A to FIG. 1D are a top view and a cross-sectional view of a semiconductor device having a transistor 200a and a transistor 200b over a substrate (not shown).
  • the transistor 200b has a similar structure to the transistor 200a, and therefore the components are given the same hatching pattern as the transistor 200a, and are not particularly marked with reference symbols.
  • the transistors 200a and 200b may be collectively referred to as the transistor 200.
  • the semiconductor device described in this embodiment can function as two 1T (transistor) 1C (capacitor) type memory cells by providing a capacitor electrically connected to the transistor 200a and a capacitor electrically connected to the transistor 200b, and can also be used for a memory device.
  • FIG. 1A is a top view of the semiconductor device.
  • FIGS. 1B to 1D are cross-sectional views of the semiconductor device.
  • FIG. 1B is a cross-sectional view of the portion indicated by the dashed line A1-A2 in FIG. 1A, and is also a cross-sectional view in the channel length direction of the transistor 200a.
  • FIG. 1C is a cross-sectional view of the portion indicated by the dashed line A3-A4 in FIG. 1A, and is also a cross-sectional view in the channel width direction of the transistors 200a and 200b.
  • FIG. 1D is a cross-sectional view of the portion indicated by the dashed line A5-A6 in FIG.
  • FIG. 1A shows an enlarged view of the vicinity of the conductor 260 in FIG. 1B.
  • FIG. 2B shows an enlarged view of the vicinity of the insulator 225 in FIG. 1C.
  • FIG. 4A shows an enlarged view of the vicinity of the conductor 242a in FIG. 1B.
  • FIG. 4B shows an enlarged view of the vicinity of the insulator 225 in FIG. 1D.
  • the semiconductor device has a conductor 205 (conductor 205a and conductor 205b) embedded in an insulator 216 on a substrate (not shown), an insulator 221 on the insulator 216 and the conductor 205, an insulator 222 on the insulator 221, an insulator 225 on the insulator 222, an oxide 230 (oxide 230a and oxide 230b) on the insulator 225 and the insulator 222, a conductor 242a and a conductor 242b on the oxide 230, an insulator 250 on the oxide 230, and a conductor 260 (conductor 260a and conductor 260b) on the insulator 250.
  • the conductor 242a and the conductor 242b may be collectively referred to as the conductor 242.
  • An insulator 275 is provided on the conductor 242, and an insulator 280 is provided on the insulator 275.
  • the insulator 250 and the conductor 260 are disposed inside openings provided in the insulator 280 and the insulator 275.
  • An insulator 282 is provided on the insulator 280 and the conductor 260.
  • An insulator 283 is provided on the insulator 282.
  • An insulator 215 is provided below the insulator 216 and the conductor 205.
  • Insulator 241a is provided in contact with the inner wall of the opening of insulator 280, etc., and conductor 240a is provided in contact with the side surface of insulator 241a. The bottom surface of conductor 240a is in contact with the top surface of conductor 242a.
  • Insulator 241b is provided in contact with the inner wall of the opening of insulator 280, etc., and conductor 240b is provided in contact with the side surface of insulator 241b. The bottom surface of conductor 240b is in contact with the top surface of conductor 242b.
  • conductors 240a and 240b may be collectively referred to as conductor 240.
  • Insulators 241a and 241b may be collectively referred to as insulator 241.
  • Oxide 230 has a region that functions as a channel formation region of transistor 200.
  • Conductor 260 has a region that functions as a first gate electrode (upper gate electrode) of transistor 200.
  • Insulator 250 has a region that functions as a first gate insulator of transistor 200.
  • Conductor 205 has a region that functions as a second gate electrode (lower gate electrode) of transistor 200.
  • Insulator 222 and insulator 221 each have a region that functions as a second gate insulator of transistor 200.
  • the conductor 242a has a region that functions as one of the source electrode or drain electrode of the transistor 200.
  • the conductor 240a functions as a plug that connects to the conductor 242a.
  • the conductor 242b has a region that functions as the other of the source electrode or drain electrode of the transistor 200.
  • the conductor 240b functions as a plug that connects to the conductor 242b.
  • the oxide 230 preferably has an oxide 230a covering the insulator 225 and an oxide 230b on the oxide 230a.
  • the oxide 230a contacts the top and side surfaces of the insulator 225 and the top surface of the insulator 222.
  • the oxide 230a and the oxide 230b are provided so as to cover the insulator 225, which has a high aspect ratio. Therefore, it is preferable to form the oxide 230a and the oxide 230b using a film formation method with good coverage, such as the ALD method.
  • the oxide 230a and the oxide 230b are formed so as to be folded in half through the insulator 225. With this configuration, the channel formation region of the transistor 200 can be formed on the top, the side surface on the A3 side, and the side surface on the A4 side of the insulator 225, so that the channel width per unit area can be increased.
  • oxide 230a below oxide 230b, it is possible to suppress the diffusion of impurities from structures formed below oxide 230a into oxide 230b.
  • oxide 230 has a two-layer structure of oxide 230a and oxide 230b, but this is not limiting.
  • Oxide 230 may have, for example, a single-layer structure of oxide 230b, or a laminated structure of three or more layers.
  • a channel formation region and a source region and a drain region are formed, sandwiching the channel formation region, in the transistor 200. At least a portion of the channel formation region overlaps with the conductor 260.
  • the source region overlaps with the conductor 242a, and the drain region overlaps with the conductor 242b. Note that the source region and the drain region can be interchanged.
  • the channel formation region is a high-resistance region with a low carrier concentration because it has fewer oxygen vacancies or a lower impurity concentration than the source and drain regions. Therefore, the channel formation region can be said to be i-type (intrinsic) or substantially i-type.
  • the source and drain regions are low-resistance regions with high carrier concentrations due to a large amount of oxygen vacancies or a high concentration of impurities such as hydrogen, nitrogen, and metal elements.
  • the source and drain regions are n-type regions (low-resistance regions) with a high carrier concentration compared to the channel formation region.
  • the carrier concentration of the channel formation region is preferably 1 ⁇ 10 18 cm ⁇ 3 or less, less than 1 ⁇ 10 17 cm ⁇ 3 , less than 1 ⁇ 10 16 cm ⁇ 3 , less than 1 ⁇ 10 15 cm ⁇ 3 , less than 1 ⁇ 10 14 cm ⁇ 3 , less than 1 ⁇ 10 13 cm ⁇ 3 , less than 1 ⁇ 10 12 cm ⁇ 3 , less than 1 ⁇ 10 11 cm ⁇ 3 , or less than 1 ⁇ 10 10 cm ⁇ 3 .
  • the lower limit of the carrier concentration of the channel formation region is not particularly limited, but may be, for example, 1 ⁇ 10 ⁇ 9 cm ⁇ 3 .
  • oxide 230b when the carrier concentration of oxide 230b is reduced, the impurity concentration in oxide 230b is reduced to reduce the defect state density.
  • a low impurity concentration and a low defect state density are referred to as high-purity intrinsic or substantially high-purity intrinsic.
  • an oxide semiconductor (or metal oxide) with a low carrier concentration may be referred to as a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor (or metal oxide).
  • Impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon, etc.
  • impurities in the oxide 230b refer to, for example, anything other than the main component that constitutes the oxide 230b.
  • an element with a concentration of less than 0.1 atomic % can be considered an impurity.
  • the channel formation region, source region, and drain region may each be formed with oxide 230a as well as oxide 230b.
  • the concentrations of metal elements and impurity elements such as hydrogen and nitrogen detected in each region may not only vary stepwise from region to region, but may also vary continuously within each region. In other words, the closer a region is to the channel formation region, the lower the concentrations of metal elements and impurity elements such as hydrogen and nitrogen.
  • oxide 230 oxide 230a and oxide 230b.
  • the band gap of a metal oxide that functions as a semiconductor is preferably 2 eV or more, and more preferably 2.5 eV or more.
  • a metal oxide with a large band gap By using a metal oxide with a large band gap, the off-current of a transistor can be reduced.
  • a transistor having a metal oxide in a channel formation region in this way is called an OS transistor. Since the off-current of an OS transistor is small, the power consumption of a semiconductor device can be sufficiently reduced. Furthermore, since the frequency characteristics of an OS transistor are high, the semiconductor device can operate at high speed.
  • the oxide 230 preferably has a metal oxide (oxide semiconductor).
  • metal oxides that can be used for the oxide 230 include indium oxide, gallium oxide, and zinc oxide.
  • the metal oxide preferably contains at least indium (In) or zinc (Zn).
  • the metal oxide preferably has two or three elements selected from indium, element M, and zinc.
  • the element M is a metal element or semi-metal element that has a high bond energy with oxygen, for example, a metal element or semi-metal element that has a higher bond energy with oxygen than indium.
  • the element M include aluminum, gallium, tin, yttrium, titanium, vanadium, chromium, manganese, iron, cobalt, nickel, zirconium, molybdenum, hafnium, tantalum, tungsten, lanthanum, cerium, neodymium, magnesium, calcium, strontium, barium, boron, silicon, germanium, and antimony.
  • the element M of the metal oxide is preferably one or more of the above elements, more preferably one or more selected from aluminum, gallium, tin, and yttrium, and even more preferably gallium.
  • metal elements and metalloid elements may be collectively referred to as "metal elements", and the "metal element" described in this specification may include metalloid elements.
  • the oxide 230 may be, for example, indium zinc oxide (In-Zn oxide), indium tin oxide (In-Sn oxide), indium titanium oxide (In-Ti oxide), indium gallium oxide (In-Ga oxide), indium gallium aluminum oxide (In-Ga-Al oxide), indium gallium tin oxide (In-Ga-Sn oxide), gallium zinc oxide (Ga-Zn oxide, also written as GZO), aluminum zinc oxide (Al-Zn oxide, also written as AZO), indium aluminum oxide (Al-Zn oxide, also written as AZO), Indium zinc oxide (In-Al-Zn oxide, also written as IAZO), indium tin zinc oxide (In-Sn-Zn oxide), indium titanium zinc oxide (In-Ti-Zn oxide), indium gallium zinc oxide (In-Ga-Zn oxide, also written as IGZO), indium gallium tin zinc oxide (In-Ga-Sn-Zn oxide, also written as IGZO),
  • the field effect mobility of the transistor can be increased.
  • the metal oxide may contain one or more metal elements with a high periodic number instead of or in addition to indium.
  • metal elements with a high periodic number include metal elements belonging to the fifth period and metal elements belonging to the sixth period. Specific examples of such metal elements include yttrium, zirconium, silver, cadmium, tin, antimony, barium, lead, bismuth, lanthanum, cerium, praseodymium, neodymium, promethium, samarium, and europium. Note that lanthanum, cerium, praseodymium, neodymium, promethium, samarium, and europium are called light rare earth elements.
  • the metal oxide may also contain one or more nonmetallic elements.
  • the field effect mobility of the transistor may be increased.
  • nonmetallic elements include carbon, nitrogen, phosphorus, sulfur, selenium, fluorine, chlorine, bromine, and hydrogen.
  • the metal oxide becomes highly crystalline, and the diffusion of impurities in the metal oxide can be suppressed. This suppresses fluctuations in the electrical characteristics of the transistor, and increases its reliability.
  • the formation of oxygen vacancies in the metal oxide can be suppressed. Therefore, carrier generation caused by oxygen vacancies is suppressed, and a transistor with a small off-current can be obtained. In addition, fluctuations in the electrical characteristics of the transistor can be suppressed, and reliability can be improved.
  • the electrical characteristics and reliability of the transistor vary depending on the composition of the metal oxide used for oxide 230. Therefore, by varying the composition of the metal oxide depending on the electrical characteristics and reliability required of the transistor, a semiconductor device that combines excellent electrical characteristics and high reliability can be obtained.
  • the oxide 230 preferably has a laminated structure of multiple oxide layers with different chemical compositions.
  • the atomic ratio of element M to the main metal element is preferably greater than the atomic ratio of element M to the main metal element in the metal oxide used for the oxide 230b.
  • the atomic ratio of element M to In is preferably greater than the atomic ratio of element M to In in the metal oxide used for the oxide 230b. This configuration can suppress the diffusion of impurities and oxygen from structures formed below the oxide 230a to the oxide 230b.
  • the atomic ratio of In to element M is greater than the atomic ratio of In to element M in the metal oxide used for oxide 230a.
  • oxide 230a and oxide 230b have a common element other than oxygen as a main component, the defect state density at the interface between oxide 230a and oxide 230b can be reduced. The defect state density at the interface between oxide 230a and oxide 230b can be reduced. As a result, the effect of interface scattering on carrier conduction is reduced, and the transistor 200 can obtain a large on-current and high frequency characteristics.
  • the nearby composition includes a range of ⁇ 30% of the desired atomic ratio.
  • Gallium is preferably used as the element M.
  • the metal oxide that can be used for the oxide 230a may be applied as the oxide 230b.
  • the composition of the metal oxide that can be used for the oxide 230a and the oxide 230b is not limited to the above.
  • the composition of the metal oxide that can be used for the oxide 230a may be applied to the oxide 230b.
  • the composition of the metal oxide that can be used for the oxide 230b may be applied to the oxide 230a.
  • the metal oxide of the above composition may be stacked in either or both of the oxide 230a and the oxide 230b.
  • the above atomic ratio is not limited to the atomic ratio of the formed metal oxide film, but may be the atomic ratio of the sputtering target used to form the metal oxide film.
  • the oxide 230b is preferably crystalline.
  • CAAC-OS c-axis aligned crystalline oxide semiconductor
  • CAAC-OS is a metal oxide that has a highly crystalline and dense structure and has few impurities and defects (e.g., oxygen vacancies).
  • a temperature e.g. 400°C or higher and 600°C or lower
  • the CAAC-OS can be made to have a more crystalline and dense structure. In this way, the density of the CAAC-OS can be further increased, thereby further reducing the diffusion of impurities or oxygen in the CAAC-OS.
  • the oxide 230b Furthermore, by using a crystalline oxide such as CAAC-OS as the oxide 230b, it is possible to suppress the extraction of oxygen from the oxide 230b by the source electrode or drain electrode. As a result, even when heat treatment is performed, the extraction of oxygen from the oxide 230b can be reduced, so that the transistor 200 is stable against high temperatures (so-called thermal budget) in the manufacturing process.
  • a crystalline oxide such as CAAC-OS
  • the electrical characteristics of a transistor using an oxide semiconductor may fluctuate, and the reliability may be reduced.
  • hydrogen near the oxygen vacancies may form a defect in which hydrogen is inserted into the oxygen vacancies (hereinafter, may be referred to as VOH ), and may generate electrons that serve as carriers.
  • VOH hydrogen near the oxygen vacancies
  • the transistor is likely to have normally-on characteristics (characteristics in which a channel exists and a current flows through the transistor even when no voltage is applied to the gate electrode). Therefore, impurities, oxygen vacancies, and VOH are preferably reduced as much as possible in the channel formation region in the oxide semiconductor.
  • the carrier concentration of the channel formation region in the oxide semiconductor is reduced and the channel formation region in the oxide semiconductor is i-type (intrinsic) or substantially i-type.
  • excess oxygen oxygen that is desorbed by heating
  • excess oxygen oxygen can be supplied from the insulator to the oxide semiconductor, thereby reducing oxygen vacancies and VOH .
  • excess oxygen oxygen supplied to the source region or drain region
  • the on-current of the transistor 200 may be reduced or the field-effect mobility may be reduced.
  • the amount of oxygen supplied to the source region or drain region varies within the substrate surface, the characteristics of a semiconductor device including a transistor may vary.
  • the conductor When oxygen supplied from the insulator to the oxide semiconductor diffuses to a conductor such as a gate electrode, a source electrode, or a drain electrode, the conductor may be oxidized and its conductivity may be impaired, which may adversely affect the electrical characteristics and reliability of the transistor.
  • the channel formation region preferably has a reduced carrier concentration and is i-type or substantially i-type, whereas the source and drain regions preferably have a high carrier concentration and are n-type. That is, it is preferable to reduce oxygen vacancies and VOH in the channel formation region of the oxide semiconductor. It is also preferable to prevent an excessive amount of oxygen from being supplied to the source and drain regions and to prevent the amount of VOH in the source and drain regions from being excessively reduced. It is also preferable to have a structure that suppresses a decrease in the conductivity of the conductor 260, the conductor 242a, the conductor 242b, and the like.
  • the conductor 260 it is preferable to have a structure that suppresses oxidation of the conductor 260, the conductor 242a, the conductor 242b, and the like.
  • hydrogen in the oxide semiconductor can form VOH , and therefore the hydrogen concentration needs to be reduced in order to reduce the amount of VOH .
  • a semiconductor device is configured to reduce the hydrogen concentration in the channel formation region, suppress oxidation of conductor 242a, conductor 242b, and conductor 260, and suppress reduction in the hydrogen concentration in the source region and drain region.
  • the insulator 250 in contact with the channel formation region in the oxide 230b preferably has a function of capturing or fixing hydrogen. This can reduce the hydrogen concentration in the channel formation region of the oxide 230b. As a result, VOH in the channel formation region can be reduced, and the channel formation region can be made i-type or substantially i-type.
  • the insulator 250 has a layered structure of an insulator 250a in contact with the oxide 230, an insulator 250b on the insulator 250a, an insulator 250c on the insulator 250b, and an insulator 250d on the insulator 250c.
  • the insulators 250a and 250c have the function of capturing hydrogen or fixing hydrogen.
  • An example of an insulator that has the function of capturing or fixing hydrogen is a metal oxide having an amorphous structure.
  • a metal oxide such as magnesium oxide or an oxide containing one or both of aluminum and hafnium as insulator 250a and insulator 250c.
  • oxygen atoms have dangling bonds, and the dangling bonds may have the property of capturing or fixing hydrogen.
  • metal oxides having an amorphous structure have a high ability to capture or fix hydrogen.
  • a high dielectric constant (high-k) material for the insulator 250a and the insulator 250c.
  • An example of a high-k material is an oxide containing one or both of aluminum and hafnium.
  • the insulators 250a and 250c it is preferable to use an oxide containing one or both of aluminum and hafnium, and it is more preferable to use an oxide having an amorphous structure and containing one or both of aluminum and hafnium.
  • an aluminum oxide film is used as the insulator 250a.
  • the aluminum oxide preferably has an amorphous structure.
  • hafnium oxide is used as the insulator 250c.
  • the hydrogen contained in the insulators 250b and 250d can be captured and fixed more effectively.
  • a thermally stable insulator such as silicon oxide or silicon oxynitride for the insulator 250b.
  • an oxynitride refers to a material whose composition contains more oxygen than nitrogen
  • a nitride oxide refers to a material whose composition contains more nitrogen than oxygen.
  • silicon oxynitride refers to a material whose composition contains more oxygen than nitrogen
  • silicon nitride oxide refers to a material whose composition contains more nitrogen than oxygen.
  • the insulators are, for example, insulator 250a, insulator 250d, insulator 250c, and insulator 275.
  • a barrier insulator refers to an insulator that has barrier properties.
  • having barrier properties refers to having the property of preventing the penetration of the corresponding substance (also called low permeability).
  • an insulator with barrier properties has the property that the corresponding substance is unlikely to diffuse into the insulator.
  • an insulator with barrier properties has the function of capturing or fixing the corresponding substance inside the insulator (also called gettering).
  • the barrier insulator against oxygen examples include oxides containing one or both of aluminum and hafnium, magnesium oxide, gallium oxide, indium gallium zinc oxide, silicon nitride, and silicon nitride oxide.
  • oxides containing one or both of aluminum and hafnium include aluminum oxide, hafnium oxide, oxides containing aluminum and hafnium (hafnium aluminate), and oxides containing hafnium and silicon (hafnium silicate).
  • the insulators 250a, 250c, 250d, and 275 each have a single-layer structure or a multilayer structure of the above-mentioned barrier insulator against oxygen.
  • the insulator 250a preferably has a barrier property against oxygen.
  • the insulator 250a is preferably at least less permeable to oxygen than the insulator 280.
  • the insulator 250a has an area in contact with the side surface of the conductor 242a and the side surface of the conductor 242b.
  • the insulator 250a having a barrier property against oxygen can suppress the side surfaces of the conductor 242a and the conductor 242b from being oxidized and forming an oxide film on the side surfaces. This can suppress a decrease in the on-current or a decrease in the field effect mobility of the transistor 200.
  • the insulator 250a is provided in contact with the upper surface and side surfaces of the oxide 230b, the side surfaces of the oxide 230a, and the upper surface of the insulator 222. Since the insulator 250a has a barrier property against oxygen, it is possible to suppress the desorption of oxygen from the channel formation region of the oxide 230b when a heat treatment or the like is performed. Therefore, it is possible to reduce the formation of oxygen vacancies in the oxide 230a and the oxide 230b.
  • the insulator 250a it is possible to prevent an excessive amount of oxygen from being supplied from the insulator 280 to the oxide 230a and the oxide 230b, and to supply an appropriate amount of oxygen to the oxide 230a and the oxide 230b. This prevents the source region and the drain region from being excessively oxidized, and suppresses a decrease in the on-current or a decrease in the field effect mobility of the transistor 200.
  • Oxides containing either or both of aluminum and hafnium have barrier properties against oxygen, and are therefore suitable for use as the insulator 250a.
  • the insulator 250d also preferably has a barrier property against oxygen.
  • the insulator 250d is provided between the channel formation region of the oxide 230 and the conductor 260, and between the insulator 280 and the conductor 260. This configuration can suppress the oxygen contained in the channel formation region of the oxide 230 from diffusing to the conductor 260 and forming oxygen vacancies in the channel formation region of the oxide 230. In addition, it can suppress the oxygen contained in the oxide 230 and the oxygen contained in the insulator 280 from diffusing to the conductor 260 and oxidizing the conductor 260.
  • the insulator 250d is preferably at least less permeable to oxygen than the insulator 280. For example, it is preferable to use a silicon nitride film as the insulator 250d. In this case, the insulator 250d is an insulator having at least nitrogen and silicon.
  • the insulator 250d has a barrier property against hydrogen. This can prevent impurities such as hydrogen contained in the conductor 260 from diffusing into the oxide 230b.
  • the insulator 275 also preferably has a barrier property against oxygen.
  • the insulator 275 is provided between the insulator 280 and the conductor 242a, and between the insulator 280 and the conductor 242b.
  • the insulator 275 is provided in contact with the side of the conductor 242, the side of the oxide 230, and the upper surface of the insulator 222.
  • This configuration can suppress the oxygen contained in the insulator 280 from diffusing into the conductor 242. Therefore, it is possible to suppress the conductor 242 from being oxidized by the oxygen contained in the insulator 280, which would increase the resistivity.
  • the insulator 275 is preferably at least less permeable to oxygen than the insulator 280.
  • the insulator 275 is an insulator having at least nitrogen and silicon.
  • the barrier insulator against hydrogen is, for example, insulator 275.
  • barrier insulators against hydrogen examples include oxides such as aluminum oxide, hafnium oxide, and tantalum oxide, and nitrides such as silicon nitride.
  • the insulator 275 is preferably a single-layer structure or a multilayer structure of the above-mentioned barrier insulators against hydrogen.
  • the source and drain regions can be made n-type.
  • the channel formation region can be made i-type or substantially i-type, and the source region and drain region can be made n-type, and a semiconductor device with good electrical characteristics can be provided. Furthermore, by using the above configuration, the semiconductor device can have good electrical characteristics even when miniaturized or highly integrated. Furthermore, by miniaturizing the transistor 200, the frequency characteristics can be improved. Specifically, the cutoff frequency can be improved.
  • the insulators 250a to 250d function as part of the gate insulator.
  • the insulators 250a to 250d are provided in an opening formed in the insulator 280 together with the conductor 260.
  • the thicknesses of the insulators 250a to 250d are each thin.
  • the thicknesses of the insulators 250a to 250d are each preferably 0.1 nm to 10 nm, more preferably 0.1 nm to 5.0 nm, more preferably 0.5 nm to 5.0 nm, more preferably 1.0 nm to less than 5.0 nm, and even more preferably 1.0 nm to 3.0 nm. Note that it is sufficient that the insulators 250a to 250d each have a region with the above-mentioned thickness at least in a portion thereof.
  • the film thickness of the insulators 250a to 250d as described above thin it is preferable to form the film using the atomic layer deposition (ALD) method.
  • ALD atomic layer deposition
  • the ALD method includes a thermal ALD method in which the reaction between the precursor and the reactant is carried out using only thermal energy, and a plasma enhanced ALD method in which a plasma excited reactant is used.
  • the use of plasma allows film formation at a lower temperature, which may be preferable.
  • the ALD method allows atoms to be deposited one layer at a time, which has the advantages of enabling extremely thin films to be formed, films to be formed on structures with high aspect ratios, films to be formed with fewer defects such as pinholes, films to be formed with excellent coverage, and films to be formed at low temperatures. Therefore, the insulator 250 can be formed with good coverage on the side surfaces of the opening formed in the insulator 280 and the side ends of the conductors 242a and 242b, and with a thin film thickness as described above.
  • films formed by the ALD method may contain more impurities such as carbon than films formed by other film formation methods.
  • Quantitative determination of impurities can be performed using secondary ion mass spectrometry (SIMS), X-ray photoelectron spectroscopy (XPS), or Auger electron spectroscopy (AES).
  • the insulator 250 has been described above as having a four-layer structure of insulators 250a to 250d, the present invention is not limited to this.
  • the insulator 250 can have a structure including at least one of insulators 250a to 250d. By configuring the insulator 250 with one, two, or three layers of insulators 250a to 250d, the manufacturing process of the semiconductor device can be simplified and productivity can be improved.
  • the insulator 250 may have a two-layer structure.
  • the insulator 250 has a laminated structure of an insulator 250a and an insulator 250d on the insulator 250a.
  • a high-k material can be used for at least one of the insulators 250a and 250d. This makes it possible to reduce the equivalent oxide thickness (EOT) while maintaining the thickness of the insulators 250a and 250d at a level that suppresses leakage current.
  • EOT equivalent oxide thickness
  • the insulator 250 may be configured to have a three-layer structure.
  • the insulator 250 has a layered structure of insulator 250a, insulator 250b on insulator 250a, and insulator 250d on insulator 250b.
  • the configuration shown in FIG. 3A is configured with an additional insulator 250b.
  • the semiconductor device is preferably configured to suppress hydrogen from being mixed into the transistor 200 and the like.
  • an insulator having a function of suppressing hydrogen diffusion so as to cover one or both of the top and bottom of the transistor 200 and the like.
  • the insulator is, for example, the insulator 283, the insulator 282, the insulator 222, the insulator 221, and the like.
  • the insulator 215 provided under the transistor 200 may have a structure similar to either one or both of the insulators 282 and 283.
  • the insulator 215 may have a stacked structure of the insulators 282 and 283, and may be configured to have the insulator 282 on the bottom and the insulator 283 on the top, or may be configured to have the insulator 282 on the top and the insulator 283 on the bottom.
  • one or more of the insulators 283, 282, 222, and 221 function as a barrier insulator that suppresses the diffusion of impurities such as water and hydrogen from the substrate side or from above the transistor 200 to the transistor 200. Therefore, it is preferable that one or more of the insulators 283, 282, 222, and 221 have an insulating material that has a function of suppressing the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2 O, NO, NO 2 , etc.), and copper atoms (through which the above impurities are difficult to permeate). Alternatively, it is preferable that the insulators have an insulating material that has a function of suppressing the diffusion of oxygen (for example, at least one of oxygen atoms and oxygen molecules, etc.) (through which the above oxygen is difficult to permeate).
  • oxygen for example, at least one of oxygen atoms and oxygen molecules, etc.
  • the insulators 283, 282, 222, and 221 each preferably have an insulator that has a function of suppressing the diffusion of impurities such as water and hydrogen, and oxygen, and may be, for example, aluminum oxide, magnesium oxide, hafnium oxide, zirconium oxide, oxide containing aluminum and hafnium (hafnium aluminate), oxide containing hafnium and zirconium (hafnium zirconium oxide), gallium oxide, indium gallium zinc oxide, silicon nitride, or silicon nitride oxide.
  • the insulators 283 and 221 are preferably made of silicon nitride, which has a higher hydrogen barrier property.
  • the insulator 282 is preferably made of aluminum oxide, which has a high ability to capture or fix hydrogen.
  • the insulator 222 is preferably made of hafnium oxide, which has a high ability to capture or fix hydrogen and is a high dielectric constant (high-k) material.
  • impurities such as water and hydrogen can be prevented from diffusing from an interlayer insulating film arranged above the insulator 283 to the transistor 200. Also, impurities such as water and hydrogen can be prevented from diffusing from an interlayer insulating film arranged below the insulator 221 to the transistor 200. Also, hydrogen contained in the insulator 280 and the insulator 250 can be captured and fixed to the insulator 282 or the insulator 222. Furthermore, by providing the insulators 282 and 283, oxygen contained in the insulator 280 can be prevented from diffusing above the transistor 200. Also, by providing the insulators 222 and 221, oxygen contained in the oxide 230 can be prevented from diffusing below the transistor 200.
  • silicon nitride which has a higher hydrogen barrier property, for insulator 275 and insulator 250d. It is also preferable to use aluminum oxide, which has a higher ability to capture hydrogen or fix hydrogen, for insulator 250a. It is also preferable to use hafnium oxide, which has a higher ability to capture hydrogen or fix hydrogen, for insulator 250c.
  • the insulator 225 is formed on and in contact with the insulator 222. As shown in FIG. 2B and FIG. 4B, the insulator 225 has a shape with a high aspect ratio in a cross-sectional view in the channel width direction.
  • the aspect ratio of the insulator 225 in a cross-sectional view in the channel width direction refers to the ratio of the length L of the insulator 225 in the A3-A4 direction (which can also be called the width L of the insulator 225) to the length H in a direction perpendicular to the surface on which the insulator 225 is formed (for example, the insulator 222) (which can also be called the height H of the insulator 225).
  • the height H of the insulator 225 is at least longer than the width L of the insulator 225.
  • the height H of the insulator 225 is greater than 1 time the width L of the insulator 225, preferably 2 times or more, more preferably 5 times or more, and even more preferably 10 times or more.
  • the height H of the insulator 225 is preferably 20 times or less the width L of the insulator 225.
  • the oxide 230a, the oxide 230b, and the conductor 242 are provided to cover the insulator 225 having such a high aspect ratio.
  • the oxide 230a and the oxide 230b are provided so as to sandwich the insulator 225 in a folded state, and the insulator 250 and the conductor 260 are further provided to cover the oxide 230b.
  • the oxide 230 and the conductor 260 are provided facing each other with the insulator 250 sandwiched between them on the upper part, the side surface on the A3 side, and the side surface on the A4 side of the insulator 225.
  • the upper part, the side surface on the A3 side, and the side surface on the A4 side of the insulator 225 each function as a channel formation region. Therefore, compared to the case where the insulator 225 is not provided, the channel width of the transistor 200 is larger by the side surface on the A3 side and the side surface on the A4 side of the insulator 225.
  • the channel width as described above By increasing the channel width as described above, the on-state current, field effect mobility, frequency characteristics, and the like of the transistor 200 can be improved. This makes it possible to provide a semiconductor device with high operating speed. In addition, the operating speed of a memory device using the semiconductor device can be increased. In addition, in the above structure, by providing the insulator 225, the channel width can be increased without increasing the area occupied by the transistor 200. This makes it possible to miniaturize or highly integrate the semiconductor device. In addition, the memory capacity of a memory device using the semiconductor device can be increased.
  • the insulator 225 may be made of an insulating material that can be used for the insulators 222, 280, and 250.
  • the insulator 225 since the insulator 225 has a shape with a high aspect ratio, it is preferable to form it in a sidewall shape on the side of the sacrificial layer (insulator 223 described later). Therefore, it is preferable to form the insulator 225 using the ALD method, which has good coverage.
  • the insulator 225 may be made of hafnium oxide formed by the thermal ALD method.
  • the insulator 225 of the transistor 200a and the insulator 225 of the transistor 200b can be formed simultaneously.
  • the distance between the two insulators 225 can be set according to the size of the sacrificial layer. Therefore, the distance between the insulators 225 can be reduced, the area occupied by the transistors 200a and 200b can be reduced, and the semiconductor device can be highly integrated.
  • the insulator 225 is not limited to insulating materials in the strict sense.
  • metal oxides with relatively high insulating properties may be used.
  • metal oxides that can be used for the oxide 230a may be used.
  • the upper part of the insulator 225 may have a curved shape.
  • a curved shape can prevent defects such as voids from forming in the oxide 230a, the oxide 230b, and the conductor 242 near the upper part of the insulator 225.
  • a symmetrical structure is shown in which a curved shape is provided on both the A3 side (A5 side) and the A4 side (A6 side) of the upper part of the insulator 225, but the present invention is not limited to this.
  • an asymmetrical structure may be used in which a curved shape is provided only on the A3 side (A5 side) of the upper part of the insulator 225.
  • FIG. 5A is a top view of the semiconductor device.
  • FIG. 5B to FIG. 5D are cross-sectional views of the semiconductor device.
  • FIG. 5B is a cross-sectional view of the portion indicated by the dashed line A1-A2 in FIG. 5A.
  • FIG. 5C is a cross-sectional view of the portion indicated by the dashed line A3-A4 in FIG. 5A.
  • FIG. 5D is a cross-sectional view of the portion indicated by the dashed line A7-A8 in FIG. 5A. Note that some elements are omitted from the top view of FIG. 5A for clarity.
  • the insulator 225 is integrated between the transistors 200a and 200b. Therefore, the insulator 275 contacts the top surface of the insulator 225 between the transistors 200a and 200b. As described above, the insulator 225 is preferably formed in a sidewall shape in contact with the side surface of the sacrificial layer. In the semiconductor device shown in FIG. 5A to FIG. 5D, the insulator 225 is formed by providing a sacrificial layer in the area surrounded by the insulator 225.
  • the conductor 205 is disposed so as to overlap the oxide 230 and the conductor 260.
  • the conductor 205 is preferably provided by being embedded in an opening formed in the insulator 216.
  • the conductor 205 is preferably provided extending in the channel width direction as shown in Figures 1A and 1C. With this configuration, the conductor 205 functions as wiring when multiple transistors are provided.
  • the conductor 205 has conductor 205a and conductor 205b.
  • Conductor 205a is provided in contact with the bottom surface and side wall of the opening.
  • Conductor 205b is provided so as to fill the recess of conductor 205a formed along the opening.
  • the height of the upper surface of conductor 205 coincides or approximately coincides with the height of the upper surface of insulator 216.
  • the conductor 205a preferably has a conductive material having a function of suppressing the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules ( N2O , NO, NO2 , etc.), copper atoms, etc.
  • impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules ( N2O , NO, NO2 , etc.), copper atoms, etc.
  • it preferably has a conductive material having a function of suppressing the diffusion of oxygen (for example, at least one of oxygen atoms and oxygen molecules, etc.).
  • a conductive material having the function of reducing hydrogen diffusion for the conductor 205a By using a conductive material having the function of reducing hydrogen diffusion for the conductor 205a, it is possible to prevent impurities such as hydrogen contained in the conductor 205b from diffusing into the oxide 230 via the insulator 216, etc. Also, by using a conductive material having the function of suppressing oxygen diffusion for the conductor 205a, it is possible to suppress the conductor 205b from being oxidized and its conductivity from decreasing. Examples of conductive materials having the function of suppressing oxygen diffusion include titanium, titanium nitride, tantalum, tantalum nitride, ruthenium, and ruthenium oxide.
  • the conductor 205a can have a single layer structure or a multilayer structure of the above conductive materials.
  • the conductor 205a preferably has titanium nitride.
  • the conductor 205b is made of a conductive material mainly composed of tungsten, copper, or aluminum.
  • the conductor 205b contains tungsten.
  • the conductor 205 can function as a second gate electrode.
  • the threshold voltage (Vth) of the transistor 200 can be controlled by changing the potential applied to the conductor 205 independently of the potential applied to the conductor 260.
  • applying a negative potential to the conductor 205 can increase the Vth of the transistor 200 and reduce the off-current. Therefore, applying a negative potential to the conductor 205 can reduce the drain current when the potential applied to the conductor 260 is 0 V, compared to when no negative potential is applied.
  • the electrical resistivity of the conductor 205 is designed taking into consideration the potential applied to the conductor 205, and the film thickness of the conductor 205 is set to match this electrical resistivity.
  • the film thickness of the insulator 216 is approximately the same as that of the conductor 205.
  • conductor 205 may have a single layer structure or a laminated structure of three or more layers.
  • the laminated structure of conductor 205a and conductor 205b may further include a conductor having the same material as conductor 205a on conductor 205b.
  • the conductor may be formed so that the top surface of conductor 205b is lower than the top of conductor 205a, and the recess formed by conductor 205a and conductor 205b is filled.
  • the semiconductor device of this embodiment may be configured without providing the conductor 205, as shown in Figures 6A to 6D.
  • the oxide 230 has a folded structure with the insulator 225 interposed therebetween. Therefore, the conductor 260 located opposite the oxide 230 across the insulator 225 may function similarly to the conductor 205. Therefore, as shown in Figures 6A to 6D, even if the conductor 205 is not provided, a part of the conductor 260 may function as a second gate electrode.
  • conductor 242a, conductor 242b, and conductor 260 are conductors that contain at least metal and nitrogen.
  • the conductors 242a and 242b are disposed at a distance from each other and are provided on the oxide 230b in contact with each other. As shown in Figures 4A and 4B, the conductor 242 is provided so as to cover the insulator 225, which has a high aspect ratio. Therefore, it is preferable to form the conductor 242 using a film formation method with good coverage, such as the ALD method or the CVD method.
  • the oxide 230a, the oxide 230b, and the conductor 242a are provided so as to be folded in half with the insulator 225 sandwiched therebetween.
  • the conductor 242a contacts the oxide 230b at the top, the side surface on the A5 side, and the side surface on the A6 side of the insulator 225. Therefore, compared to the case where the insulator 225 is not provided, the contact area between the conductor 242a and the oxide 230b is larger by the amount of the side surface on the A5 side and the side surface on the A6 side of the insulator 225.
  • FIG. 4B shows the vicinity of the conductor 242a, the same is true for the conductor 242b.
  • the contact area between the conductor 242b and the oxide 230b is larger, similar to the above-mentioned conductor 242a and the oxide 230b.
  • the on-current, frequency characteristics, and the like of the transistor 200 can be improved without increasing the area occupied by the transistor 200.
  • This makes it possible to provide a semiconductor device with a high operating speed.
  • the operating speed of a memory device using the semiconductor device can be increased.
  • This also makes it possible to miniaturize or highly integrate the semiconductor device.
  • the memory capacity of a memory device using the semiconductor device can be increased.
  • conductors 242a and 242b are in contact with oxide 230b, it is preferable to use a conductive material that is resistant to oxidation or a conductive material that has the function of suppressing the diffusion of oxygen. This can suppress a decrease in the conductivity of conductors 242a and 242b. It can also suppress the extraction of oxygen from oxide 230b, which would result in the formation of an excessive amount of oxygen vacancy. It is also preferable to use a material that easily absorbs (extracts) hydrogen as conductors 242a and 242b, as this can reduce the hydrogen concentration in oxide 230.
  • a metal nitride for example, a nitride containing tantalum, a nitride containing titanium, a nitride containing molybdenum, a nitride containing tungsten, a nitride containing tantalum and aluminum, or a nitride containing titanium and aluminum.
  • a nitride containing tantalum is particularly preferable.
  • ruthenium, ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel, or the like may be used. These materials are preferable because they are conductive materials that are difficult to oxidize, or materials that maintain their conductivity even when they absorb oxygen.
  • hydrogen contained in oxide 230b etc. may diffuse into conductor 242a or conductor 242b.
  • hydrogen contained in oxide 230b etc. is more likely to diffuse into conductor 242a or conductor 242b, and the diffused hydrogen may combine with nitrogen contained in conductor 242a or conductor 242b.
  • hydrogen contained in oxide 230b etc. may be absorbed by conductor 242a or conductor 242b.
  • a crystalline oxide such as CAAC-OS as the oxide 230b.
  • a metal oxide containing indium, zinc, and one or more selected from gallium, aluminum, and tin By using CAAC-OS, it is possible to suppress the extraction of oxygen from the oxide 230b by the conductor 242a or the conductor 242b. It is also possible to suppress a decrease in the conductivity of the conductor 242a and the conductor 242b.
  • the conductors 242a and 242b may have a two-layer structure.
  • the conductor 242a may be a laminated film of the conductor 242a1 and the conductor 242a2 on the conductor 242a1
  • the conductor 242b may be a laminated film of the conductor 242b1 and the conductor 242b2 on the conductor 242b1.
  • This can suppress the decrease in the conductivity of the conductors 242a and 242b. In addition, it can suppress the extraction of oxygen from the oxide 230b, which can suppress the formation of an excessive amount of oxygen vacancy. In addition, it is preferable to use a material that easily absorbs (removes) hydrogen as the layer in contact with the oxide 230b (conductor 242a1 and conductor 242b1), as this can reduce the hydrogen concentration in the oxide 230.
  • conductor 242a2 and conductor 242b2 have higher conductivity than conductor 242a1 and conductor 242b1.
  • the film thickness of conductor 242a2 and conductor 242b2 is larger than the film thickness of conductor 242a1 and conductor 242b1.
  • Conductors that can be used for conductor 205b may be used as conductor 242a2 and conductor 242b2.
  • tantalum nitride or titanium nitride can be used as the conductor 242a1 and the conductor 242b1, and tungsten can be used as the conductor 242a2 and the conductor 242b2.
  • the insulator 255 is disposed in an opening formed in the insulator 280, etc., and contacts the side of the insulator 280, the side of the insulator 275, the side of the conductor 242a2, the side of the conductor 242b2, the top surface of the conductor 242a1, and the top surface of the conductor 242b1.
  • the insulator 255 is formed in contact with the side wall of the opening formed in the insulator 280, etc. That is, the insulator 255 can also be called a sidewall insulating film.
  • the insulator 255 is formed in contact with the side surface of the conductor 242a2 and the side surface of the conductor 242b2, and is an inorganic insulator that protects the conductor 242a2 and the conductor 242b2. Since the insulator 255 is exposed to an oxidizing atmosphere, it is preferable that the insulator 255 is an inorganic insulator that is not easily oxidized. Furthermore, since the insulator 255 is in contact with the conductor 242a2 and the conductor 242b2, it is preferable that the insulator 255 is an inorganic insulator that is not easily oxidized. Therefore, it is preferable that the insulator 255 is made of an insulating material that can be used for the insulator 250d that has a barrier property against oxygen. For example, silicon nitride can be used as the insulator 255.
  • 3C shows a structure in which the upper end of insulator 255 roughly coincides with the upper surface of insulator 280, the upper end of insulator 250, and the upper end of conductor 260, but this embodiment is not limited to this.
  • Insulator 255 may be structured to cover the side surface of conductor 242a2 and the side surface of conductor 242b2.
  • the upper end of insulator 255 may be structured to be lower than the upper surface of insulator 280 and higher than the upper surface of insulator 275.
  • the distance between the conductor 242a1 and the conductor 242b1 is smaller than the distance between the conductor 242a2 and the conductor 242b2.
  • the difference in distance is equal to or approximately equal to twice the film thickness of the insulator 255.
  • the film thickness of the insulator 255 refers to the film thickness in the A1-A2 direction of at least a portion of the insulator 255.
  • conductor 260 is disposed in an opening formed in insulator 280, insulator 275, conductor 242a, and conductor 242b.
  • Conductor 260 is disposed in the opening so as to cover the upper surface of insulator 222, the side of oxide 230a, the side of oxide 230b, and the upper surface of oxide 230b via insulator 250.
  • the upper surface of conductor 260 is disposed so as to be flush or approximately flush with the top of insulator 250 and the upper surface of insulator 280.
  • the sidewall of the opening may be perpendicular or approximately perpendicular to the upper surface of the insulator 222, or may be tapered.
  • the sidewall tapered By making the sidewall tapered, the coverage of the insulator 250 and the like provided in the opening of the insulator 280 is improved, and defects such as voids can be reduced.
  • the conductor 260 functions as a first gate electrode of the transistor 200.
  • the conductor 260 is preferably provided extending in the channel width direction, as shown in Figures 1A and 1C. With this configuration, the conductor 260 functions as wiring when multiple transistors are provided.
  • the transistor structure in which the electric field of at least the first gate electrode electrically surrounds the channel formation region is called a surrounded channel (S-channel) structure.
  • the S-channel structure disclosed in this specification has a structure different from the Fin type structure and the planar type structure.
  • the S-channel structure disclosed in this specification can also be considered as a type of Fin type structure.
  • the Fin type structure refers to a structure in which the gate electrode is arranged to surround at least two or more sides of the channel (specifically, two, three, or four sides, etc.).
  • the channel formation region can be electrically surrounded. Since the S-channel structure electrically surrounds the channel formation region, it can be said that it is substantially the same structure as a GAA (Gate All Around) structure or a LGAA (Lateral Gate All Around) structure.
  • the transistor 200 By making the transistor 200 have an S-channel structure, a GAA structure, or a LGAA structure, the channel formation region formed at or near the interface between the oxide 230 and the gate insulator can be the entire bulk of the oxide 230. Therefore, it is possible to improve the current density flowing through the transistor, and it is expected that the on-current of the transistor or the field effect mobility of the transistor can be improved.
  • conductor 260 is shown as having a two-layer structure.
  • conductor 260 preferably has conductor 260a and conductor 260b arranged on conductor 260a.
  • conductor 260a is preferably arranged so as to wrap around the bottom and side surfaces of conductor 260b.
  • the conductor 260a is preferably made of a conductive material that has the function of suppressing the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules, and copper atoms.
  • impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules, and copper atoms.
  • a conductive material that has the function of suppressing the diffusion of oxygen e.g., at least one of oxygen atoms and oxygen molecules).
  • the conductor 260a since the conductor 260a has the function of suppressing the diffusion of oxygen, it is possible to suppress the oxidation of the conductor 260b due to the oxygen contained in the insulator 280, etc., which would otherwise cause a decrease in conductivity.
  • a conductive material having the function of suppressing the diffusion of oxygen it is preferable to use, for example, titanium, titanium nitride, tantalum, tantalum nitride, ruthenium, ruthenium oxide, etc.
  • the conductor 260b is a conductor having high conductivity.
  • the conductor 260b may be a conductive material containing tungsten, copper, or aluminum as a main component.
  • the conductor 260b may also have a layered structure, for example, a layered structure of titanium or titanium nitride and the above-mentioned conductive material.
  • the conductor 260 is formed in a self-aligned manner so as to fill an opening formed in the insulator 280 or the like.
  • the side of the insulator 280 in the opening coincides or roughly coincides with the side of the conductor 242a and the side of the conductor 242b. Therefore, the conductor 260 can be positioned so as to overlap the region between the conductor 242a and the conductor 242b without alignment.
  • the insulators 216 and 280 each have a lower dielectric constant than the insulator 222.
  • the parasitic capacitance that occurs between the wirings can be reduced.
  • the insulators 216 and 280 each have one or more of silicon oxide, silicon oxynitride, silicon oxide doped with fluorine, silicon oxide doped with carbon, silicon oxide doped with carbon and nitrogen, and silicon oxide having vacancies.
  • Silicon oxide and silicon oxynitride are particularly preferred because they are thermally stable. Materials such as silicon oxide, silicon oxynitride, and silicon oxide with vacancies are particularly preferred because they can easily form regions that contain oxygen that is released by heating.
  • the upper surfaces of the insulators 216 and 280 may each be flattened.
  • the concentration of impurities such as water and hydrogen in the insulator 280 is reduced.
  • the insulator 280 has an oxide containing silicon, such as silicon oxide or silicon oxynitride.
  • Conductor 240a and conductor 240b are formed in the openings of insulators 275, 280, 282, and 283, respectively.
  • the lower surface of conductor 240a contacts the upper surface of conductor 242a
  • the lower surface of conductor 240b contacts the upper surface of conductor 242b.
  • the height of the upper surface of conductor 240 and the height of the upper surface of insulator 283 are approximately the same.
  • the conductor 240 is preferably made of a conductive material containing tungsten, copper, or aluminum as a main component.
  • the conductor 240 may also have a layered structure in which a first conductor is provided in contact with the side surface of the insulator 241, and a second conductor is provided further inside. In this case, the above-mentioned conductive material can be used as the second conductor.
  • the conductor 240 has a laminated structure, it is preferable to use a conductive material having a function of suppressing the permeation of impurities such as water and hydrogen for the first conductor arranged near the insulators 283, 282, and 280, and the insulator 275.
  • a conductive material having a function of suppressing the permeation of impurities such as water and hydrogen for the first conductor arranged near the insulators 283, 282, and 280, and the insulator 275.
  • the conductive material having a function of suppressing the permeation of impurities such as water and hydrogen may be used in a single layer or a laminated layer. With such a configuration, it is possible to suppress impurities such as water and hydrogen contained in layers above the insulator 283 from being mixed into the oxide 230 through the conductors 240a and 240b.
  • Insulators 241a and 241b are formed in contact with the inner walls of the openings of insulators 275, 280, 282, and 283, respectively.
  • the inner side of insulator 241a contacts conductor 240a, and the inner side of insulator 241b contacts conductor 240b.
  • the insulator 241 may be a barrier insulating film that can be used for the insulator 275, etc.
  • the insulator 241 may be an insulator such as silicon nitride, aluminum oxide, or silicon nitride oxide.
  • impurities such as water and hydrogen contained in the insulator 280, etc., can be prevented from mixing into the oxide 230 through the conductors 240a and 240b.
  • Silicon nitride is particularly suitable because it has high blocking properties against hydrogen.
  • the oxygen contained in the insulator 280 can be prevented from being absorbed by the conductors 240a and 240b.
  • the first insulator in contact with the inner wall of the opening, such as the insulator 280, and the second insulator on the inside thereof are made of a combination of a barrier insulating film against oxygen and a barrier insulating film against hydrogen.
  • the first insulator may be aluminum oxide formed by thermal ALD, and the second insulator may be silicon nitride formed by PEALD. This configuration can suppress oxidation of the conductor 240 and also reduce hydrogen contamination of the conductor 240.
  • the insulator 241 has a two-layer laminated structure
  • the present invention is not limited to this.
  • the insulator 241 may be configured as a single layer or a laminated structure of three or more layers.
  • the conductor 240 may be configured as a single layer or a laminated structure of three or more layers.
  • FIG. 4B and other figures a structure in which the conductor 240a contacts the conductor 242a only above the upper end of the insulator 225 is shown, but the present invention is not limited to this.
  • FIG. 4C a structure in which the conductor 240a covers the insulator 225 and the oxide 230a, oxide 230b, and conductor 242a that are folded in half with the insulator 225 in between may be used.
  • the conductor 240a contacts the conductor 242a at the top of the insulator 225, the side surface on the A5 side, and the side surface on the A6 side.
  • the contact area between the conductor 240a and the conductor 242a is larger by the side surface on the A5 side and the side surface on the A6 side of the insulator 225.
  • FIG. 4C the vicinity of the conductor 240a and the conductor 242a is shown, but the same applies to the conductor 240b and the conductor 242b.
  • the contact area between conductor 240b and conductor 242b is large.
  • transistor 200 By increasing the contact area between conductor 240 and conductor 242 as described above, the on-current, frequency characteristics, and the like of transistor 200 can be improved without significantly increasing the area occupied by transistor 200. This makes it possible to provide a semiconductor device with a high operating speed. In addition, the operating speed of a storage device using the semiconductor device can be increased. This also makes it possible to miniaturize or highly integrate the semiconductor device. In addition, the storage capacity of a storage device using the semiconductor device can be increased.
  • the oxide 230a and the oxide 230b cover the insulator 225 near the channel of the transistor 200, but the present invention is not limited to this.
  • the upper surface of the insulator 225 may be exposed from the oxide 230a and the oxide 230b near the channel of the transistor 200.
  • FIG. 7A is a top view of the semiconductor device.
  • FIG. 7B to FIG. 7D, and FIG. 8 are cross-sectional views of the semiconductor device.
  • FIG. 7B is a cross-sectional view of the portion indicated by the dashed line A1-A2 in FIG. 7A.
  • FIG. 7C is a cross-sectional view of the portion indicated by the dashed line A3-A4 in FIG. 7A.
  • FIG. 7D is a cross-sectional view of the portion indicated by the dashed line A5-A6 in FIG. 7A.
  • FIG. 8 is a cross-sectional view of the portion indicated by the dashed line B1-B2 in FIG. 7A. Also, in the top view of Figure 7A, some elements have been omitted for clarity.
  • the oxide 230a contacts the side of the insulator 225
  • the oxide 230b contacts the side of the oxide 230a
  • the oxide 230a and the oxide 230b do not contact at least a part of the upper surface of the insulator 225.
  • the oxide 230a contacts the side of the insulator 225, the side and lower surface of the oxide 230b, and the upper surface of the insulator 222.
  • the upper surface of the insulator 225 contacts the lower surface of the insulator 250.
  • the oxide 230a and the oxide 230b cover the insulator 225 in the region that overlaps with the conductor 242, etc., similar to the structure shown in Figure 1D.
  • the oxide 230a and the oxide 230b are not formed between the conductor 242a and the conductor 242b, but as shown in FIG. 8, the oxide 230a and the oxide 230b are formed near the side of the insulator 225. That is, in the region where the oxide 230a and the oxide 230b overlap the conductor 242, the A5 side portion and the A6 side portion are folded in half and integrated with the insulator 225 in between, but in the region between the conductor 242a and the conductor 242b, the A3 side portion and the A4 side portion are separated by the insulator 225.
  • the insulator 225 is mostly covered by the oxide 230, but in the region between the conductor 242a and the conductor 242b, an opening is formed in the oxide 230, and the insulator 225 is exposed from the oxide 230 in that region.
  • the oxide 230a and the oxide 230b are provided in the form of sidewalls on the side of the insulator 225, which has a high aspect ratio.
  • the channel formation region of the transistor 200 can be formed on the side of the insulator 225 on the A3 side and the A4 side, so that the channel width per unit area can be increased.
  • the increased channel width can improve the on-current, field effect mobility, and frequency characteristics of the transistor 200. Therefore, by using the semiconductor device of this embodiment as a memory device, the operating speed can be improved.
  • the oxide 230a, the oxide 230b, the conductor 242a, and the conductor 242b are folded in two with the insulator 225 sandwiched between them near the source or drain of the transistor 200, but the present invention is not limited to this.
  • the oxide 230a, the oxide 230b, the conductor 242a, and the conductor 242b can be divided into a portion on the A3 side and a portion on the A4 side with the dashed line A1-A2 as the boundary.
  • FIG. 9A is a top view of the semiconductor device. Also, FIGS.
  • FIG. 9B to 9D, 10A, and 10B are cross-sectional views of the semiconductor device.
  • FIG. 9B is a cross-sectional view of the portion indicated by the dashed line D1-D2 in FIG. 9A.
  • FIG. 9C is a cross-sectional view of the portion indicated by the dashed line A3-A4 in FIG. 9A.
  • FIG. 9D is a cross-sectional view of the area indicated by the dashed line A5-A6 in FIG. 9A.
  • FIG. 10A is a cross-sectional view of the area indicated by the dashed line E1-E2 in FIG. 9A.
  • FIG. 10B is a cross-sectional view of the area indicated by the dashed line A1-A2 in FIG. 9A.
  • FIG. 9A In the top view of FIG. 9A, some elements have been omitted for clarity.
  • the semiconductor device shown in Figures 9A to 9D, 10A, and 10B has transistor 200aD on the A3 side and transistor 200aE on the A4 side, with the dashed line A1-A2 (insulator 225) as the boundary.
  • the transistor 200a is divided into transistor 200aD and transistor 200aE without significantly increasing the occupied area.
  • the semiconductor device shown in Figures 9A to 9D, 10A, and 10B does not show components corresponding to transistor 200b of the semiconductor device shown in Figures 7A to 7D, and 8, but components corresponding to transistor 200b can also be provided, as in the semiconductor device shown in Figures 7A to 7D, and 8.
  • the components of the semiconductor device shown in Figures 9A to 9D, 10A, and 10B are the components of the semiconductor device shown in Figures 7A to 7D, and 8, with D or E added. That is, the components of the transistor 200aD on the A3 side are the oxide 230D (oxide 230aD and oxide 230bD), conductor 242aD, conductor 242bD, conductor 240aD, conductor 240bD, insulator 241aD, and insulator 241bD.
  • the components of the transistor 200aE on the A4 side are the oxide 230E (oxide 230aE and oxide 230bE), conductor 242aE, conductor 242bE, conductor 240aE, conductor 240bE, insulator 241aE, and insulator 241bE.
  • oxide 230E oxide 230aE and oxide 230bE
  • conductor 242aE conductor 242bE
  • conductor 240aE conductor 240aE
  • conductor 240bE insulator 241aE
  • insulator 241bE insulator 241bE
  • oxide 230aD, oxide 230bD, and conductor 242aD are provided separately from oxide 230aE, oxide 230bE, and conductor 242aE (conductor 242bE) on insulator 225. Therefore, as shown in FIG. 10B, oxide 230aD, oxide 230bD, conductor 242aD, conductor 242bD, oxide 230aE, oxide 230bE, conductor 242aE, and conductor 242bE are not formed above at least a portion of insulator 225.
  • oxide 230D oxide 230aD and oxide 230bD
  • oxide 230bD oxide 230bD
  • oxide 230E oxide 230aE and oxide 230bE
  • Each layer constituting the semiconductor device may have a single layer structure or a multilayer structure.
  • insulating substrate for example, a glass substrate, a quartz substrate, a sapphire substrate, a stabilized zirconia substrate (such as an yttria stabilized zirconia substrate), and a resin substrate can be mentioned.
  • semiconductor substrate for example, a semiconductor substrate made of silicon or germanium, and a compound semiconductor substrate made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, or gallium oxide can be mentioned.
  • a semiconductor substrate having an insulator region inside the semiconductor substrate for example, an SOI (Silicon On Insulator) substrate
  • the conductive substrate for example, a graphite substrate, a metal substrate, an alloy substrate, and a conductive resin substrate
  • the substrate for example, a substrate having a metal nitride, a substrate having a metal oxide, a substrate having a conductor or semiconductor provided on an insulator substrate, a substrate having a conductor or insulator provided on a semiconductor substrate, and a substrate having a semiconductor or insulator provided on a conductive substrate can be mentioned.
  • one or more types of elements may be provided on the substrate, such as a capacitor element, a resistor element, a switch element, a light-emitting element, and a memory element.
  • insulator examples include insulating oxides, nitrides, oxynitrides, nitride oxides, metal oxides, metal oxynitrides, and metal nitride oxides.
  • Examples of insulators with a high relative dielectric constant include gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxide nitrides containing aluminum and hafnium, oxides containing silicon and hafnium, oxide nitrides containing silicon and hafnium, and nitrides containing silicon and hafnium.
  • Examples of insulators with low dielectric constants include silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide with added fluorine, silicon oxide with added carbon, silicon oxide with added carbon and nitrogen, silicon oxide with voids, and resin.
  • the electrical characteristics of a transistor using a metal oxide can be stabilized by surrounding it with an insulator that has a function of suppressing the permeation of impurities such as hydrogen and oxygen.
  • an insulator that has a function of suppressing the permeation of impurities such as hydrogen and oxygen for example, an insulator containing one or more of boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, lanthanum, neodymium, hafnium, and tantalum can be used in a single layer or a stacked layer.
  • an insulator that has a function of suppressing the permeation of impurities such as hydrogen and oxygen
  • metal oxides such as aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, and tantalum oxide
  • metal nitrides such as aluminum nitride, silicon nitride oxide, and silicon nitride can be used.
  • the insulator that functions as the gate insulator is an insulator having a region containing oxygen that is released by heating.
  • the oxygen vacancies in oxide 230 can be compensated for.
  • a metal element selected from aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, iridium, strontium, lanthanum, etc.
  • tantalum nitride titanium nitride, tungsten, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, and oxide containing lanthanum and nickel can be mentioned.
  • tantalum nitride, titanium nitride, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, and oxide containing lanthanum and nickel are preferable because they are conductive materials that are difficult to oxidize, or materials that maintain conductivity even when oxygen is absorbed.
  • a semiconductor having high electrical conductivity typified by polycrystalline silicon containing an impurity element such as phosphorus, or a silicide such as nickel silicide may be used.
  • a layered structure combining the material containing the metal element described above with a conductive material containing oxygen for example, a layered structure combining the material containing the metal element described above with a conductive material containing oxygen, a layered structure combining the material containing the metal element described above with a conductive material containing nitrogen, or a layered structure combining the material containing the metal element described above with a conductive material containing oxygen and a conductive material containing nitrogen may be applied.
  • an oxide is used for the channel formation region of a transistor, it is preferable to use a layered structure in which a material containing the above-mentioned metal element and a conductive material containing oxygen are combined for the conductor that functions as the gate electrode. In this case, it is preferable to provide the conductive material containing oxygen on the channel formation region side. By providing the conductive material containing oxygen on the channel formation region side, oxygen released from the conductive material is easily supplied to the channel formation region.
  • a conductive material containing oxygen and a metal element contained in the metal oxide in which the channel is formed as a conductor that functions as a gate electrode may also be used.
  • a conductive material containing nitrogen such as titanium nitride or tantalum nitride, may be used.
  • indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, and indium tin oxide with added silicon may also be used.
  • Indium gallium zinc oxide containing nitrogen may also be used.
  • a metal oxide that functions as a semiconductor is preferably used as the oxide 230.
  • Metal oxides that can be used as the oxide 230 of one embodiment of the present invention are described below.
  • the metal oxide preferably contains at least indium or zinc. In particular, it is preferable that it contains indium and zinc. In addition to these, it is preferable that it contains aluminum, gallium, yttrium, tin, antimony, etc. Furthermore, it may contain one or more elements selected from boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, cobalt, etc.
  • the metal oxide is an In-M-Zn oxide having indium, element M, and zinc.
  • the element M is aluminum, gallium, yttrium, tin, or antimony.
  • Other elements that can be used for element M include boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and cobalt.
  • the element M may be a combination of multiple of the above elements.
  • metal oxides containing nitrogen may also be collectively referred to as metal oxides.
  • Metal oxides containing nitrogen may also be referred to as metal oxide nitrides.
  • Crystal structures of oxide semiconductors include amorphous (including completely amorphous), CAAC (c-axis-aligned crystalline), nc (nanocrystalline), CAC (cloud-aligned composite), single crystal, and polycrystalline.
  • oxide semiconductors may be classified differently from the above when focusing on their structure. For example, oxide semiconductors are classified into single-crystal oxide semiconductors and other non-single-crystal oxide semiconductors. Examples of non-single-crystal oxide semiconductors include the above-mentioned CAAC-OS and nc-OS. Non-single-crystal oxide semiconductors include polycrystalline oxide semiconductors, pseudo-amorphous oxide semiconductors (a-like OS: amorphous-like oxide semiconductors), amorphous oxide semiconductors, and the like.
  • CAAC-OS is an oxide semiconductor having a plurality of crystalline regions, each of which has a c-axis oriented in a specific direction.
  • the specific direction is the thickness direction of the CAAC-OS film, the normal direction of the surface of the CAAC-OS film, or the normal direction of the surface of the CAAC-OS film.
  • the c-axis is preferably oriented in the normal direction of the surface of the insulator 225.
  • the crystalline region is a region having periodic atomic arrangement.
  • the crystalline region is also a region in which the lattice arrangement is uniform.
  • the CAAC-OS has a region in which a plurality of crystalline regions are connected in the a-b plane direction, and the region may have distortion.
  • the distortion refers to a portion in which the direction of the lattice arrangement is changed between a region in which the lattice arrangement is uniform and another region in which the lattice arrangement is uniform in a region in which the plurality of crystalline regions are connected. That is, the CAAC-OS is an oxide semiconductor that is c-axis aligned and does not clearly have an alignment in the a-b plane direction.
  • Each of the multiple crystal regions is composed of one or more tiny crystals (crystals with a maximum diameter of less than 10 nm).
  • the maximum diameter of the crystal region is less than 10 nm.
  • the maximum diameter of the crystal region may be several tens of nm.
  • CAAC-OS is an oxide semiconductor with high crystallinity and no clear crystal grain boundaries. Therefore, it can be said that CAAC-OS is less susceptible to a decrease in electron mobility due to crystal grain boundaries.
  • CAAC-OS since the crystallinity of an oxide semiconductor can be decreased by the inclusion of impurities or the generation of defects, CAAC-OS can be said to be an oxide semiconductor with few impurities and defects (such as oxygen vacancies). Therefore, an oxide semiconductor having CAAC-OS has stable physical properties. Therefore, an oxide semiconductor having CAAC-OS is resistant to heat and highly reliable.
  • CAAC-OS is stable against high temperatures (so-called thermal budget) in the manufacturing process. Therefore, the use of CAAC-OS in an OS transistor can increase the degree of freedom in the manufacturing process.
  • the nc-OS has periodic atomic arrangement in a microscopic region (for example, a region of 1 nm to 10 nm, particularly a region of 1 nm to 3 nm).
  • the nc-OS has microcrystals.
  • the size of the microcrystals is, for example, 1 nm to 10 nm, particularly 1 nm to 3 nm, and therefore the microcrystals are also called nanocrystals.
  • the nc-OS does not show regularity in the crystal orientation between different nanocrystals. Therefore, no orientation is observed in the entire film. Therefore, the nc-OS may be indistinguishable from an a-like OS or an amorphous oxide semiconductor depending on the analysis method.
  • the a-like OS is an oxide semiconductor having a structure between the nc-OS and an amorphous oxide semiconductor.
  • the a-like OS has a void or low-density region. That is, the a-like OS has lower crystallinity than the nc-OS and CAAC-OS. Furthermore, the a-like OS has a higher hydrogen concentration in the film than the nc-OS and CAAC-OS.
  • CAC-OS relates to the material composition.
  • CAC-OS is a material in which elements constituting a metal oxide are unevenly distributed in a size range of 0.5 nm to 10 nm, preferably 1 nm to 3 nm, or in the vicinity thereof. Note that in the following, a state in which one or more metal elements are unevenly distributed in a metal oxide and a region containing the metal elements is mixed in a size range of 0.5 nm to 10 nm, preferably 1 nm to 3 nm, or in the vicinity thereof, is also referred to as a mosaic or patch shape.
  • CAC-OS is a structure in which the material is separated into a first region and a second region, forming a mosaic shape, and the first region is distributed throughout the film (hereinafter also referred to as a cloud shape).
  • CAC-OS is a composite metal oxide having a structure in which the first region and the second region are mixed.
  • CAC-OS in In-Ga-Zn oxide refers to a material composition containing In, Ga, Zn, and O, in which some regions (first regions) mainly composed of In and some regions (second regions) mainly composed of Ga are arranged in a mosaic pattern, and these regions exist randomly. Therefore, it is presumed that CAC-OS has a structure in which metal elements are distributed non-uniformly.
  • CAC-OS can be formed, for example, by a sputtering method under conditions where the substrate is not heated.
  • any one or more of an inert gas (typically argon), oxygen gas, and nitrogen gas can be used as the film-forming gas.
  • the flow rate ratio of oxygen gas to the total flow rate of film-forming gas during film formation is set to 0% or more and less than 30%, preferably 0% or more and 10% or less.
  • the first region is a region with higher conductivity than the second region.
  • the first region exhibits conductivity as a metal oxide when carriers flow through it. Therefore, the first region is distributed in a cloud-like shape in the metal oxide, achieving high field-effect mobility ( ⁇ ).
  • the second region has higher insulating properties than the first region.
  • the second region being distributed in the metal oxide can suppress leakage current.
  • the CAC-OS when used in a transistor, the conductivity due to the first region and the insulating property due to the second region act complementarily, so that the CAC-OS can be given a switching function (on/off function).
  • the CAC-OS has a conductive function in a part of the material and an insulating function in a part of the material, and the whole material has a function as a semiconductor. By separating the conductive function and the insulating function, both functions can be maximized. Therefore, by using the CAC-OS in a transistor, a high on-current (I on ), a high field-effect mobility ( ⁇ ), and a good switching operation can be realized.
  • CAC-OS is ideal for a variety of semiconductor devices including display devices.
  • Oxide semiconductors have a variety of structures, each with different characteristics.
  • An oxide semiconductor according to one embodiment of the present invention may have two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, an a-like OS, a CAC-OS, an nc-OS, and a CAAC-OS.
  • the semiconductor layer of the transistor may be made of a semiconductor material having a band gap (a semiconductor material that is not a zero-gap semiconductor), such as a semiconductor of an element such as silicon or a compound semiconductor such as gallium arsenide.
  • a semiconductor material having a band gap such as a semiconductor of an element such as silicon or a compound semiconductor such as gallium arsenide.
  • transition metal chalcogenide that functions as a semiconductor in the semiconductor layer of the transistor.
  • transition metal chalcogenides that can be applied to the semiconductor layer of the transistor include molybdenum sulfide (typically MoS 2 ), molybdenum selenide (typically MoSe 2 ), molybdenum tellurium (typically MoTe 2 ), tungsten sulfide (typically WS 2 ), tungsten selenide (typically WSe 2 ), tungsten tellurium (typically WTe 2 ), hafnium sulfide (typically HfS 2 ), hafnium selenide (typically HfSe 2 ), zirconium sulfide (typically ZrS 2 ), and zirconium selenide (typically ZrSe 2 ).
  • a in each figure shows a top view.
  • B in each figure is a cross-sectional view corresponding to the portion indicated by the dashed line A1-A2 in A of each figure, and is also a cross-sectional view in the channel length direction of transistor 200.
  • C in each figure is a cross-sectional view corresponding to the portion indicated by the dashed line A3-A4 in A of each figure, and is also a cross-sectional view in the channel width direction of transistor 200.
  • D in each figure is a cross-sectional view corresponding to the portion indicated by the dashed line A5-A6 in A of each figure, and is also a cross-sectional view in the channel width direction of transistor 200. Note that some elements are omitted from the top view in A of each figure to clarify the figure.
  • insulating materials for forming insulators, conductive materials for forming conductors, or semiconductor materials for forming semiconductors can be formed as films using a sputtering method, a chemical vapor deposition (CVD) method, a molecular beam epitaxy (MBE) method, a pulsed laser deposition (PLD) method, an ALD method, or the like, as appropriate.
  • CVD chemical vapor deposition
  • MBE molecular beam epitaxy
  • PLD pulsed laser deposition
  • ALD ALD method
  • Sputtering methods include RF sputtering, which uses a high-frequency power supply as the sputtering power source, DC sputtering, which uses a direct current power supply, and pulsed DC sputtering, which changes the voltage applied to the electrodes in a pulsed manner.
  • RF sputtering is mainly used when depositing insulating films
  • DC sputtering is mainly used when depositing metal conductive films.
  • Pulsed DC sputtering is mainly used when depositing compounds such as oxides, nitrides, and carbides using the reactive sputtering method.
  • CVD methods can be classified into plasma CVD (PECVD) methods, which use plasma, thermal CVD (TCVD: Thermal CVD) methods, which use heat, and photo CVD (Photo CVD) methods, which use light. They can also be further divided into metal CVD (MCVD: Metal CVD) methods and metal organic CVD (MOCVD: Metal CVD) methods, depending on the source gas used.
  • PECVD plasma CVD
  • TCVD Thermal CVD
  • Photo CVD Photo CVD
  • MCVD Metal CVD
  • MOCVD Metal CVD
  • the plasma CVD method can produce high-quality films at relatively low temperatures. Furthermore, because the thermal CVD method does not use plasma, it is a film formation method that can reduce plasma damage to the workpiece. For example, wiring, electrodes, elements (transistors, capacitive elements, etc.) included in a semiconductor device may become charged up by receiving electric charge from the plasma. At this time, the accumulated electric charge may destroy the wiring, electrodes, elements, etc. included in the semiconductor device. On the other hand, with the thermal CVD method, which does not use plasma, such plasma damage does not occur, and the yield of semiconductor devices can be increased. Furthermore, with the thermal CVD method, no plasma damage occurs during film formation, so films with fewer defects can be obtained.
  • the ALD method can be a thermal ALD method in which the reaction between the precursor and reactant is carried out using only thermal energy, or a PEALD method in which a plasma-excited reactant is used.
  • the CVD and ALD methods are different from sputtering methods in which particles emitted from a target or the like are deposited. Therefore, they are film formation methods that are less affected by the shape of the workpiece and have good step coverage.
  • the ALD method has excellent step coverage and excellent thickness uniformity, making it suitable for coating the surfaces of openings with high aspect ratios.
  • the ALD method since the ALD method has a relatively slow film formation speed, it may be preferable to use it in combination with other film formation methods such as the CVD method, which has a fast film formation speed.
  • a film of any composition can be formed by changing the flow rate ratio of the source gases.
  • a film with a continuously changing composition can be formed by changing the flow rate ratio of the source gases while forming the film.
  • a film of any composition can be formed by simultaneously introducing multiple different types of precursors.
  • a film of any composition can be formed by controlling the number of cycles of each precursor.
  • the insulator 215 can be an insulator similar to one or more stacked films of the insulators 282 and 283.
  • the method for forming the insulator 215 can be, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the sputtering method which does not require the use of molecules containing hydrogen in the film formation gas, is preferable because it can reduce the hydrogen concentration in the insulator 215.
  • the insulator 216 is formed on the insulator 215.
  • the insulator 216 is preferably formed by a sputtering method.
  • a sputtering method that does not require the use of molecules containing hydrogen in the film formation gas, the hydrogen concentration in the insulator 216 can be reduced.
  • the formation of the insulator 216 is not limited to the sputtering method, and a CVD method, an MBE method, a PLD method, an ALD method, or the like may be used as appropriate.
  • a silicon oxide film is formed as the insulator 216 by a sputtering method.
  • insulators 215 and 216 it is preferable to deposit the insulators 215 and 216 in succession without exposing them to the atmosphere.
  • a multi-chamber deposition apparatus can be used. This allows the insulators 215 and 216 to be deposited with reduced hydrogen in the films, and further reduces the incorporation of hydrogen into the films between each deposition process.
  • an opening is formed in the insulator 216, reaching the insulator 215.
  • the opening may be formed by wet etching, but dry etching is preferable for fine processing.
  • the insulator 215 may be silicon nitride, aluminum oxide, hafnium oxide, or the like.
  • the conductive film that will become the conductor 205a desirably contains a conductor that has a function of suppressing oxygen transmission.
  • a conductor that has a function of suppressing oxygen transmission For example, tantalum nitride, tungsten nitride, titanium nitride, etc. can be used. Alternatively, it can be a laminated film of a conductor that has a function of suppressing oxygen transmission and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy.
  • the conductive film that will become the conductor 205a can be formed by using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, etc.
  • titanium nitride is deposited as the conductive film that becomes conductor 205a.
  • a metal nitride as the lower layer of conductor 205b, it is possible to prevent conductor 205b from being oxidized by insulator 216 and the like.
  • conductor 205b even if a metal that easily diffuses, such as copper, is used as conductor 205b, it is possible to prevent the metal from diffusing out of conductor 205a.
  • a conductive film that will become the conductor 205b is formed. Tantalum, tungsten, titanium, molybdenum, aluminum, copper, molybdenum-tungsten alloy, or the like can be used as the conductive film that will become the conductor 205b.
  • the conductive film can be formed by plating, sputtering, CVD, MBE, PLD, ALD, or the like. In this embodiment, tungsten is formed as the conductive film that will become the conductor 205b.
  • a CMP process is performed to remove a portion of the conductive film that will become conductor 205a and a portion of the conductive film that will become conductor 205b, exposing insulator 216 (see Figures 11A to 11D). As a result, conductor 205a and conductor 205b remain only in the openings. Note that the CMP process may remove a portion of insulator 216.
  • a film of insulator 221 is formed on insulator 216 and conductor 205 (see Figures 12A to 12D).
  • the insulator 221 may be an insulator having barrier properties against oxygen, hydrogen, and water.
  • the insulator 221 can be formed by, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • a silicon nitride film is formed as the insulator 221 by a PEALD method.
  • a film of insulator 222 is formed on insulator 221 (see Figures 12A to 12D).
  • the insulator 222 it is preferable to form a film of an insulator containing one or both of aluminum and hafnium oxides.
  • the insulator containing one or both of aluminum and hafnium oxides it is preferable to use, for example, aluminum oxide, hafnium oxide, or an oxide containing aluminum and hafnium (hafnium aluminate). Alternatively, it is preferable to use hafnium zirconium oxide.
  • An insulator containing one or both of aluminum and hafnium oxides has a barrier property against oxygen, hydrogen, and water.
  • the insulator 222 has a barrier property against hydrogen and water, the hydrogen and water contained in the structure provided around the transistor are prevented from diffusing into the inside of the transistor through the insulator 222, and the generation of oxygen vacancies in the oxide 230 can be suppressed.
  • the insulator 222 can be formed by, for example, sputtering, CVD, MBE, PLD, or ALD.
  • a hafnium oxide film is formed as the insulator 222 by ALD.
  • an insulating film is formed on the insulator 222, and the insulating film is etched to form the insulator 223 (see Figures 12A to 12D).
  • the insulator 223 functions as a sacrificial layer for forming the insulator 225.
  • an insulator that can be used for the insulator 216 may be used.
  • the insulator 223 can be formed by, for example, sputtering, CVD, MBE, PLD, or ALD.
  • a silicon oxide film is formed as the insulator 223 by sputtering.
  • the insulator 223 can be processed into an island shape using lithography. This can be done using dry etching or wet etching. Dry etching is suitable for fine processing.
  • the side of the insulator 223 may be configured to be perpendicular or approximately perpendicular to the top surface of the insulator 222. This configuration allows for a smaller area and higher density when providing multiple transistors.
  • a heat treatment may be performed before the formation of the insulator 223.
  • the heat treatment may be performed under reduced pressure, and the insulator 223 may be continuously formed without exposure to the atmosphere.
  • moisture and hydrogen adsorbed on the surface of the insulator 222 can be removed, and the moisture concentration and hydrogen concentration in the insulator 222 can be further reduced.
  • the heat treatment can prevent impurities such as moisture or hydrogen from entering from below the insulator 221.
  • the temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment, the temperature of the heat treatment is 250°C.
  • Insulating film 225f which will become insulator 225, is formed to cover insulator 223 (see Figures 13A to 13D).
  • Insulating film 225f is an insulating film that will become insulator 225 in a later process, and the insulators described above can be used.
  • Insulating film 225f can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the insulating film 225f is formed along the insulator 223, it is preferable that the insulating film 225f has good coverage. Therefore, it is preferable that the insulating film 225f is formed using an ALD method or the like that has good coverage. Also, since it is preferable that the insulator 225 has a high aspect ratio, it is preferable that the insulating film 225f has a thin film thickness. Therefore, it is preferable to form the insulating film 225f using an ALD method that allows the film thickness to be adjusted to a thin film thickness. For example, it is preferable to form a film of hafnium oxide as the insulating film 225f using a thermal ALD method. By forming the insulating film 225f in this manner, the insulating film 225f is formed in contact with the upper surface and side surfaces of the insulator 223.
  • the insulator 225 is removed by anisotropic etching, and then the insulator 223 is removed (see Figures 14A to 14D).
  • the channel width of the transistor 200 can be increased without increasing the occupation area, and therefore the on-current, field effect mobility, and frequency characteristics of the transistor 200 can be improved.
  • the contact area between the oxide 230b and the conductors 242a and 242b can be increased without increasing the occupation area, and therefore the on-current, field effect mobility, and frequency characteristics of the transistor 200 can be improved.
  • the distance between the two insulators 225 can be set to match the size of the insulator 223. Therefore, the distance between the insulators 225 can be reduced, the area occupied by the transistors 200a and 200b can be reduced, and the semiconductor device and memory device can be highly integrated. This can increase the memory capacity of the memory device.
  • an etching gas containing halogen can be used, specifically, an etching gas containing one or more of fluorine, chlorine, and bromine can be used.
  • an etching gas containing one or more of fluorine, chlorine, and bromine can be used.
  • C4F6 gas , C5F6 gas , C4F8 gas, CF4 gas, SF6 gas, CHF3 gas, CH2F2 gas, Cl2 gas, BCl3 gas, SiCl4 gas, or BBr3 gas can be used alone or in a mixture of two or more gases.
  • oxygen gas, carbon dioxide gas, nitrogen gas, helium gas, argon gas, hydrogen gas, or hydrocarbon gas can be appropriately added to the above etching gas.
  • a gas containing no halogen gas but a hydrocarbon gas or hydrogen gas can be used as the etching gas.
  • the hydrocarbon used in the etching gas may be one or more of methane ( CH4 ), ethane ( C2H6 ) , propane ( C3H8 ), butane ( C4H10 ), ethylene (C2H4), propylene (C3H6), acetylene (C2H2), and propyne (C3H4 ) .
  • the etching conditions may be appropriately set according to the object to be etched.
  • a capacitively coupled plasma (CCP) etching device having parallel plate electrodes can be used as the dry etching device.
  • the capacitively coupled plasma etching device having parallel plate electrodes can be configured to apply a high frequency voltage to one of the parallel plate electrodes. Alternatively, it can be configured to apply a plurality of different high frequency voltages to one of the parallel plate electrodes. Alternatively, it can be configured to apply a high frequency voltage of the same frequency to each of the parallel plate electrodes. Alternatively, it can be configured to apply high frequency voltages of different frequencies to each of the parallel plate electrodes.
  • a dry etching device having a high density plasma source can be used.
  • an inductively coupled plasma (ICP) etching device can be used as the dry etching device having a high density plasma source.
  • ICP inductively coupled plasma
  • a mixed gas of C 4 F 8 , H 2 , and Ar may be used as an etching gas in a CCP etching apparatus.
  • the removal of the insulator 223 can be performed by dry etching or wet etching.
  • the insulator 223 can be removed by wet etching.
  • Insulator 225 when formed by anisotropic etching, is formed in a sidewall shape in contact with the side surface of insulator 223. In other words, insulator 225 is formed in a circumferential shape surrounding insulator 223. When a semiconductor device is manufactured while maintaining insulator 225 in a circumferential shape, insulator 225 becomes an integral part of transistors 200a and 200b, as shown in Figures 5A to 5D.
  • the insulator 225 is formed by removing the portion of the sidewall-shaped insulator that is not necessary for the configuration of the semiconductor device.
  • the unnecessary portion of the insulator 225 may be etched first before anisotropic etching of the insulating film 225f is performed.
  • an oxide film 230af is formed on the insulator 222 and the insulator 225, and an oxide film 230bf is formed on the oxide film 230af (see FIGS. 15A to 15D).
  • a metal oxide corresponding to the oxide 230a may be used as the oxide film 230af, and a metal oxide corresponding to the oxide 230b may be used as the oxide film 230bf. It is preferable that the oxide films 230af and 230bf are successively formed without being exposed to the air environment.
  • the films By forming the films without exposing them to the air, it is possible to prevent impurities or moisture from the air environment from adhering to the oxide films 230af and 230bf, and it is possible to keep the interface or the vicinity of the interface between the oxide films 230af and 230bf clean.
  • Oxide film 230af and oxide film 230bf can each be formed using, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the oxide film 230af and the oxide film 230bf are preferably formed by the ALD method, which has good coverage.
  • the oxide film 230af and the oxide film 230bf can be formed with good coverage on the side surface of the insulator 225. This allows channel formation regions to be provided on the side surface of the insulator 225 on the A3 side and the A4 side in the transistor 200, so that the channel width of the transistor 200 can be increased. This allows the field effect mobility, on-current, and frequency characteristics of the transistor 200 to be improved.
  • the ALD method can control the composition of the film obtained by adjusting the amount of raw material gas introduced.
  • the ALD method can form oxide film 230af and oxide film 230bf of any composition by adjusting the amount of raw material gas introduced, the number of introductions (also called the number of pulses), the time required for one pulse (also called the pulse time), etc.
  • the ALD method can form oxide film 230af and oxide film 230bf whose composition changes continuously by changing the raw material gas while forming the film.
  • the time required for film formation can be shortened compared to forming a film using multiple film formation chambers because no time is required for transportation and pressure adjustment. Therefore, the productivity of semiconductor devices can be increased in some cases.
  • the oxide film 230af and the oxide film 230bf may be formed as a laminated structure of the above metal oxide layers.
  • the oxide film 230af and the oxide film 230bf may be formed by sputtering.
  • oxygen or a mixed gas of oxygen and a noble gas is used as the sputtering gas.
  • the sputtering gas By increasing the proportion of oxygen contained in the sputtering gas, the excess oxygen in the oxide film to be formed can be increased.
  • an In-M-Zn oxide target or the like can be used.
  • an oxygen-excessive oxide semiconductor is formed when the ratio of oxygen contained in the sputtering gas is set to more than 30% and not more than 100%, preferably 70% to 100%.
  • a transistor using an oxygen-excessive oxide semiconductor in a channel formation region can have relatively high reliability.
  • one embodiment of the present invention is not limited to this.
  • an oxygen-deficient oxide semiconductor is formed when the ratio of oxygen contained in the sputtering gas is set to 1% to 30%, preferably 5% to 20%,.
  • a transistor using an oxygen-deficient oxide semiconductor in a channel formation region can have relatively high field effect mobility.
  • the crystallinity of the oxide film can be improved by forming the film while heating the substrate.
  • Each oxide film can be formed according to the desired characteristics of oxide 230a and oxide 230b by appropriately selecting the film formation conditions and atomic ratio.
  • the oxide film 230af may be formed by a sputtering method, and the oxide film 230bf may be formed by an ALD method.
  • the oxide film 230af and the oxide film 230bf may have a laminated structure.
  • the oxide film 230bf may be the above-mentioned metal oxide layer formed using the ALD method.
  • the crystallinity of the oxide film 230af can be increased by forming the oxide film 230af by sputtering. For example, by increasing the crystallinity of the oxide film 230af and then forming the oxide film 230bf on the oxide film 230af, a part or all of the oxide film 230bf can be crystallized. In other words, by increasing the crystallinity of the oxide film 230af, it is possible to increase the crystallinity of the oxide film 230bf as well. For example, if the oxide film 230af is an oxide semiconductor film with a CAAC structure, the oxide film 230bf formed on the oxide film 230af can also be an oxide semiconductor with a CAAC structure.
  • oxide film 230bf By forming the oxide film 230bf using the ALD method, a thin film can be formed with good controllability. This allows the oxide film 230bf to have a thin film thickness as designed. By using such oxide film 230af and oxide film 230bf, it is possible to improve the electrical characteristics and reliability of the transistor 200.
  • the oxide film 230af and the oxide film 230bf without exposing them to the atmosphere.
  • the heat treatment may be performed within a temperature range in which the oxide film 230af and the oxide film 230bf do not become polycrystallized.
  • the temperature of the heat treatment is preferably 100°C or higher, 250°C or higher, or 350°C or higher, and 650°C or lower, 600°C or lower, or 550°C or lower.
  • the heat treatment is carried out in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas.
  • an atmosphere of nitrogen gas or an inert gas or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas.
  • the heat treatment may be carried out in a mixed atmosphere of nitrogen gas and oxygen gas, it is preferable to set the oxygen gas concentration at about 20%.
  • the heat treatment may be carried out under reduced pressure.
  • the heat treatment may be carried out in an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas to compensate for the oxygen that has been released.
  • the gas used in the heat treatment is preferably highly purified.
  • the amount of moisture contained in the gas used in the heat treatment is preferably 1 ppb or less, more preferably 0.1 ppb or less, and even more preferably 0.05 ppb or less.
  • the heat treatment is performed at a temperature of 450° C. for 1 hour with a flow rate ratio of nitrogen gas and oxygen gas of 4:1.
  • This heat treatment including oxygen gas can reduce impurities such as carbon, water, and hydrogen in the oxide film 230af and the oxide film 230bf.
  • impurities such as carbon, water, and hydrogen in the oxide film 230af and the oxide film 230bf.
  • the crystallinity of the oxide film 230af and the oxide film 230bf can be improved, resulting in a denser and more compact structure.
  • This increases the crystalline region in the oxide film 230af and the oxide film 230bf, and reduces the in-plane variation of the crystalline region in the oxide film 230af and the oxide film 230bf. This reduces the in-plane variation of the electrical characteristics of the transistor.
  • oxide film 230af and oxide film 230bf (later oxide 230a and oxide 230b) function as a channel formation region of transistor 200.
  • Transistor 200 formed using oxide film 230af and oxide film 230bf in which the hydrogen concentration is reduced is preferable because it has good reliability.
  • a conductive film 242f is formed on the oxide film 230bf (see Figures 15A to 15D). Conductors corresponding to the above-mentioned conductors 242a and 242b may be used as the conductive film 242f.
  • the conductive film 242f is formed on and in contact with the oxide film 230bf without an etching process or the like, so that the upper surface of the oxide film 230bf can be protected by the conductive film 242f. This can reduce the diffusion of impurities into the oxide 230 that constitutes the transistor, thereby improving the electrical characteristics and reliability of the semiconductor device.
  • the conductive film 242f can be formed, for example, by sputtering, CVD, MBE, PLD, or ALD. By using the ALD method, the conductive film 242f can be formed with good coverage on the side surface of the insulator 225. For example, tantalum nitride can be formed as the conductive film 242f by the ALD method. By forming the conductive film 242f with good coverage in this way, the contact area between the oxide 230b and the conductors 242a and 242b can be increased without increasing the occupied area. This can improve the on-current and frequency characteristics of the transistor 200.
  • the oxide film 230af, the oxide film 230bf, and the conductive film 242f are processed into an island shape using lithography to form the oxide 230a, the oxide 230b, and the conductor 242A (see Figures 16A to 16D).
  • the oxide 230a, oxide 230b, and conductor 242A that form the transistor 200a are separated from the oxide 230a, oxide 230b, and conductor 242A that form the transistor 200b.
  • the oxide 230a, oxide 230b, and conductor 242A are formed so as to cover the insulator 225 that forms the transistor 200a and the insulator 225 that forms the transistor 200b, respectively.
  • the above processing can be performed using a dry etching method or a wet etching method. Processing using the dry etching method is suitable for fine processing. Note that the above description can be referred to for the conditions of the dry etching method and the dry etching apparatus. In addition, the processing of the oxide film 230af, the oxide film 230bf, and the conductive film 242f may be performed under different conditions.
  • oxide 230a, oxide 230b, and conductor 242A into an island shape collectively.
  • two or more side ends of oxide 230a, oxide 230b, and conductor 242A coincide or approximately coincide with each other.
  • oxide 230a, oxide 230b, and conductor 242A are formed so that at least a portion of them overlaps with conductor 205. Furthermore, insulator 222 is exposed in the region where insulator 222 does not overlap with oxide 230a, oxide 230b, and conductor 242A.
  • the side surfaces of oxide 230a, oxide 230b, and conductor 242A may be configured to be perpendicular or approximately perpendicular to the top surface of insulator 222. By using such a configuration, it is possible to reduce the area and increase the density when providing multiple transistors.
  • the side surfaces of oxide 230a, oxide 230b, and conductor 242A may be tapered.
  • the taper angle of the side surfaces of oxide 230a, oxide 230b, and conductor 242A may be, for example, 60° or more and less than 90°.
  • the resist is first exposed through a mask.
  • the exposed area is removed or left using a developer to form a resist mask.
  • a conductor, semiconductor, or insulator can be processed into a desired shape by etching through the resist mask.
  • a resist mask can be formed by exposing the resist using KrF excimer laser light, ArF excimer laser light, or EUV (Extreme Ultraviolet) light.
  • a liquid immersion technique can be used in which a liquid (e.g., water) is filled between the substrate and the projection lens and exposure is performed.
  • an electron beam or an ion beam can be used instead of the light described above.
  • a mask may not be used.
  • the resist mask that is no longer needed after processing can be removed by performing a dry etching process such as ashing using oxygen plasma (hereinafter sometimes referred to as oxygen plasma treatment), a wet etching process, a dry etching process followed by a wet etching process, or a wet etching process followed by a dry etching process.
  • a dry etching process such as ashing using oxygen plasma (hereinafter sometimes referred to as oxygen plasma treatment), a wet etching process, a dry etching process followed by a wet etching process, or a wet etching process followed by a dry etching process.
  • a hard mask made of an insulator or conductor may be used under the resist mask.
  • an insulating film or conductive film that will be the hard mask material is formed on the conductive film 242f, a resist mask is formed thereon, and the hard mask material is etched to form a hard mask of the desired shape.
  • Etching of the conductive film 242f etc. may be performed after removing the resist mask, or may be performed while leaving the resist mask. In the latter case, the resist mask may disappear during etching.
  • the hard mask may be removed by etching after etching the oxide film 230bf etc.
  • the material of the hard mask does not affect the later process or can be used in the later process, it is not necessarily necessary to remove the hard mask.
  • a configuration may be adopted in which an SOC (Spin On Carbon) film and an SOG (Spin On Glass) film are formed between the workpiece and the resist mask.
  • SOC Spin On Carbon
  • SOG Spin On Glass
  • a SOC film, an SOG film, and a resist mask can be formed in this order on the workpiece, and then lithography can be performed.
  • the conductive film 242f may be divided into the conductor 242a and the conductor 242b, and the oxide film 230af and the oxide film 230bf may be anisotropically etched using the mask used to divide the conductor 242a and the conductor 242b.
  • the oxide 230a and the oxide 230b can be formed in a sidewall shape with respect to the insulator 225 in the regions that do not overlap with the conductor 242a and the conductor 242b, as shown in FIGS. 7A to 7D and 8.
  • a film of insulator 275 is formed to cover oxide 230a, oxide 230b, and conductor 242A, and then a film of insulator 280 is formed on insulator 275 (see Figures 17A to 17D).
  • the insulators described above may be used as insulator 275 and insulator 280.
  • the insulator 275 contacts the upper surface of the insulator 222.
  • the insulator 280 it is preferable to form an insulating film that will become the insulator 280, and then perform CMP processing on the insulating film to form an insulator with a flat upper surface. Note that it is also possible to form a film of silicon nitride on the insulator 280, for example, by a sputtering method, and then perform CMP processing on the silicon nitride until it reaches the insulator 280.
  • Insulator 275 and insulator 280 can each be formed using, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the insulator 275 it is preferable to use an insulator that has a function of suppressing oxygen transmission.
  • an insulator that has a function of suppressing oxygen transmission.
  • the oxide 230a, the oxide 230b, and the conductor 242A can be covered with the insulator 275, which has the function of suppressing the diffusion of oxygen. This makes it possible to reduce the direct diffusion of oxygen from the insulator 280, etc., to the oxide 230a, the oxide 230b, and the conductor 242A in a later process.
  • a film of silicon oxide as the insulator 280 by a sputtering method.
  • the insulator 280 containing excess oxygen can be formed.
  • the hydrogen concentration in the insulator 280 can be reduced.
  • a heat treatment may be performed before the formation of the insulating film. The heat treatment may be performed under reduced pressure, and the insulating film may be continuously formed without exposure to the atmosphere.
  • moisture and hydrogen adsorbed on the surface of the insulator 275, etc. can be removed, and the moisture concentration and hydrogen concentration in the oxide 230a and the oxide 230b can be further reduced.
  • the heat treatment conditions described above can be used for the heat treatment.
  • lithography is used to process the conductor 242A, the insulator 275, and the insulator 280 to form an opening that reaches the oxide 230b and the insulator 222 (see Figures 18A to 18D).
  • the conductor 242A is divided to form the conductor 242a and the conductor 242b.
  • the opening is formed in the region where the oxide 230b and the conductor 205 overlap.
  • lithography methods can be used as appropriate.
  • a lithography method using short-wavelength light such as EUV light or an electron beam.
  • the above processing is preferably carried out using a dry etching method.
  • Dry etching is suitable for forming openings with high aspect ratios because it allows for anisotropic etching. Please refer to the above description for the conditions for the dry etching method and the dry etching apparatus.
  • an ashing process using oxygen plasma may be performed.
  • impurities that are generated in the above etching process and diffused into the oxide 230, etc. can be removed.
  • the impurities include those that originate from components contained in the workpiece of the above etching process and components contained in the gas used in the etching process. Examples of the impurities include chlorine, fluorine, tantalum, silicon, and hafnium.
  • chlorine gas is used in processing the conductor 242A, the oxide 230 is exposed to an atmosphere containing chlorine gas, so it is preferable to remove the chlorine attached to the oxide 230. By removing the impurities attached to the oxide 230 in this way, the electrical characteristics and reliability of the transistor can be improved.
  • a cleaning process may be performed to remove impurities that have adhered to the surface of oxide 230b during the etching process.
  • Cleaning methods include wet cleaning using a cleaning solution (which may also be called wet etching), plasma processing using plasma, and cleaning by heat treatment, and the above cleaning methods may be combined as appropriate. Note that the cleaning process may deepen the grooves.
  • wet cleaning may be performed using an aqueous solution of one or more of ammonia water, oxalic acid, phosphoric acid, and hydrofluoric acid diluted with carbonated water or pure water, pure water, carbonated water, etc.
  • ultrasonic cleaning may be performed using these aqueous solutions, pure water, or carbonated water.
  • these cleaning methods may be combined as appropriate.
  • an aqueous solution in which hydrofluoric acid is diluted with pure water may be referred to as diluted hydrofluoric acid
  • an aqueous solution in which ammonia water is diluted with pure water may be referred to as diluted ammonia water.
  • the concentration and temperature of the aqueous solution are adjusted as appropriate depending on the impurities to be removed and the configuration of the semiconductor device to be cleaned.
  • the ammonia concentration of the diluted ammonia water is preferably 0.01% or more and 5% or less, and more preferably 0.1% or more and 0.5% or less.
  • the hydrogen fluoride concentration of the diluted hydrofluoric acid is preferably 0.01 ppm or more and 100 ppm or less, and more preferably 0.1 ppm or more and 10 ppm or less.
  • a frequency of 200 kHz or more for ultrasonic cleaning and more preferably a frequency of 900 kHz or more. By using such a frequency, damage to the oxide 230b, etc. can be reduced.
  • the above cleaning process may be performed multiple times, and the cleaning solution may be changed for each cleaning process.
  • a first cleaning process may be performed using diluted hydrofluoric acid or diluted ammonia water
  • a second cleaning process may be performed using pure water or carbonated water.
  • wet cleaning is performed using diluted ammonia water.
  • impurities attached to the surfaces of oxide 230a, oxide 230b, etc. or diffused inside can be removed. Furthermore, the crystallinity of oxide 230a, oxide 230b, etc. can be improved.
  • the temperature of the heat treatment is preferably 100° C. or more, 250° C. or more, or 350° C. or more, and 650° C. or less, 600° C. or less, 550° C. or less, or 400° C. or less.
  • the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas.
  • the heat treatment is preferably performed in an atmosphere containing oxygen, and is preferably performed, for example, at a temperature of 350° C. for 1 hour with a flow rate ratio of nitrogen gas to oxygen gas of 4:1.
  • the heat treatment may be performed under reduced pressure. Alternatively, after the heat treatment in an oxygen atmosphere, the heat treatment may be performed in a nitrogen atmosphere without exposure to the air.
  • the sheet resistance may decrease in the region of the oxide 230b that overlaps with the conductor 242a and the region that overlaps with the conductor 242b.
  • the carrier concentration may also increase. Therefore, the resistance of the region of the oxide 230b that overlaps with the conductor 242a and the region that overlaps with the conductor 242b can be reduced in a self-aligned manner.
  • an insulating film 250A that will become the insulator 250 is formed so as to fill the openings formed in the insulator 280 and the like (see Figures 19A to 19D).
  • the insulating film 250A contacts the insulator 280, the insulator 275, the conductor 242a, the conductor 242b, the insulator 222, the oxide 230a, and the oxide 230b.
  • the insulating film 250A can be formed by sputtering, CVD, MBE, PLD, or ALD.
  • the insulating film 250A is preferably formed by ALD.
  • the insulating film 250A is preferably formed to a thin thickness, and it is necessary to reduce the variation in thickness.
  • the ALD method is a film formation method in which a precursor and a reactant (e.g., an oxidizing agent) are alternately introduced, and the thickness can be adjusted by the number of times this cycle is repeated, allowing for precise thickness adjustment.
  • the insulating film 250A needs to be formed with good coverage on the bottom and side surfaces of the opening. By using the ALD method, layers of atoms can be deposited one by one on the bottom and side surfaces of the opening, so that the insulating film 250A can be formed with good coverage on the opening.
  • ozone ( O3 ), oxygen ( O2 ), water ( H2O ), etc. can be used as an oxidizing agent.
  • Ozone ( O3 ), oxygen ( O2 ), etc. that do not contain hydrogen can be used as an oxidizing agent.
  • the insulator 250 can have a layered structure as shown in FIG. 2 and the like.
  • the insulator 250 can have a layered structure of insulators 250a to 250d.
  • aluminum oxide can be deposited by thermal ALD as the insulator 250a
  • silicon oxide can be deposited by PEALD as the insulator 250b
  • hafnium oxide can be deposited by thermal ALD as the insulator 250c
  • silicon nitride can be deposited by PEALD as the insulator 250d.
  • microwave treatment refers to treatment using, for example, an apparatus having a power source that generates high-density plasma using microwaves.
  • microwaves refer to electromagnetic waves having a frequency of 300 MHz or more and 300 GHz or less.
  • the microwave processing it is preferable to use a microwave processing device having a power source that generates high-density plasma using microwaves.
  • the frequency of the microwave processing device is preferably 300 MHz or more and 300 GHz or less, more preferably 2.4 GHz or more and 2.5 GHz or less, and can be set to, for example, 2.45 GHz.
  • the power of the power source that applies microwaves in the microwave processing device is preferably 1000 W or more and 10,000 W or less, more preferably 2000 W or more and 5000 W or less.
  • the microwave processing device may have a power source that applies RF to the substrate side. In addition, by applying RF to the substrate side, oxygen ions generated by high-density plasma can be efficiently guided into the oxide 230b.
  • the microwave treatment is preferably carried out under reduced pressure, with the pressure being preferably 10 Pa to 1000 Pa, and more preferably 300 Pa to 700 Pa.
  • the treatment temperature is preferably 750°C or less, and more preferably 500°C or less, and can be, for example, about 250°C.
  • a heat treatment may be carried out continuously without exposure to the outside air.
  • the heat treatment temperature is, for example, preferably 100°C to 750°C, and more preferably 300°C to 500°C.
  • the microwave treatment can be performed using oxygen gas and argon gas.
  • the oxygen flow ratio (O 2 /(O 2 +Ar)) is greater than 0% and less than 100%.
  • the oxygen flow ratio (O 2 /(O 2 +Ar)) is greater than 0% and less than 50%. More preferably, the oxygen flow ratio (O 2 /(O 2 +Ar)) is greater than 10% and less than 40%. More preferably, the oxygen flow ratio (O 2 /(O 2 +Ar)) is greater than 10% and less than 30%.
  • oxygen gas By performing microwave treatment in an atmosphere containing oxygen, oxygen gas can be turned into plasma using microwaves or high frequency waves such as RF, and the oxygen plasma can be applied to the region between the conductor 242a and the conductor 242b of the oxide 230b.
  • VOH in the region By the action of plasma, microwaves, or the like, VOH in the region can be separated into oxygen vacancies and hydrogen, and hydrogen can be removed from the region.
  • an insulating film e.g., aluminum oxide
  • hydrogen generated by the microwave treatment can be captured or fixed to the insulator 250a.
  • VOH contained in the channel formation region can be reduced.
  • oxygen vacancies and VOH in the channel formation region can be reduced, and the carrier concentration can be reduced.
  • the oxygen vacancies in the channel formation region can be further reduced, and the carrier concentration can be reduced.
  • the oxygen injected into the channel formation region can be in various forms, such as oxygen atoms, oxygen molecules, oxygen ions, and oxygen radicals (also called O radicals, which are atoms, molecules, or ions with an unpaired electron).
  • the oxygen injected into the channel formation region can be in one or more of the above forms, and is particularly preferably in the form of oxygen radicals.
  • the film quality of the insulator 250 can be improved, thereby improving the reliability of the transistor.
  • impurities such as carbon in the oxide 230b can also be removed.
  • carbon which is an impurity in the oxide 230b
  • the crystallinity of the oxide 230b can be improved. This allows the oxide 230b to become CAAC-OS.
  • carbon contained in the precursor may be incorporated into the oxide 230b, so it is preferable to remove the carbon by microwave treatment.
  • conductors 242a and 242b preferably function as a shielding film against the action of microwaves, high frequency waves such as RF, oxygen plasma, etc., when microwave processing is performed in an atmosphere containing oxygen.
  • conductors 242a and 242b preferably have the function of shielding electromagnetic waves of 300 MHz or more and 300 GHz or less, for example, 2.4 GHz or more and 2.5 GHz or less.
  • the conductors 242a and 242b shield against the effects of microwaves, high frequency waves such as RF, oxygen plasma, etc., and therefore these effects do not extend to the regions of the oxide 230b that overlap with either of the conductors 242a and 242b.
  • the microwave treatment does not reduce VOH in the source and drain regions, and does not supply an excessive amount of oxygen, thereby preventing a decrease in carrier concentration.
  • oxygen vacancies and VOH can be selectively removed from the channel formation region of the oxide semiconductor to make the channel formation region i-type or substantially i-type. Furthermore, the supply of excess oxygen to the regions functioning as source and drain regions can be suppressed, and the conductivity (the state of being a low-resistance region) before the microwave treatment can be maintained. This can suppress fluctuations in the electrical characteristics of the transistor, and can suppress variations in the electrical characteristics of the transistor within the substrate surface.
  • thermal energy may be directly transferred to the oxide 230b due to electromagnetic interaction between the microwaves and the molecules in the oxide 230b.
  • This thermal energy may heat the oxide 230b.
  • This type of heating process may be called microwave annealing.
  • microwave annealing By performing microwave processing in an atmosphere containing oxygen, it may be possible to obtain an effect equivalent to that of oxygen annealing.
  • the oxide 230b contains hydrogen, it is thought that this thermal energy is transferred to the hydrogen in the oxide 230b, which activates the hydrogen and causes it to be released from the oxide 230b.
  • the diffusion of hydrogen, water, impurities, etc. can be suppressed. Therefore, by performing a post-process such as forming a conductive film that becomes the conductor 260, or a post-process such as heat treatment, it is possible to suppress the diffusion of hydrogen, water, impurities, etc. through the insulator 250 into the oxide 230b, the oxide 230a, etc. In this way, by improving the film quality of the insulator 250, the reliability of the transistor can be improved.
  • a heat treatment may be performed while maintaining the reduced pressure state after the microwave treatment.
  • hydrogen in the insulating film, the oxide 230b, and the oxide 230a can be efficiently removed.
  • some of the hydrogen may be gettered to the conductors 242a and 242b.
  • a step of performing a heat treatment may be repeated multiple times while maintaining the reduced pressure state after the microwave treatment.
  • the heat treatment temperature is preferably 300°C or higher and 500°C or lower.
  • the microwave treatment i.e., microwave annealing, may also serve as the heat treatment. If the oxide 230b, etc. is sufficiently heated by the microwave annealing, the heat treatment may not be performed.
  • microwave treatment When the insulator 250 has a layered structure of insulators 250a to 250d, it is preferable to perform microwave treatment after the formation of insulator 250b. Furthermore, microwave treatment may be performed again after the formation of insulator 250c. In this way, microwave treatment in an oxygen-containing atmosphere may be performed multiple times (at least two or more times).
  • Conductive film 260A and conductive film 260B that will become conductor 260b are formed in this order (see Figures 20A to 20D).
  • Conductive film 260A and conductive film 260B can each be formed using, for example, a sputtering method, a CVD method, an MBE method, a PLD method, a plating method, or an ALD method.
  • titanium nitride is formed as conductive film 260A using the ALD method
  • tungsten is formed as conductive film 260B using the CVD method.
  • the insulating film 250A, the conductive film 260A, and the conductive film 260B are polished by CMP until the insulator 280 is exposed.
  • the portions of the insulating film 250A, the conductive film 260A, and the conductive film 260B exposed from the openings are removed. This forms the insulator 250 and the conductor 260 (conductor 260a and conductor 260b) in the openings that overlap the conductor 205 (see Figures 21A to 21D).
  • insulator 250 is provided in the opening in contact with insulator 280, insulator 275, conductor 242a, conductor 242b, oxide 230b, oxide 230a, and insulator 222.
  • Conductor 260 is also arranged to fill the opening via insulator 250. In this manner, transistor 200 is formed.
  • the insulator 282 is formed on the insulator 250, the conductor 260, and the insulator 280.
  • the insulator 282 can be formed by, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the insulator 282 is preferably formed by a sputtering method. By using a sputtering method that does not require the use of molecules containing hydrogen in the film formation gas, the hydrogen concentration in the insulator 282 can be reduced.
  • the insulator 282 in an oxygen-containing atmosphere using a sputtering method, oxygen can be added to the insulator 280 while the film is being formed. This allows the insulator 280 to contain excess oxygen. At this time, it is preferable to form the insulator 282 while heating the substrate.
  • oxygen can be diffused from the insulator 280 through the insulator 250 to the oxide 230b, and a suitable amount of oxygen can be supplied to the oxide 230b.
  • an excess amount of oxygen can be supplied into the insulator 250, which can prevent the conductors 242a and 242b near the insulator 250 from being excessively oxidized.
  • an aluminum oxide film is formed as the insulator 282 by sputtering using an aluminum target in an atmosphere containing oxygen gas.
  • the amount of oxygen injected into the layer below the insulator 282 can be controlled by the magnitude of the RF power applied to the substrate by the sputtering method. For example, the smaller the RF power, the less the amount of oxygen injected into the layer below the insulator 282, and the amount of oxygen is likely to be saturated even if the insulator 282 is thin. Also, the larger the RF power, the more the amount of oxygen injected into the layer below the insulator 282. By reducing the RF power, the amount of oxygen injected into the insulator 280 can be suppressed.
  • the insulator 282 may also be formed in a two-layer laminate structure. At this time, for example, the lower layer of the insulator 282 is formed without applying RF power to the substrate, and the upper layer of the insulator 282 is formed by applying RF power to the substrate.
  • the RF frequency is preferably 10 MHz or higher. Typically, it is 13.56 MHz. The higher the RF frequency, the less damage it can cause to the substrate.
  • a heat treatment may be performed before the formation of the insulator 282.
  • the heat treatment may be performed under reduced pressure, and the insulator 282 may be continuously formed without exposure to the atmosphere. By performing such a treatment, moisture and hydrogen adsorbed on the surface of the insulator 280 can be removed, and the moisture concentration and hydrogen concentration in the insulator 280 can be further reduced.
  • the temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment, the temperature of the heat treatment is 250°C.
  • the insulator 283 is formed on the insulator 282.
  • the insulator 283 can be formed by, for example, a sputtering method, a CVD method, an MBE method, a PLD method, or an ALD method.
  • the insulator 283 is preferably formed by a sputtering method.
  • a silicon nitride film is formed as the insulator 283 by a sputtering method.
  • the insulators 282 and 283 in succession without exposing them to the atmospheric environment. Depositing them without exposing them to the atmosphere can prevent impurities or moisture from the atmospheric environment from adhering to the insulators 282 and 283, and can keep the interface or the vicinity of the interface between the insulators 282 and 283 clean.
  • a heat treatment may be performed.
  • the temperature of the heat treatment is preferably 100° C. or higher and 400° C. or lower.
  • an opening reaching conductor 242a and an opening reaching conductor 242b are formed in insulators 275, 280, 282, and 283 (see Figures 1A to 1D).
  • the openings may be formed using a lithography method. Note that, although the shape of the opening is circular in top view in Figure 1A, this is not limited to this. For example, the opening may be approximately circular such as an ellipse, polygonal such as a rectangle, or polygonal such as a rectangle with rounded corners in top view.
  • an insulating film that will become the insulator 241 is formed, and the insulating film is anisotropically etched to form the insulator 241a in the opening that reaches the conductor 242a, and the insulator 241b in the opening that reaches the conductor 242b (see Figures 1A to 1D).
  • the insulating film that will become the insulator 241 can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. It is preferable to use an insulating film that has a function of suppressing oxygen permeation as the insulating film that will become the insulator 241. For example, it is preferable to form a film of aluminum oxide using the ALD method, and then form a film of silicon nitride thereon using the PEALD method. Silicon nitride is preferable because it has high blocking properties against hydrogen.
  • the anisotropic etching of the insulating film that will become the insulator 241 can be performed, for example, by dry etching.
  • By providing the insulator 241 on the sidewall of the opening it is possible to suppress the transmission of oxygen from the outside and prevent the oxidation of the conductors 240a and 240b that will be formed next. It is also possible to prevent impurities such as water and hydrogen contained in the insulator 280 from diffusing into the conductors 240a and 240b.
  • the conductive film that will become conductor 240a and conductor 240b is desirably a laminated structure that includes a conductor that has the function of suppressing the permeation of impurities such as water and hydrogen.
  • a conductor that has the function of suppressing the permeation of impurities such as water and hydrogen.
  • it can be a laminate of tantalum nitride, titanium nitride, etc., and tungsten, molybdenum, copper, etc.
  • the conductive film that will become conductor 240a and conductor 240b can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, etc.
  • a CMP process is performed to remove a portion of the conductive film that will become conductor 240a and conductor 240b, exposing the upper surface of insulator 283.
  • the conductive film remains only in the openings, forming conductors 240a and 240b with flat upper surfaces (see Figures 1A to 1D). Note that the CMP process may remove a portion of the upper surface of insulator 283.
  • conductor 240a in contact with conductor 242a, conductor 240a, which functions as one of the source and drain of transistor 200, can be electrically connected to wiring.
  • conductor 240b in contact with conductor 242b, conductor 240b, which functions as the other of the source and drain of transistor 200, can be electrically connected to wiring.
  • a conductive film that functions as wiring or a conductive film that functions as a plug can be formed on the conductor 240a and the conductor 240b.
  • the semiconductor device shown in Figure 1 can be manufactured.
  • the carrier concentration of a channel formation region of the oxide semiconductor is 1 ⁇ 10 18 cm ⁇ 3 or less, preferably less than 1 ⁇ 10 17 cm ⁇ 3 , more preferably less than 1 ⁇ 10 16 cm ⁇ 3 , further preferably less than 1 ⁇ 10 13 cm ⁇ 3 , and further preferably less than 1 ⁇ 10 10 cm ⁇ 3 and 1 ⁇ 10 ⁇ 9 cm ⁇ 3 or more.
  • the impurity concentration in the oxide semiconductor film may be reduced to reduce the density of defect states.
  • a semiconductor having a low impurity concentration and a low density of defect states is referred to as a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor.
  • a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor an oxide semiconductor with a low carrier concentration may be referred to as a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor.
  • a high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor may have a low density of trap states due to a low density of defect states. Furthermore, charges captured in the trap states of the oxide semiconductor may take a long time to disappear and may behave as if they were fixed charges. Therefore, a transistor in which a channel formation region is formed in an oxide semiconductor with a high density of trap states may have unstable electrical characteristics.
  • impurities in an oxide semiconductor refer to, for example, anything other than the main component that constitutes the oxide semiconductor.
  • an element with a concentration of less than 0.1 atomic % can be considered an impurity.
  • an OS transistor may form a defect in which hydrogen enters an oxygen vacancy in an oxide semiconductor (hereinafter, this may be referred to as VOH ), and generate electrons that serve as carriers.
  • VOH hydrogen enters an oxygen vacancy in an oxide semiconductor
  • the donor concentration in the channel formation region may increase.
  • the threshold voltage may vary.
  • the transistor when an oxygen vacancy is present in a channel formation region in an oxide semiconductor, the transistor is likely to have normally-on characteristics (characteristics in which a channel exists and a current flows through the transistor even when no voltage is applied to a gate electrode). Therefore, it is preferable that impurities, oxygen vacancies, and VOH be reduced as much as possible in the channel formation region in an oxide semiconductor.
  • the band gap of the oxide semiconductor is preferably larger than that of silicon (typically 1.1 eV), and is preferably 2 eV or more, more preferably 2.5 eV or more, and further preferably 3.0 eV or more.
  • the off-current (also referred to as Ioff) of the transistor can be reduced.
  • OS transistors use oxide semiconductors, which are semiconductor materials with a wide band gap, and therefore the short channel effect can be suppressed. In other words, OS transistors are transistors that do not have the short channel effect or have an extremely small short channel effect.
  • the short channel effect is a degradation of electrical characteristics that becomes evident as transistors are miniaturized (reduced channel length).
  • Specific examples of short channel effects include a decrease in threshold voltage, an increase in subthreshold swing value (sometimes written as S value), and an increase in leakage current.
  • the S value refers to the amount of change in gate voltage in the subthreshold region that changes the drain current by one order of magnitude at a constant drain voltage.
  • Characteristic length is widely used as an index of resistance to short channel effects.
  • Characteristic length is an index of how easily the potential of the channel formation region bends. The smaller the characteristic length, the steeper the potential rises, and therefore the more resistant it is to short channel effects.
  • OS transistors are accumulation-type transistors, while Si transistors are inversion-type transistors. Therefore, compared to Si transistors, OS transistors have smaller characteristic lengths between the source region and the channel-forming region, and between the drain region and the channel-forming region. Therefore, OS transistors are more resistant to the short-channel effect than Si transistors. In other words, when it is desired to manufacture a transistor with a short channel length, OS transistors are more suitable than Si transistors.
  • the OS transistor can also be regarded as having an n + / n ⁇ /n + accumulation-type junction-less transistor structure or an n + /n ⁇ /n + accumulation-type non-junction transistor structure in which the channel formation region is an n ⁇ type region and the source region and drain region are n + type regions.
  • the OS transistor can have good electrical characteristics even when the semiconductor device is miniaturized or highly integrated. For example, good electrical characteristics can be obtained even when the gate length of the OS transistor is 20 nm or less, 15 nm or less, 10 nm or less, 7 nm or less, or 6 nm or less, and 1 nm or more, 3 nm or more, or 5 nm or more.
  • the OS transistor can be suitably used as a transistor having a shorter channel length than that of a Si transistor.
  • the gate length is the length of the gate electrode in the direction in which carriers move inside the channel formation region when the transistor is operating, and refers to the width of the bottom surface of the gate electrode in a plan view of the transistor.
  • the cutoff frequency of the transistor can be improved.
  • the cutoff frequency of the transistor can be set to, for example, 50 GHz or more, preferably 100 GHz or more, and more preferably 150 GHz or more in a room temperature environment.
  • OS transistors As explained above, compared to Si transistors, OS transistors have the excellent advantages of having a smaller off-state current and being able to fabricate transistors with a short channel length.
  • a configuration example of a memory device using a memory cell having a transistor described in the above embodiment is described.
  • a configuration example of a memory device is described in which a layer having stacked memory cells and a layer having a functional circuit having a function of amplifying and outputting a data potential held in the memory cell are provided.
  • FIG. 22 illustrates a block diagram of a storage device of one embodiment of the present invention.
  • the memory device 300 shown in FIG. 22 has a drive circuit 21 and a memory array 20.
  • the memory array 20 has a plurality of memory cells 10 and a functional layer 50 having a plurality of functional circuits 51.
  • FIG. 22 shows an example in which the memory array 20 has a plurality of memory cells 10 arranged in a matrix of m rows and n columns (m and n are integers of 2 or more).
  • FIG. 22 also shows an example in which a functional circuit 51 is provided for each wiring BL that functions as a bit line, and an example in which the functional layer 50 has n functional circuits 51 provided corresponding to the n wirings BL.
  • the memory cell 10 in the first row and first column is indicated as memory cell 10[1,1] and the memory cell 10 in the mth row and nth column is indicated as memory cell 10[m,n].
  • an arbitrary row may be indicated as row i.
  • An arbitrary column may be indicated as column j.
  • i is an integer between 1 and m
  • j is an integer between 1 and n.
  • the memory cell 10 in the ith row and jth column is indicated as memory cell 10[i,j].
  • i+ ⁇ ⁇ is a positive or negative integer
  • the memory array 20 also includes m wirings WL extending in the row direction, m wirings PL extending in the row direction, and n wirings BL extending in the column direction.
  • the first wiring WL (first row) is indicated as wiring WL[1]
  • the mth wiring WL (mth row) is indicated as wiring WL[m].
  • the first wiring PL (first row) is indicated as wiring PL[1]
  • the mth wiring PL (mth row) is indicated as wiring PL[m].
  • the first wiring BL (first column) is indicated as wiring BL[1]
  • the nth wiring BL (nth column) is indicated as wiring BL[n].
  • the multiple memory cells 10 in the i-th row are electrically connected to the i-th row wiring WL (wiring WL[i]) and the i-th row wiring PL (wiring PL[i]).
  • the multiple memory cells 10 in the j-th column are electrically connected to the j-th column wiring BL (wiring BL[j]).
  • the memory array 20 can be a DOSRAM (registered trademark) (Dynamic Oxide Semiconductor Random Access Memory).
  • DOSRAM is a RAM having 1T (transistor) 1C (capacitor) type memory cells, and the access transistor is an OS transistor.
  • the current flowing between the source and drain of an OS transistor in the off state, that is, the leakage current, is extremely small.
  • DOSRAM can hold a charge corresponding to the data held in the capacitance element (capacitor) for a long time. Therefore, DOSRAM can reduce the frequency of refresh operations compared to DRAM composed of transistors (Si transistors) having silicon in the channel formation region. As a result, it is possible to reduce power consumption.
  • the frequency characteristics of OS transistors are high, reading and writing of the storage device can be performed at high speed. This makes it possible to provide a storage device with high operating speed.
  • multiple memory arrays 20[1] to 20[m] can be stacked.
  • the memory arrays 20[1] to 20[m] of the memory array 20 can be arranged in the vertical direction of the substrate surface on which the drive circuit 21 is provided, thereby improving the memory density of the memory cells 10.
  • the wiring BL functions as a bit line for writing and reading data.
  • the wiring WL functions as a word line for controlling the on or off (conductive or non-conductive state) of an access transistor that functions as a switch.
  • the wiring PL functions as a constant potential line connected to a capacitance element. Note that a wiring CL (not shown) can be separately provided as a wiring having a function of transmitting a backgate potential to the backgate of the OS transistor that is the access transistor.
  • the wiring PL may also be configured to have a function of transmitting a backgate potential.
  • the memory cells 10 in each of the memory arrays 20[1] to 20[m] are connected to the functional circuit 51 via wiring BL.
  • the wiring BL can be arranged in a vertical direction to the substrate surface on which the driver circuit 21 is provided.
  • the length of the wiring between the memory array 20 and the functional circuit 51 can be shortened.
  • the signal propagation distance between the two circuits connected to the bit line can be shortened, and the resistance and parasitic capacitance of the bit line can be significantly reduced, thereby reducing power consumption and signal delay.
  • the memory device can be operated even if the capacitance of the capacitive element in the memory cell 10 is reduced.
  • the functional circuit 51 has a function of amplifying the data potential held in the memory cell 10 and outputting it to the sense amplifier 46 of the driver circuit 21 via the wiring GBL (not shown) described later.
  • This configuration makes it possible to amplify the slight potential difference of the wiring BL when reading data.
  • the wiring GBL can be arranged in the vertical direction of the substrate surface on which the driver circuit 21 is provided, just like the wiring BL.
  • the wiring BL is provided in contact with the semiconductor layer of the transistor included in the memory cell 10.
  • the wiring BL is provided in contact with a region that functions as the source or drain of the semiconductor layer of the transistor included in the memory cell 10.
  • the wiring BL is provided in contact with a conductor that is provided in contact with a region that functions as the source or drain of the semiconductor layer of the transistor included in the memory cell 10.
  • the wiring BL can be said to be a wiring for electrically connecting one of the source or drain of the transistor included in the memory cell 10 in each layer of the memory array 20 to the functional circuit 51 in the vertical direction.
  • the memory array 20 can be stacked on the drive circuit 21. By stacking the drive circuit 21 and the memory array 20, the signal propagation distance between the drive circuit 21 and the memory array 20 can be shortened. This reduces the resistance and parasitic capacitance between the drive circuit 21 and the memory array 20, and reduces power consumption and signal delay. In addition, the storage device 300 can be made smaller.
  • the functional circuit 51 uses OS transistors similar to the transistors in the memory cells 10 of the DOSRAM, and can be freely arranged on circuits using Si transistors similar to the memory arrays 20[1] to 20[m], making integration easy. By configuring the functional circuit 51 to amplify signals, the circuits in the subsequent stage, such as the sense amplifier 46, can be made smaller, and the memory device 300 can be made smaller.
  • the drive circuit 21 has a PSW 22 (power switch), a PSW 23, and a peripheral circuit 31.
  • the peripheral circuit 31 has a peripheral circuit 41, a control circuit 32, and a voltage generation circuit 33.
  • each circuit, signal, and voltage can be selected or removed as needed. Alternatively, other circuits or other signals may be added.
  • Signals BW, CE, GW, CLK, WAKE, ADDR, WDA, PON1, and PON2 are input signals from the outside, and signal RDA is an output signal to the outside.
  • Signal CLK is a clock signal.
  • signals BW, CE, and GW are control signals.
  • Signal CE is a chip enable signal
  • signal GW is a global write enable signal
  • signal BW is a byte write enable signal.
  • Signal ADDR is an address signal.
  • Signal WDA is write data
  • signal RDA is read data.
  • Signals PON1 and PON2 are signals for power gating control. Signals PON1 and PON2 may be generated by control circuit 32.
  • the control circuit 32 is a logic circuit that has the function of controlling the overall operation of the memory device 300. For example, the control circuit performs a logical operation on the signals CE, GW, and BW to determine the operation mode (e.g., write operation, read operation) of the memory device 300. Alternatively, the control circuit 32 generates a control signal for the peripheral circuit 41 so that this operation mode is executed.
  • the control circuit performs a logical operation on the signals CE, GW, and BW to determine the operation mode (e.g., write operation, read operation) of the memory device 300.
  • the control circuit 32 generates a control signal for the peripheral circuit 41 so that this operation mode is executed.
  • the voltage generation circuit 33 has the function of generating a negative voltage.
  • the signal WAKE has the function of controlling the input of the signal CLK to the voltage generation circuit 33. For example, when an H-level signal is given to the signal WAKE, the signal CLK is input to the voltage generation circuit 33, and the voltage generation circuit 33 generates a negative voltage.
  • the peripheral circuit 41 is a circuit for writing and reading data to the memory cells 10.
  • the peripheral circuit 41 is also a circuit for outputting various signals for controlling the functional circuit 51.
  • the peripheral circuit 41 has a row decoder 42, a column decoder 44, a row driver 43, a column driver 45, an input circuit 47, an output circuit 48, and a sense amplifier 46.
  • the row decoder 42 and column decoder 44 have the function of decoding the signal ADDR.
  • the row decoder 42 is a circuit for specifying the row to be accessed
  • the column decoder 44 is a circuit for specifying the column to be accessed.
  • the row driver 43 has the function of selecting the wiring WL specified by the row decoder 42.
  • the column driver 45 has the function of writing data to the memory cell 10, reading data from the memory cell 10, and retaining the read data.
  • the input circuit 47 has a function of holding a signal WDA.
  • the data held by the input circuit 47 is output to the column driver 45.
  • the output data of the input circuit 47 is the data (Din) to be written to the memory cell 10.
  • the data (Dout) read from the memory cell 10 by the column driver 45 is output to the output circuit 48.
  • the output circuit 48 has a function of holding Dout.
  • the output circuit 48 has a function of outputting Dout to the outside of the memory device 300.
  • the data output from the output circuit 48 is the signal RDA.
  • PSW22 has a function of controlling the supply of VDD to the peripheral circuit 31.
  • PSW23 has a function of controlling the supply of VHM to the row driver 43.
  • the high power supply voltage of the memory device 300 is VDD
  • the low power supply voltage is GND (ground potential).
  • VHM is a high power supply voltage used to set the word line to a high level, and is higher than VDD.
  • the on/off of PSW22 is controlled by signal PON1, and the on/off of PSW23 is controlled by signal PON2.
  • the number of power domains to which VDD is supplied in the peripheral circuit 31 is one, but it is also possible to have multiple power domains. In this case, a power switch can be provided for each power supply domain.
  • the memory array 20 having memory arrays 20[1] to 20[m] (m is an integer of 2 or more) and a functional layer 50 can be provided by stacking multiple layers of memory arrays 20 on a driving circuit 21. By stacking multiple layers of memory arrays 20, the memory density of the memory cells 10 can be increased.
  • the memory array 20 provided in the first layer is shown as memory array 20[1]
  • the memory array 20 provided in the second layer is shown as memory array 20[2]
  • the memory array 20 provided in the fifth layer is shown as memory array 20[5].
  • Also shown in FIG. 23A are wiring WL, wiring PL, and wiring CL extending in the X direction, and wiring BL extending in the Z direction (the direction perpendicular to the substrate surface on which the drive circuit is provided). Note that to make the drawing easier to understand, some of the wiring WL and wiring PL of each memory array 20 have been omitted.
  • FIG. 23B is a schematic diagram illustrating an example of the configuration of the functional circuit 51 connected to the wiring BL shown in FIG. 23A, and the memory cells 10 in the memory arrays 20[1] to 20[5] connected to the wiring BL.
  • FIG. 23B also illustrates the wiring GBL provided between the functional circuit 51 and the driver circuit 21. Note that a configuration in which multiple memory cells (memory cells 10) are electrically connected to one wiring BL is also referred to as a "memory string.” Note that in the drawings, the wiring GBL may be illustrated with a thick line to improve visibility.
  • FIG. 23B illustrates an example of a circuit configuration of a memory cell 10 connected to wiring BL.
  • the memory cell 10 has a transistor 11 and a capacitor 12.
  • the transistor 11, the capacitor 12, and each wiring may also be referred to as wiring BL[1] and wiring WL[1], for example.
  • the transistor 11 corresponds to the transistor 200 described in embodiment 1.
  • one of the source and drain of transistor 11 is connected to wiring BL.
  • the other of the source and drain of transistor 11 is connected to one electrode of capacitance element 12.
  • the other electrode of capacitance element 12 is connected to wiring PL.
  • the gate of transistor 11 is connected to wiring WL.
  • the backgate of transistor 11 is connected to wiring CL.
  • the wiring PL is a wiring that provides a constant potential to maintain the potential of the capacitance element 12.
  • the wiring CL is a wiring that provides a constant potential to control the threshold voltage of the transistor 11.
  • the wiring PL and the wiring CL may be at the same potential. In this case, by connecting the two wirings, the number of wirings connected to the memory cell 10 can be reduced.
  • FIG. 24A shows a schematic diagram of a memory device 300 in which a functional circuit 51 and memory arrays 20[1] to 20[m] are repeated as a unit 70. Note that while FIG. 24A shows one wiring GBL, the wiring GBL may be provided as appropriate according to the number of functional circuits 51 provided in the functional layer 50.
  • the wiring GBL is provided in contact with the semiconductor layer of the transistor in the functional circuit 51.
  • the wiring GBL is provided in contact with a region that functions as the source or drain of the semiconductor layer of the transistor in the functional circuit 51.
  • the wiring GBL is provided in contact with a conductor that is provided in contact with a region that functions as the source or drain of the semiconductor layer of the transistor in the functional circuit 51.
  • the wiring GBL can be said to be a wiring for electrically connecting one of the source or drain of the transistor in the functional circuit 51 in the functional layer 50 to the driver circuit 21 in the vertical direction.
  • the repeating unit 70 including the functional circuit 51 and the memory arrays 20[1] to 20[m] may be further stacked.
  • the memory device 300A of one embodiment of the present invention can have repeating units 70[1] to 70[p] (p is an integer of 2 or more) as illustrated in FIG. 24B.
  • the wiring GBL is connected to the functional layer 50 included in the repeating unit 70.
  • the wiring GBL may be provided as appropriate depending on the number of functional circuits 51.
  • OS transistors are stacked, and wiring that functions as bit lines is arranged in a vertical direction to the substrate surface on which the driver circuit 21 is provided.
  • the wiring that functions as bit lines extending from the memory array 20 in a vertical direction to the substrate surface, the length of the wiring between the memory array 20 and the driver circuit 21 can be shortened. This allows the parasitic capacitance of the bit lines to be significantly reduced.
  • the layer in which the memory array 20 is provided includes a functional layer 50 having a functional circuit 51 that has a function of amplifying and outputting the data potential held in the memory cell 10.
  • the slight potential difference of the wiring BL that functions as a bit line when reading data can be amplified to drive the sense amplifier 46 of the drive circuit 21. Since the circuits such as the sense amplifier can be miniaturized, the memory device 300 can be miniaturized. In addition, the memory device 300 can be operated even if the capacitance of the capacitive element 12 in the memory cell 10 is reduced.
  • the semiconductor device according to the present invention can also be used for a single-layer memory device having only memory array 20[1].
  • FIG. 25 a configuration example of the functional circuit 51 described in FIG. 22 to FIG. 24 and a configuration example of the sense amplifier 46 included in the memory array 20 and the driver circuit 21 will be described.
  • the driver circuit 21 connected to wirings GBL (wirings GBL_A, GBL_B) connected to functional circuits 51 (functional circuits 51_A, 51_B) connected to memory cells 10 (memory cells 10_A, 10_B) connected to different wirings BL (wirings BL_A, BL_B) is illustrated.
  • a precharge circuit 71_A, a precharge circuit 71_B, a switch circuit 72_A, a switch circuit 72_B, and a write/read circuit 73 are illustrated.
  • Transistors 52_a, 52_b, 53_a, 53_b, 54_a, 54_b, 55_a, and 55_b are illustrated as functional circuits 51_A and 51_B.
  • the transistors 52_a, 52_b, 53_a, 53_b, 54_a, 54_b, 55_a, and 55_b illustrated in FIG. 25 are OS transistors, similar to the transistor 11 included in the memory cell 10.
  • the functional layer 50 including the functional circuit 51 can be stacked on the driver circuit 21, similar to the memory arrays 20[1] to 20[m].
  • Wiring BL_A is connected to the gate of transistor 52_a, and wiring BL_B is connected to the gate of transistor 52_b.
  • One of the sources or drains of transistors 53_a and 54_a is connected to wiring GBL_A.
  • One of the sources or drains of transistors 53_b and 54_b is connected to wiring GBL_B.
  • Wirings GBL_A and GBL_B are provided vertically like wirings BL_A and BL_B, and are connected to transistors in driver circuit 21. As shown in FIG. 25, a selection signal MUX, a control signal WE, or a control signal RE is applied to the gates of transistors 53_a, 53_b, 54_a, 54_b, 55_a, and 55_b, respectively.
  • the transistors 81_1 to 81_6 and 82_1 to 82_4 constituting the sense amplifier 46, precharge circuit 71_A, and precharge circuit 71_B shown in FIG. 25 are composed of Si transistors.
  • the switches 83_A to 83_D constituting the switch circuit 72_A and switch circuit 72_B can also be composed of Si transistors.
  • One of the sources or drains of the transistors 53_a, 53_b, 54_a, and 54_b is connected to the transistors or switches constituting the precharge circuit 71_A, precharge circuit 71_B, sense amplifier 46, and switch circuit 72_A.
  • the precharge circuit 71_A has n-channel transistors 81_1 to 81_3.
  • the precharge circuit 71_A is a circuit for precharging the wiring BL_A and the wiring BL_B to an intermediate potential VPC that corresponds to a potential VDD/2 between a high power supply potential (VDD) and a low power supply potential (VSS) in response to a precharge signal provided to a precharge line PCL1.
  • VDD high power supply potential
  • VSS low power supply potential
  • the precharge circuit 71_B has n-channel transistors 81_4 to 81_6.
  • the precharge circuit 71_B is a circuit for precharging the wiring GBL_A and the wiring GBL_B to an intermediate potential VPC that corresponds to a potential VDD/2 between VDD and VSS in response to a precharge signal provided to the precharge line PCL2.
  • the sense amplifier 46 has p-channel transistors 82_1 and 82_2 and n-channel transistors 82_3 and 82_4 connected to the wiring VHH or wiring VLL.
  • the wiring VHH or wiring VLL is a wiring that has a function of providing VDD or VSS.
  • the transistors 82_1 to 82_4 are transistors that form an inverter loop.
  • the potentials of the precharged wirings BL_A and BL_B change by selecting memory cells 10_A and 10_B, and the potentials of the wirings GBL_A and GBL_B are set to VDD or VSS in response to the change.
  • the potentials of the wirings GBL_A and GBL_B can be output to the outside via the switches 83_C and 83_D, and the write/read circuit 73.
  • the wirings BL_A and BL_B, and the wirings GBL_A and GBL_B correspond to bit line pairs.
  • the write/read circuit 73 controls the writing of data signals according to the signal EN_data.
  • the switch circuit 72_A is a circuit for controlling the conduction state between the sense amplifier 46 and the wiring GBL_A and wiring GBL_B.
  • the switch circuit 72_A is switched on or off under the control of the switching signal CSEL1.
  • the switches 83_A and 83_B are n-channel transistors, the switching signal CSEL1 is on at a high level and off at a low level.
  • the switch circuit 72_B is a circuit for controlling the conduction state between the write/read circuit 73 and the bit line pair connected to the sense amplifier 46.
  • the switch circuit 72_B is switched on or off under the control of the switching signal CSEL2.
  • the switches 83_C and 83_D may operate in the same manner as the switches 83_A and 83_B.
  • the memory device 300 can be configured to connect the memory cell 10, the functional circuit 51, and the sense amplifier 46 via wiring BL and wiring GBL that are provided in the vertical direction, which is the shortest distance.
  • the number of functional layers 50 having transistors that constitute the functional circuit 51 increases, the load on the wiring BL is reduced, which shortens the write time and makes it easier to read data.
  • each transistor in the functional circuits 51_A and 51_B is controlled in response to control signals WE, RE, and a selection signal MUX.
  • Each transistor can output the potential of the wiring BL to the driver circuit 21 via the wiring GBL in response to the control signal and selection signal.
  • the functional circuits 51_A and 51_B can function as sense amplifiers made up of OS transistors. With this configuration, a slight potential difference in the wiring BL can be amplified during reading to drive the sense amplifier 46 using Si transistors.
  • Example of memory cell configuration An example of the configuration of a memory cell 10 used in the memory device will be described with reference to FIG. 26A.
  • the X direction is parallel to the channel length direction of the illustrated transistor
  • the Y direction is perpendicular to the X direction
  • the Z direction is perpendicular to the X and Y directions.
  • the memory cell 10 includes a transistor 11 and a capacitor 12.
  • An insulator 285 is provided on the transistor 11, and an insulator 284 is provided on the insulator 285.
  • the insulators 285 and 284 may be made of an insulator that can be used for the insulator 216.
  • the transistor 11 has a similar structure to the transistor 200 described in the previous embodiment, and the same components are denoted by the same reference numerals. For details of the transistor 200, the previous embodiment can be referred to.
  • a conductor 240b is provided in contact with one of the source and drain (conductor 242b) of the transistor 11.
  • the conductor 240b is provided extending in the Z direction and functions as a wiring BL.
  • the capacitance element 12 has a conductor 153 on the conductor 242a, an insulator 154 on the conductor 153, and a conductor 160 (conductor 160a and conductor 160b) on the insulator 154.
  • At least a portion of conductor 153, insulator 154, and conductor 160 are disposed inside openings provided in insulators 275, 280, 282, 283, and 285, respectively.
  • the ends of conductors 153, 154, and 160 are located at least on insulator 282, and preferably on insulator 285.
  • Insulator 154 is disposed so as to cover the end of conductor 153. This allows electrical insulation between conductor 153 and conductor 160.
  • insulators 275, 280, 282, 283, and 285 are (i.e., the thicker one or more of insulators 275, 280, 282, 283, and 285 are), the larger the capacitance of capacitive element 12 can be. Increasing the capacitance per unit area of capacitive element 12 allows for miniaturization or high integration of the memory device.
  • the conductor 153 has a region that functions as one electrode (lower electrode) of the capacitance element 12.
  • the insulator 154 has a region that functions as a dielectric of the capacitance element 12.
  • the conductor 160 has a region that functions as the other electrode (upper electrode) of the capacitance element 12.
  • the upper part of the conductor 260 can be extended to function as the wiring PL shown in Figures 23A and 23B.
  • the capacitance element 12 constitutes a MIM (Metal-Insulator-Metal) capacitance.
  • the conductor 242a overlapping the oxide 230 functions as an electrode electrically connected to the conductor 153 of the capacitor 12.
  • the conductor 153 and the conductor 160 of the capacitor 12 can be formed using various conductors that can be used for the conductor 205 or the conductor 260, respectively.
  • the conductor 153 and the conductor 160 are preferably formed using a film formation method with good coating properties, such as the ALD method or the CVD method.
  • the conductor 153 can be made of titanium nitride or tantalum nitride formed using the ALD method or the CVD method.
  • the upper surface of conductor 242a contacts the lower surface of conductor 153.
  • the contact resistance between conductor 153 and conductor 242a can be reduced.
  • the conductor 160a may be made of titanium nitride formed using the ALD method or the CVD method, and the conductor 160b may be made of tungsten formed using the CVD method. If the adhesion of tungsten to the insulator 154 is sufficiently high, the conductor 160 may be a single layer structure of tungsten formed using the CVD method.
  • the insulator 154 of the capacitance element 12 is preferably made of a high-k material (a material with a high relative dielectric constant).
  • the insulator 154 is preferably formed using a film formation method with good coating properties, such as the ALD method or the CVD method.
  • high-dielectric constant (high-k) insulators examples include oxides, oxynitrides, oxynitrides, and nitrides that contain one or more metal elements selected from aluminum, hafnium, zirconium, and gallium. Silicon may also be contained in the oxides, oxynitrides, oxynitrides, or nitrides. Insulators made of the above materials may also be stacked.
  • examples of insulators made of high dielectric constant (high-k) materials include aluminum oxide, hafnium oxide, zirconium oxide, oxides having aluminum and hafnium, oxynitrides having aluminum and hafnium, oxides having silicon and hafnium, oxynitrides having silicon and hafnium, oxides having silicon and zirconium, oxynitrides having silicon and zirconium, oxides having hafnium and zirconium, and oxynitrides having hafnium and zirconium.
  • high-k materials include aluminum oxide, hafnium oxide, zirconium oxide, oxides having aluminum and hafnium, oxynitrides having aluminum and hafnium, oxides having silicon and hafnium, oxynitrides having silicon and hafnium, oxides having silicon and zirconium, oxynitrides having hafnium and zirconium.
  • insulators made of the above materials in a laminated structure, and it is preferable to use a laminated structure of a high dielectric constant (high-k) material and a material having a higher dielectric strength than the high dielectric constant (high-k) material.
  • a laminated structure of a high dielectric constant (high-k) material and a material having a higher dielectric strength than the high dielectric constant (high-k) material for example, an insulator laminated in the order of zirconium oxide, aluminum oxide, and zirconium oxide can be used as the insulator 154.
  • an insulator laminated in the order of zirconium oxide, aluminum oxide, zirconium oxide, and aluminum oxide can be used.
  • an insulating film laminated in the order of hafnium zirconium oxide, aluminum oxide, hafnium zirconium oxide, and aluminum oxide can be used.
  • an insulator with a relatively high dielectric strength such as aluminum oxide in a laminated structure the dielectric strength is improved and electrostatic breakdown of the capacitance element 12 can be suppressed.
  • a material that can have ferroelectricity may be used as the insulator 154.
  • materials that can have ferroelectricity include metal oxides such as hafnium oxide, zirconium oxide, and HfZrO x (X is a real number greater than 0).
  • materials that can have ferroelectricity include a material in which an element J1 (here, the element J1 is one or more selected from zirconium, silicon, aluminum, gadolinium, yttrium, lanthanum, strontium, etc.) is added to hafnium oxide.
  • the ratio of the number of atoms of hafnium atoms to the number of atoms of the element J1 can be set appropriately, and for example, the ratio of the number of atoms of hafnium atoms to the number of atoms of the element J1 may be set to 1:1 or close to 1:1.
  • materials that can have ferroelectricity include a material in which an element J2 (here, the element J2 is one or more selected from hafnium, silicon, aluminum, gadolinium, yttrium, lanthanum, strontium, etc.) is added to zirconium oxide.
  • the ratio of the number of zirconium atoms to the number of atoms of element J2 can be set appropriately, for example, the ratio of the number of zirconium atoms to the number of atoms of element J2 may be set to 1: 1 or close to 1.
  • piezoelectric ceramics having a perovskite structure such as lead titanate (PbTiO x ), barium strontium titanate (BST), strontium titanate, lead zirconate titanate (PZT), strontium bismuthate tantalate (SBT), bismuth ferrite (BFO), and barium titanate, may be used.
  • examples of materials that can have ferroelectricity include metal nitrides having element M1, element M2, and nitrogen.
  • element M1 is one or more selected from aluminum, gallium, indium, etc.
  • element M2 is one or more selected from boron, scandium, yttrium, lanthanum, cerium, neodymium, europium, titanium, zirconium, hafnium, vanadium, niobium, tantalum, chromium, etc. It should be noted that the ratio of the number of atoms of element M1 to the number of atoms of element M2 can be set appropriately. Also, metal oxides having element M1 and nitrogen may have ferroelectricity even if they do not contain element M2.
  • examples of materials that can have ferroelectricity include materials in which element M3 is added to the above metal nitride.
  • element M3 is one or more selected from magnesium, calcium, strontium, zinc, cadmium, etc.
  • the ratio of the number of atoms of element M1, the number of atoms of element M2, and the number of atoms of element M3 can be set appropriately.
  • examples of materials that can have ferroelectricity include perovskite-type oxynitrides such as SrTaO 2 N and BaTaO 2 N, and GaFeO 3 with a ⁇ -alumina structure.
  • metal oxides and metal nitrides are given as examples, but the present invention is not limited to these.
  • metal oxynitrides in which nitrogen is added to the above-mentioned metal oxides, or metal oxynitrides in which oxygen is added to the above-mentioned metal nitrides, etc. may also be used.
  • a material that can have ferroelectricity for example, a mixture or compound made of multiple materials selected from the materials listed above can be used.
  • the insulator 154 can have a layered structure made of multiple materials selected from the materials listed above.
  • the crystal structure (characteristics) of the materials listed above can change not only depending on the film formation conditions but also on various processes, in this specification, not only materials that exhibit ferroelectricity are called ferroelectrics, but also materials that can have ferroelectricity.
  • a ferroelectric is an insulator that is polarized when an electric field is applied from the outside, and has the property that the polarization remains even when the electric field is made zero. For this reason, a nonvolatile memory element can be formed using a capacitance element (hereinafter sometimes referred to as a ferroelectric capacitor) that uses this material as a dielectric.
  • a nonvolatile memory element that uses a ferroelectric capacitor is sometimes called a FeRAM (Ferroelectric Random Access Memory), a ferroelectric memory, etc.
  • a ferroelectric memory has a transistor and a ferroelectric capacitor, and one of the source and drain of the transistor is electrically connected to one terminal of the ferroelectric capacitor. Therefore, when a ferroelectric capacitor is used as the capacitance element 12, the memory device shown in this embodiment functions as a ferroelectric memory.
  • the insulators 275, 280, 282, 283, and 285 function as barrier insulators, it is preferable to set the film thickness according to the barrier properties required for the semiconductor device.
  • the film thickness of the conductor 260 that functions as a gate electrode is determined according to the film thickness of the insulator 280, it is preferable to set the film thickness of the insulator 280 according to the film thickness of the conductor 260 required for the semiconductor device.
  • the film thickness of the insulator 285 may be set in the range of 50 nm to 250 nm, and the depth of the opening may be set to approximately 150 nm to 350 nm.
  • the capacitance element 12 By forming the capacitance element 12 in such a range, it is possible to provide the capacitance element 12 with sufficient capacitance, and to prevent the height of one layer from becoming excessively high in a semiconductor device in which multiple memory cell layers are stacked.
  • a configuration may be adopted in which the capacitance of the capacitance element provided in each memory cell is different in each of the multiple memory cell layers. In this configuration, for example, the film thickness of the insulator 285 provided in each memory cell layer may be different.
  • the sidewall of the opening may be perpendicular or approximately perpendicular to the upper surface of the insulator 222, or may be tapered.
  • the sidewall tapered By making the sidewall tapered, the coverage of the conductor 153 or the like provided in the opening of the insulator 285 or the like is improved, and defects such as voids can be reduced.
  • the conductor 242b provided on the oxide 230 functions as wiring that electrically connects to the conductor 240b.
  • the upper surface and side end of the conductor 242b are electrically connected to the conductor 240b extending in the Z direction.
  • the upper surface and side end of the conductor 242b are in contact with the conductor 240b.
  • the conductor 240b By directly contacting the conductor 240b with at least one of the upper surface and side end of the conductor 242b, there is no need to provide a separate electrode for connection, and the area occupied by the memory array can be reduced. In addition, the integration density of memory cells is improved, and the memory capacity of the storage device can be increased. Note that it is preferable that the conductor 240b contacts a part of the upper surface and the side end of the conductor 242b. By contacting multiple surfaces of the conductor 242b with the conductor 240b, the contact resistance between the conductor 240b and the conductor 242b can be reduced.
  • Conductor 240b is provided in openings formed in insulators 216, 221, 222, 275, 280, 282, 283, 285, and 284.
  • the insulator 241b is provided in contact with the side surface of the conductor 240b. Specifically, the insulator 241b is provided in contact with the inner walls of the openings of the insulators 216, 221, 222, 275, 280, 282, 283, 285, and 284. The insulator 241 is also formed on the side surface of the oxide 230 that is formed to protrude into the opening. Here, at least a portion of the conductor 242b is exposed from the insulator 241b and is in contact with the conductor 240b. In other words, the conductor 240b is provided so as to fill the inside of the opening via the insulator 241b.
  • the top of the insulator 241b formed below the conductor 242b is preferably located below the top surface of the conductor 242b.
  • This configuration allows the conductor 240b to contact at least a portion of the side end of the conductor 242b.
  • the insulator 241b formed below the conductor 242b preferably has an area that contacts the side of the oxide 230. This configuration can prevent impurities such as water and hydrogen contained in the insulator 280 from entering the oxide 230 through the conductor 240b.
  • the sidewall of the opening may be perpendicular or approximately perpendicular to the upper surface of the insulator 222, or may be tapered. By making the sidewall tapered, the coverage of the insulator 241b and the like provided in the opening is improved.
  • the conductor 153 of the capacitance element 12 is in contact with the conductor 242a of the transistor 11, but the present invention is not limited to this.
  • a configuration may be used in which a conductor 240a is provided in the transistor 11 and the capacitance element 12 is provided on top of that.
  • an insulator 286 can be provided on the insulator 283, an insulator 287 can be provided on the insulator 286, and an insulator 288 can be provided on the insulator 287.
  • the insulators 286, 287, and 288 may be made of an insulator that can be used for the insulator 284.
  • Conductors 246a and 246b are provided so as to be embedded in the insulator 286.
  • Conductors 246a and 246b function as wirings or electrodes, and may be made of a conductor that can be used for the conductor 205.
  • a capacitor 12 is provided so as to be embedded in the insulator 287 and the insulator 288. The capacitor 12 shown in FIG.
  • FIG. 26B has a structure similar to that of FIG. 26A. Also, the transistor 11 shown in FIG. 26B has a conductor 240a, a conductor 240b, an insulator 241a, and an insulator 241b embedded in an insulator 280, similar to the transistor 200 shown in FIG. 1B, etc.
  • conductor 240a contacts conductor 242a
  • conductor 246a contacts conductor 240a
  • conductor 153 contacts conductor 246a. Therefore, conductor 153, which is the lower electrode of capacitance element 12, is electrically connected to conductor 242a, which is one of the source and drain of transistor 11, via conductor 246a and conductor 240a.
  • conductor 240b contacts conductor 242b
  • conductor 246b contacts conductor 240b
  • conductor 246b can be routed in the same layer to function as wiring BL.
  • the memory cells 10 shown in FIG. 26B are arranged in a matrix in the same layer to form a memory array. Furthermore, without being limited to this, they may be configured to extend in the Z direction, similar to conductor 240b shown in FIG. 26A.
  • the conductor 246a and the conductor 246b are configured to be formed in the same layer, but the present invention is not limited to this.
  • the conductor 246a may be configured to be provided in a layer above the conductor 246b.
  • an insulator 289 can be provided on the insulator 286, and an insulator 290 can be provided on the insulator 289.
  • the insulator 289 can be an insulator that can be used for the insulator 283, and the insulator 290 can be an insulator that can be used for the insulator 284.
  • a conductor 246a is provided so as to be embedded in the insulator 290.
  • the conductor 246a can be arranged overlapping the transistor 11 without interfering with the conductor 246b. Therefore, the capacitor 12 provided on the conductor 246a can be arranged overlapping the transistor 11.
  • the insulator 289 functions as an etching stopper when forming the conductor 246a. With this configuration, even if a part of the conductor 246a overlaps with the conductor 246b, the part of the conductor 246a can be prevented from contacting the conductor 246b.
  • the capacitance element 12 is configured to be provided on the transistor 11, but the present invention is not limited to this.
  • the capacitance element 12 may be configured to be provided below the transistor 11.
  • an insulator 215 can be provided under the insulator 216 as in FIG. 1B
  • an insulator 291 can be provided under the insulator 215, an insulator 292 can be provided under the insulator 291, and an insulator 293 can be provided under the insulator 292.
  • the insulators 291, 292, and 293 may be made of an insulator that can be used for the insulator 284.
  • a conductor 294 is provided so as to be embedded in the insulator 293.
  • the conductor 294 may be made of a conductor that functions as a wiring or an electrode and can be used for the conductor 205.
  • a capacitor 12 is provided so as to be embedded in the insulators 291 and 292.
  • the capacitor 12 shown in FIG. 27B has a structure similar to that of FIG. 26A.
  • a conductor 206 is provided so as to be embedded in the insulators 215 and 216.
  • the conductor 206 can be formed in the same process as the conductor 205 by using a dual damascene method.
  • Conductor 240c and insulator 241c are provided so as to be embedded in insulator 221, insulator 222, insulator 275, insulator 280, insulator 282, and insulator 283.
  • Conductor 240c can be formed in the same process as conductor 240a and conductor 240b, and insulator 241c can be formed in the same process as insulator 241a and insulator 241b.
  • conductor 240a contacts conductor 242a
  • conductor 246a contacts conductor 240a
  • conductor 240c contacts conductor 246a
  • conductor 206 contacts conductor 240c
  • conductor 160 contacts conductor 206.
  • conductor 160 which is the upper electrode of capacitance element 12
  • conductor 242a which is one of the source and drain of transistor 11, via conductor 206, conductor 240c, conductor 246a, and conductor 240a.
  • the conductor 294 contacts the conductor 153.
  • the conductor 153 can function as the wiring PL.
  • the capacitor 12 can be arranged overlapping under the transistor 11.
  • the memory device 300 has a driver circuit 21, which is a layer having transistors 310 and the like, a functional layer 50 on the driver circuit 21, which is a layer having transistors 52, 53, 54, 55 and the like, and memory arrays 20[1] to 20[m] on the functional layer 50.
  • the transistor 52 corresponds to the transistors 52_a and 52_b described above
  • the transistor 53 corresponds to the transistors 53_a and 53_b described above
  • the transistor 54 corresponds to the transistors 54_a and 54_b described above
  • the transistor 55 corresponds to the transistors 55_a and 55_b described above.
  • a transistor 310 included in the driver circuit 21 is illustrated.
  • the transistor 310 is provided on a substrate 311, and has a conductor 316 functioning as a gate, an insulator 315 functioning as a gate insulator, a semiconductor region 313 including a part of the substrate 311, and a low-resistance region 314a and a low-resistance region 314b functioning as a source region or a drain region.
  • the transistor 310 may be either a p-channel transistor or an n-channel transistor.
  • a single crystal silicon substrate can be used as the substrate 311.
  • the semiconductor region 313 (part of the substrate 311) in which the channel is formed has a convex shape.
  • the side and top surface of the semiconductor region 313 are covered with a conductor 316 via an insulator 315.
  • the conductor 316 may be made of a material that adjusts the work function.
  • Such a transistor 310 is also called a Fin-type transistor because it uses the convex portion of the semiconductor substrate.
  • an insulator that contacts the top of the convex portion and functions as a mask for forming the convex portion may be provided.
  • a convex portion is formed by processing a part of the semiconductor substrate is shown, but a semiconductor film having a convex shape may be formed by processing an SOI (Silicon on Insulator) substrate.
  • transistor 310 shown in FIG. 28 is just an example, and the structure is not limited to this, and an appropriate transistor can be used depending on the circuit configuration or driving method.
  • a wiring layer having an interlayer film, wiring, plugs, etc. may be provided between each structure. Also, multiple wiring layers may be provided depending on the design. Also, in this specification, the wiring and the plug electrically connected to the wiring may be integrated. That is, there are cases where a part of the conductor functions as the wiring, and cases where a part of the conductor functions as the plug.
  • an insulator 320, an insulator 322, an insulator 324, and an insulator 326 are stacked in this order as an interlayer film.
  • Conductors 328 and the like are embedded in the insulators 320 and 322.
  • Conductors 330 and the like are embedded in the insulators 324 and 326.
  • Conductors 328 and 330 function as contact plugs or wiring.
  • the insulator functioning as an interlayer film may also function as a planarizing film that covers the uneven shape underneath.
  • the top surface of the insulator 322 may be planarized by a planarization process using a chemical mechanical polishing (CMP) method or the like to improve flatness.
  • CMP chemical mechanical polishing
  • FIG. 28 also illustrates transistors 52, 53, and 55 in the functional layer 50.
  • the transistors 52, 53, and 55 have the same configuration as the transistor 11 in the memory cell 10.
  • the sources and drains of the transistors 52, 53, and 55 are connected in series.
  • An insulator 208 is provided on the transistors 52, 53, and 55, and a conductor 207 is provided in an opening formed in the insulator 208. Furthermore, an insulator 210 is provided on the insulator 208, and a conductor 209 is provided in an opening formed in the insulator 210. Furthermore, an insulator 212 is provided on the insulator 210, and an insulator 214 is provided on the insulator 212. A part of the conductor 240b provided in the memory array 20[1] is embedded in the openings formed in the insulators 212 and 214.
  • the insulators 208 and 210 can be made of an insulator that can be used for the insulator 216. Furthermore, the insulator 212 can be made of an insulator that can be used for the insulator 283. Furthermore, the insulator 214 can be made of an insulator that can be used for the insulator 282.
  • the bottom surface of conductor 207 is in contact with the top surface of conductor 260 of transistor 52.
  • the top surface of conductor 207 is in contact with the bottom surface of conductor 209.
  • the top surface of conductor 209 is in contact with the bottom surface of conductor 240b provided in memory array 20[1]. With this configuration, conductor 240b, which corresponds to wiring BL, can be electrically connected to the gate of transistor 52.
  • Memory arrays 20[1] to 20[m] each include a plurality of memory cells 10.
  • the conductor 240b of each memory cell 10 is electrically connected to the conductor 240b in the upper layer and the conductor 240b in the lower layer.
  • the conductor 240b is shared between adjacent memory cells 10.
  • the configuration on the right side and the configuration on the left side are arranged symmetrically with respect to the conductor 240b.
  • the conductor 160 functioning as the upper electrode of the capacitance element 12 in the lower layer (e.g., the layer of the memory array 20[1]) and the conductor 205 functioning as the second gate electrode of the transistor 11 in the upper layer (e.g., the layer of the memory array 20[2]) can be formed in the same layer.
  • the conductor 160 of the capacitance element 12 in the lower layer and the conductor 205 of the transistor 11 in the upper layer can be formed so as to be embedded in an opening formed in the same insulator 216.
  • the conductor 205 of the transistor 11 in the upper layer can also be formed by processing the conductive film used for the conductor 160 of the capacitance element 12 in the lower layer. In this case, the conductor 205 of the transistor 11 in the upper layer has the same material as the conductor 160 of the capacitance element 12 in the lower layer.
  • multiple memory arrays 20[1] to 20[m] can be stacked.
  • the memory arrays 20[1] to 20[m] of the memory array 20 can be arranged in the vertical direction of the substrate surface on which the drive circuit 21 is provided, thereby improving the memory density of the memory cells 10.
  • the memory array 20 can also be manufactured using the same manufacturing process repeatedly in the vertical direction.
  • the storage device 300 can reduce the manufacturing cost of the memory array 20.
  • the chip 1200 shown in Figures 29A and 29B has multiple circuits (systems) implemented on it. This technology of integrating multiple circuits (systems) on a single chip is sometimes called a system on chip (SoC).
  • SoC system on chip
  • the chip 1200 has a CPU 1211, a GPU 1212, one or more analog computing units 1213, one or more memory controllers 1214, one or more interfaces 1215, one or more network circuits 1216, etc.
  • Bumps (not shown) are provided on the chip 1200, and as shown in FIG. 29B, they are connected to the first surface of the package substrate 1201.
  • a plurality of bumps 1202 are provided on the back surface of the first surface of the package substrate 1201, and they are connected to the motherboard 1203.
  • the motherboard 1203 may be provided with a storage device such as a DRAM 1221 or a flash memory 1222.
  • a storage device such as a DRAM 1221 or a flash memory 1222.
  • the DOSRAM described in the previous embodiment may be used for the DRAM 1221. This allows the DRAM 1221 to consume less power, operate at a higher speed, and have a larger capacity.
  • the CPU 1211 preferably has multiple CPU cores.
  • the GPU 1212 preferably has multiple GPU cores.
  • the CPU 1211 and the GPU 1212 may each have a memory for temporarily storing data.
  • a memory common to the CPU 1211 and the GPU 1212 may be provided in the chip 1200.
  • the memory may be the DOSRAM described above.
  • the GPU 1212 is suitable for parallel calculation of a large amount of data, and may be used for image processing or multiply-and-accumulate operations. By providing the GPU 1212 with an image processing circuit or a multiply-and-accumulate circuit using the OS transistor described in the previous embodiment, it becomes possible to perform image processing or multiply-and-accumulate operations with low power consumption.
  • the wiring between the CPU 1211 and GPU 1212 can be shortened, and data can be transferred from the CPU 1211 to the GPU 1212, data can be transferred between the memories of the CPU 1211 and GPU 1212, and the results of calculations performed by the GPU 1212 can be transferred from the GPU 1212 to the CPU 1211 at high speed.
  • the analog calculation unit 1213 has one or both of an A/D (analog/digital) conversion circuit and a D/A (digital/analog) conversion circuit.
  • the analog calculation unit 1213 may also be provided with the above-mentioned product-sum calculation circuit.
  • the memory controller 1214 has a circuit that functions as a controller for the DRAM 1221 and a circuit that functions as an interface for the flash memory 1222.
  • the interface 1215 has an interface circuit with externally connected devices such as a display device, speaker, microphone, camera, and controller. Controllers include mice, keyboards, and game controllers. Examples of such interfaces that can be used include USB (Universal Serial Bus) and HDMI (registered trademark) (High-Definition Multimedia Interface).
  • USB Universal Serial Bus
  • HDMI registered trademark
  • the network circuit 1216 has a circuit for connecting to a network such as a LAN (Local Area Network). It may also have a circuit for network security.
  • a network such as a LAN (Local Area Network). It may also have a circuit for network security.
  • circuits can be formed in chip 1200 using the same manufacturing process. Therefore, even if the number of circuits required for chip 1200 increases, there is no need to increase the manufacturing process, and chip 1200 can be manufactured at low cost.
  • the package substrate 1201 on which the chip 1200 having the GPU 1212 is provided, the motherboard 1203 on which the DRAM 1221 and the flash memory 1222 are provided, can be called a GPU module 1204.
  • the GPU module 1204 has the chip 1200 using SoC technology, so its size can be reduced. In addition, because it excels in image processing, it is suitable for use in portable electronic devices such as smartphones, tablet terminals, laptop PCs, and portable (portable) game consoles.
  • the product-sum calculation circuit using the GPU 1212 can execute techniques such as deep neural networks (DNN), convolutional neural networks (CNN), recurrent neural networks (RNN), autoencoders, deep Boltzmann machines (DBM), and deep belief networks (DBN), so the chip 1200 can be used as an AI chip, and the GPU module 1204 can be used as an AI system module.
  • DNN deep neural networks
  • CNN convolutional neural networks
  • RNN recurrent neural networks
  • DBM deep Boltzmann machines
  • DBN deep belief networks
  • Embodiment 5 electronic components, electronic devices, large scale computers, space equipment, and data centers (also referred to as data centers (DCs)) in which the semiconductor device described in the above embodiment can be used will be described.
  • the electronic components, electronic devices, large scale computers, space equipment, and data centers using the semiconductor device of one embodiment of the present invention are effective in achieving high performance, such as low power consumption.
  • FIG. 30A shows a perspective view of a substrate (mounting substrate 704) on which an electronic component 700 is mounted.
  • the electronic component 700 shown in FIG. 30A has a semiconductor device 710 in a mold 711. In FIG. 30A, some parts are omitted in order to show the inside of the electronic component 700.
  • the electronic component 700 has lands 712 on the outside of the mold 711. The lands 712 are electrically connected to electrode pads 713, and the electrode pads 713 are electrically connected to the semiconductor device 710 via wires 714.
  • the electronic component 700 is mounted on, for example, a printed circuit board 702. A plurality of such electronic components are combined and electrically connected on the printed circuit board 702 to complete the mounting substrate 704.
  • the semiconductor device 710 also has a drive circuit layer 715 and a memory layer 716.
  • the memory layer 716 is configured by stacking a plurality of memory cell arrays.
  • the stacked configuration of the drive circuit layer 715 and the memory layer 716 can be a monolithic stacked configuration. In the monolithic stacked configuration, the layers can be connected without using through-electrode technology such as TSV (Through Silicon Via) and bonding technology such as Cu-Cu direct bonding.
  • TSV Through Silicon Via
  • bonding technology such as Cu-Cu direct bonding.
  • the memory as an on-chip memory, it is possible to reduce the size of the connection wiring, etc., compared to technologies that use through electrodes such as TSVs, and it is also possible to increase the number of connection pins. Increasing the number of connection pins enables parallel operation, making it possible to improve the memory bandwidth (also called memory bandwidth).
  • the multiple memory cell arrays in the memory layer 716 are formed using OS transistors and the multiple memory cell arrays are monolithically stacked.
  • OS transistors By configuring the multiple memory cell arrays as monolithic stacks, it is possible to improve either or both of the memory bandwidth and the memory access latency.
  • the bandwidth is the amount of data transferred per unit time
  • the access latency is the time from access to the start of data exchange.
  • Si transistors when Si transistors are used for the memory layer 716, it is difficult to configure the memory layer 716 as a monolithic stack compared to OS transistors. Therefore, it can be said that OS transistors have a superior structure to Si transistors in the monolithic stack configuration.
  • the semiconductor device 710 may also be referred to as a die.
  • a die refers to a chip piece obtained during the manufacturing process of a semiconductor chip by forming a circuit pattern on, for example, a disk-shaped substrate (also called a wafer) and cutting it into cubes.
  • Semiconductor materials that can be used for the die include, for example, silicon (Si), silicon carbide (SiC), and gallium nitride (GaN).
  • Si silicon
  • SiC silicon carbide
  • GaN gallium nitride
  • a die obtained from a silicon substrate also called a silicon wafer
  • a silicon die obtained from a silicon substrate (also called a silicon wafer) may be called a silicon die.
  • Electronic component 730 is an example of a SiP (System in Package) or MCM (Multi Chip Module).
  • Electronic component 730 has an interposer 731 provided on a package substrate 732 (printed circuit board), and a semiconductor device 735 and multiple semiconductor devices 710 provided on interposer 731.
  • semiconductor device 710 is used as a high bandwidth memory (HBM).
  • semiconductor device 735 can be used in integrated circuits such as a central processing unit (CPU), a graphics processing unit (GPU), or a field programmable gate array (FPGA).
  • CPU central processing unit
  • GPU graphics processing unit
  • FPGA field programmable gate array
  • the package substrate 732 may be, for example, a ceramic substrate, a plastic substrate, or a glass epoxy substrate.
  • the interposer 731 may be, for example, a silicon interposer or a resin interposer.
  • the interposer 731 has multiple wirings and functions to electrically connect multiple integrated circuits with different terminal pitches.
  • the multiple wirings are provided in a single layer or multiple layers.
  • the interposer 731 also functions to electrically connect the integrated circuits provided on the interposer 731 to electrodes provided on the package substrate 732.
  • the interposer is sometimes called a "rewiring substrate” or "intermediate substrate.”
  • a through electrode is provided in the interposer 731, and the integrated circuits and the package substrate 732 are electrically connected using the through electrode.
  • a TSV can also be used as the through electrode.
  • the interposer that implements the HBM requires fine, high-density wiring. For this reason, it is preferable to use a silicon interposer for the interposer that implements the HBM.
  • silicon interposers Furthermore, in SiP and MCM using silicon interposers, deterioration in reliability due to differences in the expansion coefficient between the integrated circuit and the interposer is unlikely to occur. Furthermore, since the surface of the silicon interposer is highly flat, poor connection between the integrated circuit mounted on the silicon interposer and the silicon interposer is unlikely to occur. In particular, it is preferable to use silicon interposers in 2.5D packages (2.5-dimensional mounting) in which multiple integrated circuits are arranged horizontally on the interposer.
  • a composite structure may be used that combines a memory cell array stacked using TSVs and a monolithic stacking memory cell array.
  • a heat sink may be provided overlapping the electronic component 730.
  • electrodes 733 may be provided on the bottom of the package substrate 732.
  • FIG. 30B shows an example in which the electrodes 733 are formed from solder balls. By providing solder balls in a matrix on the bottom of the package substrate 732, BGA (Ball Grid Array) mounting can be achieved.
  • the electrodes 733 may also be formed from conductive pins. By providing conductive pins in a matrix on the bottom of the package substrate 732, PGA (Pin Grid Array) mounting can be achieved.
  • the electronic component 730 can be mounted on other substrates using various mounting methods, including but not limited to BGA and PGA.
  • mounting methods include SPGA (Staggered Pin Grid Array), LGA (Land Grid Array), QFP (Quad Flat Package), QFJ (Quad Flat J-leaded package), and QFN (Quad Flat Non-leaded package).
  • FIG. 31A a perspective view of an electronic device 6500 is shown in FIG. 31A.
  • the electronic device 6500 shown in FIG. 31A is a portable information terminal that can be used as a smartphone.
  • the electronic device 6500 includes a housing 6501, a display portion 6502, a power button 6503, a button 6504, a speaker 6505, a microphone 6506, a camera 6507, a light source 6508, a control device 6509, and the like.
  • the control device 6509 includes, for example, one or more selected from a CPU, a GPU, and a memory device.
  • the semiconductor device of one embodiment of the present invention can be applied to the display portion 6502, the control device 6509, and the like.
  • the electronic device 6600 shown in FIG. 31B is an information terminal that can be used as a notebook personal computer.
  • the electronic device 6600 includes a housing 6611, a keyboard 6612, a pointing device 6613, an external connection port 6614, a display portion 6615, a control device 6616, and the like.
  • the control device 6616 includes, for example, one or more selected from a CPU, a GPU, and a storage device.
  • the semiconductor device of one embodiment of the present invention can be applied to the display portion 6615, the control device 6616, and the like. Note that the use of the semiconductor device of one embodiment of the present invention for the above-described control device 6509 and control device 6616 is preferable because power consumption can be reduced.
  • Fig. 31C shows a perspective view of the large scale computer 5600.
  • the large scale computer 5600 shown in Fig. 31C has a rack 5610 housing a plurality of rack-mounted computers 5620.
  • the large scale computer 5600 may also be called a supercomputer.
  • Computer 5620 can be configured, for example, as shown in the perspective view of FIG. 31D.
  • computer 5620 has motherboard 5630, which has multiple slots 5631 and multiple connection terminals.
  • PC card 5621 is inserted into slot 5631.
  • PC card 5621 has connection terminals 5623, 5624, and 5625, which are each connected to motherboard 5630.
  • PC card 5621 shown in FIG. 31E is an example of a processing board equipped with a CPU, a GPU, a storage device, and the like.
  • PC card 5621 has board 5622.
  • Board 5622 also has connection terminal 5623, connection terminal 5624, connection terminal 5625, semiconductor device 5626, semiconductor device 5627, semiconductor device 5628, and connection terminal 5629.
  • FIG. 31E illustrates semiconductor devices other than semiconductor device 5626, semiconductor device 5627, and semiconductor device 5628, but for those semiconductor devices, please refer to the explanation of semiconductor device 5626, semiconductor device 5627, and semiconductor device 5628 described below.
  • connection terminal 5629 has a shape that allows it to be inserted into the slot 5631 of the motherboard 5630, and the connection terminal 5629 functions as an interface for connecting the PC card 5621 and the motherboard 5630.
  • An example of the standard for the connection terminal 5629 is PCIe.
  • Connection terminals 5623, 5624, and 5625 can be interfaces for supplying power to PC card 5621, inputting signals, and the like. They can also be interfaces for outputting signals calculated by PC card 5621, and the like. Examples of standards for connection terminals 5623, 5624, and 5625 include USB (Universal Serial Bus), SATA (Serial ATA), and SCSI (Small Computer System Interface). In addition, when a video signal is output from connection terminals 5623, 5624, and 5625, examples of standards for each include HDMI (registered trademark).
  • the semiconductor device 5626 has a terminal (not shown) for inputting and outputting signals, and the semiconductor device 5626 and the board 5622 can be electrically connected by inserting the terminal into a socket (not shown) provided on the board 5622.
  • the semiconductor device 5627 has a plurality of terminals, and the semiconductor device 5627 and the board 5622 can be electrically connected by, for example, soldering the terminals to wiring provided on the board 5622 using a reflow method.
  • Examples of the semiconductor device 5627 include an FPGA, a GPU, and a CPU.
  • the electronic component 730 can be used as the semiconductor device 5627.
  • the semiconductor device 5628 has a plurality of terminals, and the semiconductor device 5628 and the board 5622 can be electrically connected by, for example, soldering the terminals to wiring provided on the board 5622 using a reflow method.
  • An example of the semiconductor device 5628 is a memory device.
  • the electronic component 700 can be used as the semiconductor device 5628.
  • the mainframe computer 5600 can also function as a parallel computer. By using the mainframe computer 5600 as a parallel computer, it is possible to perform large-scale calculations required for artificial intelligence learning and inference, for example.
  • the semiconductor device of one embodiment of the present invention can be suitably used in space equipment, such as equipment for processing and storing data.
  • the semiconductor device of one embodiment of the present invention can include an OS transistor.
  • the OS transistor has small changes in electrical characteristics due to radiation exposure.
  • the OS transistor has high resistance to radiation and can be preferably used in an environment where radiation may be incident.
  • the OS transistor can be preferably used in outer space.
  • an artificial satellite 6800 is shown as an example of space equipment.
  • the artificial satellite 6800 has a body 6801, a solar panel 6802, an antenna 6803, a secondary battery 6805, and a control device 6807.
  • a planet 6804 is shown as an example of outer space.
  • outer space refers to an altitude of 100 km or more, for example, but the outer space described in this specification may also include the thermosphere, mesosphere, and stratosphere.
  • the secondary battery 6805 may be provided with a battery management system (also called BMS) or a battery control circuit.
  • BMS battery management system
  • the use of OS transistors in the above-mentioned battery management system or battery control circuit is preferable because it has low power consumption and high reliability even in outer space.
  • outer space is an environment with radiation levels 100 times higher than on Earth.
  • radiation include electromagnetic waves (electromagnetic radiation) such as X-rays and gamma rays, as well as particle radiation such as alpha rays, beta rays, neutron rays, proton rays, heavy ion rays, and meson rays.
  • the power required for the operation of the satellite 6800 is generated.
  • the amount of power generated is small. Therefore, there is a possibility that the power required for the operation of the satellite 6800 will not be generated.
  • the solar panel may be called a solar cell module.
  • Satellite 6800 can generate a signal.
  • the signal is transmitted via antenna 6803, and can be received, for example, by a receiver installed on the ground or by another satellite.
  • the position of the receiver that received the signal can be measured.
  • satellite 6800 can constitute a satellite positioning system.
  • the control device 6807 has a function of controlling the artificial satellite 6800.
  • the control device 6807 is configured using, for example, one or more of a CPU, a GPU, and a storage device.
  • a semiconductor device according to one embodiment of the present invention is preferably used for the control device 6807.
  • an OS transistor Compared to a Si transistor, an OS transistor has smaller fluctuations in electrical characteristics due to radiation exposure. In other words, an OS transistor has high reliability even in an environment where radiation may be incident, and can be preferably used.
  • the artificial satellite 6800 can also be configured to have a sensor. For example, by configuring it to have a visible light sensor, the artificial satellite 6800 can have the function of detecting sunlight reflected off an object on the ground. Or, by configuring it to have a thermal infrared sensor, the artificial satellite 6800 can have the function of detecting thermal infrared rays emitted from the earth's surface. From the above, the artificial satellite 6800 can have the function of, for example, an earth observation satellite.
  • an artificial satellite is given as an example of space equipment, but the present invention is not limited to this.
  • a semiconductor device according to one embodiment of the present invention can be suitably used in space equipment such as a spaceship, a space capsule, or a space probe.
  • OS transistors As explained above, compared to Si transistors, OS transistors have the advantages of being able to achieve a wider memory bandwidth and having higher radiation resistance.
  • the semiconductor device can be suitably used in a storage system applied to a data center or the like.
  • the data center is required to perform long-term management of data, such as by ensuring the immutability of the data.
  • it is necessary to increase the size of the building for example, by installing storage and servers for storing a huge amount of data, by securing a stable power source for holding the data, or by securing cooling equipment required for holding the data.
  • a semiconductor device By using a semiconductor device according to one embodiment of the present invention in a storage system applied to a data center, it is possible to reduce the power required to store data and to miniaturize the semiconductor device that stores the data. This makes it possible to miniaturize the storage system, miniaturize the power source for storing data, and reduce the scale of cooling equipment. This makes it possible to save space in the data center.
  • the semiconductor device of one embodiment of the present invention consumes less power, and therefore heat generation from the circuit can be reduced. This reduces adverse effects of heat generation on the circuit itself, peripheral circuits, and modules. Furthermore, by using the semiconductor device of one embodiment of the present invention, a data center that operates stably even in a high-temperature environment can be realized. This improves the reliability of the data center.
  • Figure 33 shows a storage system applicable to a data center.
  • the storage system 7000 shown in Figure 33 has multiple servers 7001sb as hosts 7001 (illustrated as Host Computer). It also has multiple storage devices 7003md as storage 7003 (illustrated as Storage).
  • the host 7001 and storage 7003 are shown connected via a storage area network 7004 (illustrated as SAN: Storage Area Network) and a storage control circuit 7002 (illustrated as Storage Controller).
  • SAN Storage Area Network
  • the host 7001 corresponds to a computer that accesses data stored in the storage 7003.
  • the hosts 7001 may be connected to each other via a network.
  • Storage 7003 uses flash memory to reduce data access speed, that is, the time required to store and output data, but this time is significantly longer than the time required by DRAM that can be used as cache memory in storage 7003.
  • cache memory is usually provided in storage 7003 to reduce the time required to store and output data.
  • the above-mentioned cache memory is used in the storage control circuit 7002 and the storage 7003. Data exchanged between the host 7001 and the storage 7003 is stored in the cache memory in the storage control circuit 7002 and the storage 7003, and then output to the host 7001 or the storage 7003.
  • OS transistors as transistors for storing data in the above-mentioned cache memory and configuring them to hold a potential according to the data, it is possible to reduce the frequency of refreshes and lower power consumption.
  • memory cell arrays in a stacked structure, it is possible to miniaturize the storage.
  • the application of the semiconductor device of one embodiment of the present invention to any one or more selected from electronic components, electronic devices, mainframe computers, space equipment, and data centers is expected to have an effect of reducing power consumption. Therefore, while energy demand is expected to increase with the improvement in performance or high integration of semiconductor devices, the use of the semiconductor device of one embodiment of the present invention can also reduce emissions of greenhouse gases such as carbon dioxide (CO 2 ). In addition, the semiconductor device of one embodiment of the present invention is effective as a measure against global warming because of its low power consumption.
  • CO 2 greenhouse gases
  • ADDR signal, BL[1]: wiring, BL[j]: wiring, BL[n]: wiring, BL_A: wiring, BL_B: wiring, BL: wiring, BW: signal, CE: signal, CLK: signal, EN_data: signal, GBL_A: wiring, GBL_B: wiring, GBL: wiring, GW: signal, MUX: selection signal, PL[1]: wiring, PL[i]: wiring, PL[m]: wiring, PL: wiring, RDA : signal, RE: control signal, VHH: wiring, VLL: wiring, VPC: intermediate potential, WAKE: signal, WDA: signal, WE: control signal, WL[1]: wiring, WL[i]: wiring, WL[m]: wiring, WL: wiring, 10[1,1]: memory cell, 10[i,j]: memory cell, 10[m,n]: memory cell, 10_A: memory cell, 10_B: memory cell, 10: memory cell, 1 1: transistor, 12: capac

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

La présente invention concerne un dispositif à semi-conducteur qui permet une miniaturisation ou une haute intégration. Ce dispositif à semi-conducteur comprend un premier isolant sur un substrat, un oxyde semi-conducteur qui recouvre le premier isolant, un premier conducteur et un deuxième conducteur sur l'oxyde semi-conducteur, un deuxième isolant disposé sur le premier conducteur et le deuxième conducteur et ayant une ouverture chevauchant une région entre le premier conducteur et le deuxième conducteur, un troisième isolant disposé à l'intérieur de l'ouverture et disposé sur l'oxyde semi-conducteur, et un troisième conducteur disposé à l'intérieur de l'ouverture et disposé sur le troisième isolant. La hauteur du premier isolant est supérieure à la largeur du premier isolant.
PCT/IB2023/060031 2022-10-14 2023-10-06 Dispositif à semi-conducteur et dispositif de stockage WO2024079586A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022165150 2022-10-14
JP2022-165150 2022-10-14

Publications (1)

Publication Number Publication Date
WO2024079586A1 true WO2024079586A1 (fr) 2024-04-18

Family

ID=90668902

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2023/060031 WO2024079586A1 (fr) 2022-10-14 2023-10-06 Dispositif à semi-conducteur et dispositif de stockage

Country Status (1)

Country Link
WO (1) WO2024079586A1 (fr)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013165260A (ja) * 2012-01-10 2013-08-22 Semiconductor Energy Lab Co Ltd 半導体装置および半導体装置の作製方法
JP2016157943A (ja) * 2015-02-25 2016-09-01 株式会社半導体エネルギー研究所 半導体装置
WO2020115595A1 (fr) * 2018-12-07 2020-06-11 株式会社半導体エネルギー研究所 Dispositif à semi-conducteur et procédé de fabrication d'un dispositif à semi-conducteur

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013165260A (ja) * 2012-01-10 2013-08-22 Semiconductor Energy Lab Co Ltd 半導体装置および半導体装置の作製方法
JP2016157943A (ja) * 2015-02-25 2016-09-01 株式会社半導体エネルギー研究所 半導体装置
WO2020115595A1 (fr) * 2018-12-07 2020-06-11 株式会社半導体エネルギー研究所 Dispositif à semi-conducteur et procédé de fabrication d'un dispositif à semi-conducteur

Similar Documents

Publication Publication Date Title
WO2024079586A1 (fr) Dispositif à semi-conducteur et dispositif de stockage
WO2024095108A1 (fr) Dispositif à semi-conducteur et dispositif de stockage
WO2024069339A1 (fr) Dispositif de stockage
WO2023209486A1 (fr) Dispositif à semi-conducteur et dispositif accumulateur
WO2024047486A1 (fr) Dispositif de stockage
WO2024028681A1 (fr) Dispositif à semi-conducteur et dispositif de stockage
WO2023237961A1 (fr) Dispositif à semi-conducteurs, dispositif de stockage et procédé de fabrication de dispositif à semi-conducteurs
JP2021015976A (ja) 記憶装置
WO2024100467A1 (fr) Dispositif à semi-conducteur
US20230411500A1 (en) Manufacturing method of semiconductor device
TW202431429A (zh) 半導體裝置及記憶體裝置
WO2024057166A1 (fr) Dispositif à semi-conducteur
WO2024052774A1 (fr) Procédé de production de dispositif à semi-conducteur
WO2024180432A1 (fr) Dispositif à semi-conducteur et procédé de production de dispositif à semi-conducteur
WO2024057165A1 (fr) Dispositif de stockage
TW202425745A (zh) 記憶體裝置
WO2024052787A1 (fr) Dispositif à semi-conducteur
WO2023209484A1 (fr) Dispositif à semi-conducteur
WO2024084366A1 (fr) Dispositif à semi-conducteur et dispositif de stockage
WO2024116037A1 (fr) Dispositif à semi-conducteurs
WO2023152588A1 (fr) Dispositif à semi-conducteur
WO2024047454A1 (fr) Dispositif à semi-conducteur et procédé de commande de dispositif à semi-conducteur
WO2023156877A1 (fr) Dispositif à semi-conducteurs
JP2019075484A (ja) 半導体装置、および半導体装置の作製方法
WO2023242664A1 (fr) Dispositif à semi-conducteur et dispositif de stockage

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23876871

Country of ref document: EP

Kind code of ref document: A1