WO2016204737A1 - A transistor with a subfin layer - Google Patents

A transistor with a subfin layer Download PDF

Info

Publication number
WO2016204737A1
WO2016204737A1 PCT/US2015/036087 US2015036087W WO2016204737A1 WO 2016204737 A1 WO2016204737 A1 WO 2016204737A1 US 2015036087 W US2015036087 W US 2015036087W WO 2016204737 A1 WO2016204737 A1 WO 2016204737A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
fin
semiconductor material
subfin
electronic device
Prior art date
Application number
PCT/US2015/036087
Other languages
French (fr)
Inventor
Willy Rachmady
Matthew V. METZ (Matt)
Van H. Le
Ravi Pillarisetty
Gilbert Dewey
Jack T. Kavalieros
Ashish Agrawal
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to KR1020177032834A priority Critical patent/KR102475832B1/en
Priority to US15/570,742 priority patent/US10347767B2/en
Priority to EP15895790.2A priority patent/EP3311415A4/en
Priority to CN201580079932.2A priority patent/CN107636834B/en
Priority to PCT/US2015/036087 priority patent/WO2016204737A1/en
Priority to TW105114292A priority patent/TW201701479A/en
Publication of WO2016204737A1 publication Critical patent/WO2016204737A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • a non-planar transistor architecture e.g., FinFET, Trigate, Gate- All- Around (GAA) refers to an architecture where the transistor channel is surrounded by one or more gates on multiple surfaces.
  • a non-planar transistor has a fin grown on a silicon substrate.
  • Many techniques have been developed to manufacture devices with non-Si channel materials, e.g., Ge, SiGe, and III-V materials. Significant process improvements, however, are still needed to integrate these materials onto Si wafers.
  • One method to suppress the leakage current in a subfin layer of the non-planar device is to implant a dopant into the subfin layer to form a junction isolation.
  • This method is not scalable and becomes harder to implement for current and future logic transistor technologies as the size of the devices decreases and the density of the devices increases.
  • the subfin layer is doped with a n-type dopant species (e.g., arsenic (As) and phosphorous (P)) to form a junction isolation.
  • a n-type dopant species e.g., arsenic (As) and phosphorous (P)
  • SiGe silicon germanium
  • Figure 1 A shows a perspective view of a portion of an electronic device according to one embodiment.
  • Figure IB is a view similar to Figure 1A after an insulating layer is deposited on the substrate to expose a top portion of the fin according to one embodiment.
  • Figure 1C is a view similar to Figure IB after the fin is etched to form a narrow trench according to one embodiment.
  • Figure ID is a view similar to Figure 1C after a subfin layer is deposited within the trench in the insulating layer on the substrate according to one embodiment.
  • Figure IE is a view similar to Figure ID after the subfin layer is recessed according to one embodiment.
  • Figure IF is a view similar to Figure IE after a fin layer is deposited within a shallow trench on the recessed portion of the subfin layer according to one embodiment.
  • Figure 1G is a view similar to Figure IF after the insulating layer is recessed according to one embodiment.
  • Figure 1H is a view similar to Figure 1G after a hard mask layer is deposited on a polysilicon layer on a dummy oxide layer on the fin according to one embodiment.
  • Figure II is a view similar to Figure 1H after a dummy gate is formed according to one embodiment.
  • Figure 1J is a view similar to Figure II after an insulating layer is deposited on the gate, the spacers, the insulating layer and fin according to one embodiment.
  • Figure IK is a view similar to Figure 1J after the insulating layer is recessed to expose a top surface of the hard mask layer according to one embodiment.
  • Figure 1L is a view similar to Figure IK after the dummy gate and dummy oxide layer are removed to expose a portion of the fin according to one embodiment.
  • Figure 1M is a view similar to Figure 1L after a gate dielectric layer is deposited on the insulating layer according to one embodiment.
  • Figure IN is a view similar to Figure 1M after a conductive layer is deposited on the gate dielectric layer according to one embodiment.
  • Figure 10 is a view similar to Figure IN after portions of the conductive layer and gate dielectric layer are removed to form a gate according to one embodiment.
  • Figure IP is a view similar to Figure IN after a capping oxide layer is deposited on the conductive layer, gate dielectric layer and insulating layer according to one embodiment.
  • Figure 2A shows a cross-sectional view of the portion of the electronic device shown in Figure 1A along an axis A- A' according to one embodiment.
  • Figure 2B shows a cross-sectional view of the portion of the electronic device shown in Figure IB along an axis A-A' according to one embodiment.
  • Figure 2C shows a cross-sectional view of the portion of the electronic device shown in Figure 1C along an axis A-A' according to one embodiment.
  • Figure 2D shows a cross-sectional view of the portion of the electronic device shown in Figure ID along an axis A-A' according to one embodiment.
  • Figure 2E shows a cross-sectional view of the portion of the electronic device shown in Figure IE along an axis A-A' according to one embodiment.
  • Figure 2F shows a cross-sectional view of the portion of the electronic device shown in Figure IF along an axis A-A' according to one embodiment.
  • Figure 2G shows a cross-sectional view of the portion of the electronic device shown in Figure 1G along an axis A-A' according to one embodiment.
  • Figure 2H shows a cross-sectional view of the portion of the electronic device shown in Figure 1H along an axis A-A' according to one embodiment.
  • Figure 21 shows a cross-sectional view of the portion of the electronic device shown in Figure IP along an axis B-B' according to one embodiment.
  • Figure 2J is a view similar to Figure 21 after contacts are formed according to one embodiment.
  • Figure 3 A is a view similar to Figure 2B after a fin is etched to form a narrow trench according to another embodiment.
  • Figure 3B is a view similar to Figure 3A after a subfin layer is deposited within the trench in the insulating layer on the substrate according to another embodiment.
  • Figure 3C is a view similar to Figure 3B after the subfin layer is recessed according to another embodiment.
  • Figure 3D is a view similar to Figure 3C after a fin layer is deposited within a shallow trench on the recessed portion of the subfin layer according to another embodiment.
  • Figure 3E is a view similar to Figure 3D after the insulating layer is recessed according to another embodiment.
  • Figure 4 is a perspective view of a fin structure according to one embodiment of the invention.
  • Figure 5 illustrates a computing device in accordance with one embodiment. DETAILED DESCRIPTION
  • Embodiments of a transistor comprising a first semiconductor material as a channel and a III-V semiconductor material as a subfin material in an aspect ratio trapping (ART) trench structure are described.
  • the transistor is a non-planar transistor, e.g., a frigate transistor, a FinFET transistor, or any other non-planar transistor.
  • a subfin layer is deposited in a trench in an insulating layer on the substrate.
  • a fin is deposited on the subfin layer.
  • the fin has a top portion and opposing sidewalls.
  • the fin comprises the first semiconductor material.
  • the subfin layer comprises the III-V
  • the first semiconductor material and the III-V semiconductor have similar lattice constants. In one embodiment, the lattice mismatch between the first semiconductor material and the III-V semiconductor material is not greater than 0.1 %. In one embodiment, the III-V semiconductor material has a wide band gap (Eg) of at least 1.4 electron volts (eV) at 300 K. In one embodiment, the difference between a band gap of the III-V semiconductor material and a band gap of the first semiconductor material (e.g., valence band offset) is at least 0.25 eV. In one embodiment, the valence band offset (VBO) between the first semiconductor material and the III-V semiconductor material is greater than 0.25 eV. In one embodiment, the III-V material of the subfin layer provides a barrier to confine carriers in the first semiconductor material channel to cut-off any parasitic current leakage through the subfin material and turn off the transistor only by the gate.
  • Eg wide band gap
  • eV electron volts
  • the III-V semiconductor material acts as a barrier layer for holes conduction and thus can advantageously eliminate the parallel conduction between a source region and a drain through the un-gated subfin region.
  • the thickness of the first semiconductor material channel grown on the III-V semiconductor is advantageously not limited to 20 nm.
  • III-V semiconductor material advantageously does not require doping to provide a subfin barrier for the first semiconductor material.
  • the frigate transistor comprises a Ge layer as a channel on a GaAs layer as a subfin material grown in an ART trench on a Si substrate. Because of the large VBO between Ge and GaAs (e.g., greater than 0.4eV), a natural barrier for parallel conduction between a source region and a drain region in the subfin layer is formed. The presence of the barrier provides an advantage as this barrier enables the channel length to be scaled down to less than 20 nm. Furthermore, the Ge-on-GaAs fin structure provides an advantage over existing techniques by enabling scaling of the gate length in the p-type Ge
  • FIG. 1 A shows a perspective view of a portion of an electronic device 100 according to one embodiment.
  • Figure 2A shows a cross-sectional view 200 of the portion of the electronic device 100 along an axis A- A' according to one embodiment.
  • Electronic device 100 comprises a fin 102 on a substrate 101.
  • the substrate 101 comprises a semiconductor material, e.g., silicon.
  • substrate 101 is a monocrystalline silicon (“Si") substrate.
  • substrate is a
  • substrate 101 is an amorphous silicon substrate.
  • substrate 101 includes silicon, germanium (“Ge”), silicon germanium (“SiGe”), a III-V materials based material e.g., gallium arsenide (“GaAs”), or any combination thereof.
  • the substrate 101 includes metallization interconnect layers for integrated circuits.
  • the substrate 101 includes electronic devices, e.g., transistors, memories, capacitors, resistors, optoelectronic devices, switches, and any other active and passive electronic devices that are separated by an electrically insulating layer, for example, an interlayer dielectric, a trench insulation layer, or any other insulating layer known to one of ordinary skill in the art of the electronic device manufacturing.
  • the substrate 101 includes interconnects, for example, vias, configured to connect the metallization layers.
  • substrate 101 is a semiconductor-on-isolator (SOI) substrate including a bulk lower substrate, a middle insulation layer, and a top monocrystalline layer substantially aligned along a predetermined crystal orientation, for example, (100) crystal plane.
  • the top monocrystalline layer may comprise any material listed above, e.g., silicon.
  • substrate 101 is a silicon substrate substantially aligned along a (100) crystal plane ("Si (100)") with zero degree miscut.
  • substrate 101 a Si (100) substrate with a miscut of a predetermined degree (e.g., 2-10°) towards the [110] crystallographical direction to facilitate III-N material nucleation.
  • Fin 102 comprises a top portion 103 and opposing sidewalls 104 and 105.
  • fin 102 is a part of the substrate 101.
  • the fin 102 is a silicon fin.
  • fin 102 comprises the same material as of the substrate 101.
  • a width 107 is not greater than 100 nm.
  • the width 107 of the fin is from about 1 nanometers (nm) to about 200 nm. In more specific embodiment, the width 107 of the fin is from about 5 nm to about 20 nm. In one
  • the height 106 of the fin is at least twice greater than the width 107.
  • the fin 102 is formed by patterning and etching substrate 101 using one or more of the patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure IB is a view 110 similar to Figure 1A after an insulating layer 108 is deposited on the substrate to expose the top portion 103 of the fin 102 according to one embodiment.
  • Figure 2B shows a cross-sectional view 210 of the portion of the electronic device shown in Figure IB along an axis A- A' according to one embodiment.
  • insulating layer 108 is a shallow trench insulating (STI) layer.
  • STI shallow trench insulating
  • insulating layer 108 is an oxide layer, e.g., a silicon oxide layer. In another embodiment, insulating layer 108 is a nitride layer, e.g., silicon nitride layer. In alternative embodiments, insulating layer 108 is an aluminum oxide ("A1203"), silicon oxide nitride ("SiON"), other oxide/nitride layer, any combination thereof, or other electrically insulating layer determined by an electronic device design. As shown in Figures IB and 2B, the insulating layer is deposited on substrate 101 and on sidewalls 104 and 105 of the fin. The thickness of the insulating layer is substantially equal to the height 106 of the fin 102.
  • insulating layer 108 is blanket deposited on substrate 101 and top portion 103 and sidewalls 104 and 105 of the fin 102 using one of blanket deposition techniques, such as but not limited to a chemical vapour deposition ("CVD"), e.g., a plasma
  • Enhanced chemical vapour deposition PECVD
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • a portion of the insulating layer 108 is then removed to expose top portion 103 of the fin.
  • the portion of the insulating layer 108 is removed using one of the chemical-mechanical polishing (CMP) techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CMP chemical-mechanical polishing
  • the portion of the insulating layer 108 is removed using one of the etching techniques known to one of ordinary skill in the art of electronic device
  • Figure 1C is a view 120 similar to Figure IB after the fin 102 is etched to form a narrow trench according to one embodiment.
  • Figure 2C shows a cross-sectional view 220 of the portion of the electronic device shown in Figure 1C along an axis A-A' according to one embodiment.
  • a trench 112 is formed in insulating layer 108.
  • Trench 112 has sidewalls 109 and 111 and bottom portions 113 and 115.
  • Bottom portion 115 extends from the substrate 101 to sidewall 109 at an angle 231.
  • Bottom portion 113 extends from substrate 101 to sidewall 111 at an angle 232 forming a V-shape.
  • each of the angle 231 and 232 is about 55 degrees.
  • the bottom portion of the trench has a bottom portion that is substantially parallel to the substrate, as shown in Figures 3A-3E described in further detail below.
  • the trench is formed by removing the fin 102 using a dry etching, a wet etching, or both dry and etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the silicon fin 102 is removed by an anisotropic wet etch using an etching solution e.g., ammonium hydroxide
  • bottom portions 115 and 113 are formed along [111] facets of the Si fin 102 that act as surfaces for growing the III-V semiconductor material subfin later in a process.
  • trench 112 is narrow enough to form the V-shape comprising bottom portions 115 and 113.
  • a width 221 of the trench 112 is not greater than lOOnm.
  • the width 221 is from about 1 nm to about 200 nm. In more specific embodiment, the width 221 is from about 5 nm to about 20 nm.
  • a depth 222 of the trench 112 is at least twice greater than the width 221 to provide the ART.
  • Figure ID is a view 130 similar to Figure 1C after a subfin layer 114 is deposited within the trench 112 in the insulating layer 108 on the substrate 101 according to one embodiment.
  • Figure 2D shows a cross-sectional view 230 of the portion of the electronic device shown in Figure ID along an axis A- A' according to one embodiment.
  • Subfin layer 114 is deposited on bottom portions 113 and 115 of the fin 102 on substrate 101, sidewalls
  • the subfin layer 114 comprises a III-V semiconductor layer.
  • subfin layer 114 is a GaAs layer.
  • subfin layer 114 is a GaAs layer, a GalnP layer, a AlAs layer, or any combination thereof.
  • Subfin layer 114 can be deposited using one of deposition techniques, such as but not limited to a chemical vapour deposition ("CVD”), e.g., a plasma Enhanced chemical vapour deposition (“PECVD”), a physical vapour deposition (“PVD”), molecular beam epitaxy (“MBE”), metalorganic chemical vapor deposition (“MOCVD”), atomic layer deposition (“ALD”), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CVD chemical vapour deposition
  • PECVD plasma Enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • a GaAs epitaxial subfin layer is grown by a CVD or a MOCVD process to fill the trench 112.
  • the GaAs epitaxial subfin layer overgrows above the STI insulating layer 108 to ensure a
  • the III-V compound semiconductor subfin layer 114 is grown in trench 112 in insulating layer 108 of silicon oxide on the substrate 101 of silicon using a CVD or MOCVD process. As the subfin layer is grown in the trench 112, the misfit dislocations formed at an interface between the substrate 101 and subfin layer 114 are trapped by side walls 109 and 111 and do not propagate to a top portion 135 of the subfin layer 114. In one embodiment, the subfin layer 114 is directly grown on the bottom portions
  • Figure IE is a view 140 similar to Figure ID after the subfin layer 114 is recessed according to one embodiment.
  • Figure 2E shows a cross-sectional view 240 of the portion of the electronic device shown in Figure IE along an axis A- A' according to one embodiment.
  • the subfin layer 114 is recessed within the trench 112 to a depth 116 to form a shallow trench 142.
  • a depth 116 of the trench 142 is determined by the thickness of a channel body formed later in a process.
  • depth 116 is from about 2 nm to about 100 nm. In more specific embodiment, depth 116 is about 40 nm.
  • the subfin layer is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing so that the subfin layer is flushed with the insulating layer 108.
  • the subfin layer is then recessed within the trench 112 to form a recessed portion 145 by using a wet etching, dry etching, or both etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the subfin layer is recessed by a wet etch using ammonia hydroxide based solution.
  • the subfin layer is recessed by a dry etch using one or more halogen based chemistries (e.g., C12, HBr, or any combination thereof).
  • Figure IF is a view 150 similar to Figure IE after a fin layer 117 is deposited within the shallow trench 142 on the recessed portion 145 of the subfin layer according to one embodiment.
  • Figure 2F shows a cross-sectional view 250 of the portion of the electronic device shown in Figure IF along an axis A-A' according to one embodiment.
  • the fin layer comprises a semiconductor material other than the semiconductor material of the subfin layer 145.
  • the VBO between the fin layer 117 and the recessed portion 145 of the subfin layer 114 is greater than 0.4 eV.
  • the fin layer 117 and the recessed portion 145 of the subfin layer 114 have similar lattice constants.
  • the lattice mismatch between the fin layer 117 and the recessed portion 145 of the subfin layer 114 is less than about 0.1%.
  • the fin layer 117 is a germanium layer.
  • the fin layer 117 is other semiconductor layer, e.g., SiGe, and GeSn.
  • the fin layer 117 is grown on the recessed portion of the subfin layer 114 within the shallow trench 142 using one of the CVD or MOCVD techniques known to one of ordinary skill in the art of electronic device manufacturing. The fin layer 117 is then planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing to flush with the insulating layer 108.
  • Figure 1G is a view 160 similar to Figure IF after the insulating layer 108 is recessed according to one embodiment.
  • Figure 2G shows a cross-sectional view 260 of the portion of the electronic device shown in Figure 1G along an axis A- A' according to one embodiment.
  • the insulating layer 108 is recessed down to the recessed portion of the subfin layer 114.
  • the insulating layer 108 is recessed down to an interface 118 between the fin layer 117 and the recessed portion of the subfin layer 114.
  • a fin 161 comprising a top portion 163 and opposing side walls 162 and 164 is formed on subfin layer 145.
  • the fin 161 acts a channel of the non-planar transistor.
  • a width 119 of the fin 161 is not greater than 100 nm. In one embodiment, the width 119 is from about 1 nm to about 200 nm. In more specific embodiment, the width 119 is from about 5 nm to about 20 nm. In more specific embodiment, the width 119 is about 10 nm. In one embodiment, a height 165 of the fin 161 is from about 2 nm to about 100 nm. In more specific embodiment, the height 165 is about 40 nm. In one embodiment, a total height 121 of the fin 161 and the subfin layer 145 is from about 100 nm to about 1000 nm.
  • the insulating layer 108 is recessed by a timed etch using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the process follows a transistor process flow, or other device process flow to complete device fabrication.
  • the process follows a replacement metal gate process flow to complete device fabrication, as described in further detail below.
  • Figure 1H is a view 170 similar to Figure 1G after a hard mask layer 124 is deposited on a polysilicon layer 123 on a dummy oxide layer 122 on the fin 161 according to one embodiment.
  • Figure 2H shows a cross-sectional view 270 of the portion of the electronic device shown in Figure 1H along an axis A- A' according to one embodiment.
  • a dummy oxide layer 122 is deposited on insulating layer 108 and the top portion and the opposing sidewalls of the fin 161.
  • dummy oxide layer 122 is a silicon oxide, an aluminum oxide, a silicon oxide nitride, other oxide layer, or any combination thereof.
  • dummy oxide layer 122 is deposited using one of the deposition techniques, such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • metalorganic chemical vapor deposition metalorganic chemical vapor deposition
  • MOCVD metal-organic chemical vapor deposition
  • ALD atomic layer deposition
  • a polysilicon layer 123 is deposited on dummy oxide layer 122.
  • polysilicon layer 123 is deposited using one of the deposition techniques, such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • a hard mask layer 124 is deposited on polysilicon layer 123.
  • hard mask layer 124 is a nitride layer, e.g., a silicon nitride, a silicon oxide nitride, or any other hard mask layer known to one of ordinary skill in the art of electronic device manufacturing.
  • Hard mask layer 124 can be deposited using one of the hard mask layer deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure II is a view 180 similar to Figure 1H after a dummy gate 185 is formed according to one embodiment.
  • Dummy gate 185 is formed by patterning and etching the hard mask layer 124 on polysilicon layer 123 using one or more patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Spacers are formed on the opposite sidewalls 181 and 182 of the dummy gate 185 by using one of the spacer deposition and etching techniques known to one of ordinary skill of electronic device manufacturing.
  • a source region 183 and a drain region 184 are formed on fin 161 at opposing sides of the gate 185.
  • Source region 183 and drain region 184 are formed using one of the source and drain region forming techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure 1J is a view 190 similar to Figure II after an insulating layer 125 is deposited on gate 185, the spacers (not shown), the insulating layer 108 and fin 161 according to one embodiment.
  • insulating layer comprises an interlayer dielectric (ILD) layer (e.g., an oxide layer).
  • ILD interlayer dielectric
  • insulating layer comprises an etch stop layer e.g., a nitride etch stop layer (NESL).
  • insulating layer 125 comprises an ILD layer deposited on a NESL layer.
  • insulating layer 125 is an oxide layer, e.g., a silicon oxide, an aluminum oxide, a silicon oxide nitride, a nitride layer, e.g., a silicon nitride, a silicon oxide nitride, other insulating layer, or any combination thereof.
  • oxide layer e.g., a silicon oxide, an aluminum oxide, a silicon oxide nitride, a nitride layer, e.g., a silicon nitride, a silicon oxide nitride, other insulating layer, or any combination thereof.
  • Insulating layer 125 can be deposited using one of the deposition techniques such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • Figure IK is a view 191 similar to Figure 1J after insulating layer 125 is recessed to expose a top surface of the hard mask layer 124 according to one embodiment.
  • the insulating layer 125 is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the insulating layer 125 is etched using one of the etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure 1L is a view 193 similar to Figure IK after dummy gate 185 and dummy oxide layer 122 are removed to expose a portion of the fin 161 according to one
  • Dummy gate 185 and dummy oxide layer 122 are removed using one or more patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the hard mask portion of the dummy gate is removed using one of dry etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the polysilicon portion of the dummy gate is removed using one of etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the dummy oxide layer is removed by etching with a hydrofluoric acid (HF), or other etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • HF hydrofluoric acid
  • Figure 1M is a view 194 similar to Figure 1L after a gate dielectric layer 126 is deposited on insulating layer 125 according to one embodiment.
  • the gate dielectric layer 126 is a high-k dielectric layer.
  • gate dielectric layer 126 is an oxide layer, e.g., a zirconium oxide(" ZrCV), a hafnium oxide(" HFO 2 ") , lanthanum oxide (“I ⁇ C ”) , or other oxide layer known to one of ordinary skill in the art of electronic device manufacturing.
  • Gate dielectric layer 126 can be deposited using one of the deposition techniques such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • Figure IN is a view 195 similar to Figure 1M after a conductive layer 128 is deposited on gate dielectric layer 126 according to one embodiment.
  • conductive layer 128 is a metal layer.
  • conductive layer 128 comprises one or more metalls, e.g., tungsten, tantalum, titanium, hafnium, zirconium, aluminum, silver, tin, lead, copper, metal alloys, metal carbides, e.g., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, aluminum carbide, other conductive materials, or any combination thereof.
  • the conductive layer 128 can be deposited using one of conductive layer deposition techniques, e.g., electroless plating, electroplating, sputtering, chemical vapor deposition (CVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or any other conductive layer deposition technique known to one of ordinary skill in the art of electronic device manufacturing.
  • conductive layer deposition techniques e.g., electroless plating, electroplating, sputtering, chemical vapor deposition (CVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or any other conductive layer deposition technique known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure 10 is a view 196 similar to Figure IN after portions of the conductive layer 128 and gate dielectric layer 126 are removed to form a gate according to one embodiment.
  • the conductive layer 128 and gate dielectric layer 126 are flushed with the insulating layer 125.
  • the portions of the conductive layer 128 and gate dielectric layer 126 are removed from top portions of the insulating layer 125 using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the portions of the conductive layer 128 are removed using one of conductive layer etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • portions of the gate dielectric layer 126 are removed using one of gate dielectric layer etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure IP is a view 197 similar to Figure IN after a capping oxide layer 129 is deposited on the conductive layer 128, gate dielectric layer 126 and insulating layer 125 according to one embodiment.
  • Figure 21 shows a cross-sectional view 280 of the portion of the electronic device shown in Figure IP along an axis B-B' according to one embodiment.
  • capping oxide layer 129 comprises a silicon oxide, an aluminum oxide, a silicon oxide nitride, other oxide layer, or any combination thereof.
  • source region 183 and drain region are formed on fin 161 at opposing sides of a gate portion 127.
  • Gate portion 127 comprises the conductive layer 128.
  • the conductive layer 128 is deposited on gate dielectric layer 126 on fin 161 on subfin layer 115 on substrate 101.
  • Figure 2J is a view 290 similar to Figure 21 after contacts 134 and 135 are formed according to one embodiment.
  • a contact 134 is formed on an interconnect 132 to electrically connect to source region 183 and a contact 135 is formed on an interconnect 131 to connect to drain region 184.
  • the contacts and interconnects are formed using one or more contact patterning and deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • Figure 3A is a view 300 similar to Figure 2B after a fin is etched to form a narrow trench according to another embodiment.
  • a trench 312 is formed in insulating layer 108 on substrate 101.
  • Trench 312 has sidewalls 304 and 305 and a bottom portion 302 substantially parallel to the substrate 101.
  • the trench 312 is formed by removing the fin 102 using a dry etching, a wet etching, or both dry and etching techniques, as described above with respect to Figure 2C.
  • the width of the trench 312 is from about 1 nm to about 200 nm. In one embodiment, the width of the trench 312 is not greater than 100 nm. In more specific embodiment, the width 221 is from about 5 nm to about 20 nm. In one embodiment, the depth of the trench 312 is at least twice greater than the width to provide the ART.
  • Figure 3B is a view 310 similar to Figure 3 A after a subfin layer 314 is deposited within the trench 312 in the insulating layer 108 on the substrate 101 according to another embodiment.
  • Subfin layer 314 is deposited on bottom portion 302 on the substrate 101, sidewalls 305 and 304, and top portions of the insulating layer 108 to ensure a complete fill of the trench 312.
  • the subfin layer 314 represents sufin layer 114 described above.
  • Subfin layer 314 can be deposited using one of deposition techniques, such as but not limited to a chemical vapour deposition (“CVD”), e.g., a plasma Enhanced chemical vapour deposition (“PECVD”), a physical vapour deposition (“PVD”), molecular beam epitaxy (“MBE”), metalorganic chemical vapor deposition (“MOCVD”), atomic layer deposition (“ALD”), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • CVD chemical vapour deposition
  • PECVD plasma Enhanced chemical vapour deposition
  • PVD physical vapour deposition
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • Figure 3C is a view 320 similar to Figure 3B after the subfin layer 314 is recessed according to another embodiment.
  • the subfin layer 314 is recessed within the trench 312 to a depth 316 to form a shallow trench 303.
  • a depth 316 of the trench 303 is determined by the thickness of a channel body formed later in a process.
  • depth 316 is from about 2 nm to about 100 nm. In more specific embodiment, depth 316 is about 40 nm.
  • the subfin layer 314 is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing so that the subfin layer is flushed with the insulating layer 108.
  • the subfin layer is then recessed within the trench 312 to form a recessed portion 315 by using a wet etching, dry etching, or both etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
  • the subfin layer is recessed by a wet etch using ammonia hydroxide based solution.
  • the subfin layer is recessed by a dry etch using one or more halogen based chemistries (e.g., C12, HBr, or any combination thereof).
  • Figure 3D is a view 330 similar to Figure 3C after a fin layer 316 is deposited within the shallow trench 303 on the recessed portion 315 of the subfin layer according to another embodiment.
  • the fin layer 316 represents the fin layer 117 described above.
  • the fin layer 316 is grown on the recessed portion 315 within the shallow trench 303 using one of the CVD or MOCVD techniques known to one of ordinary skill in the art of electronic device manufacturing. The fin layer 316 is then planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing to flush with the insulating layer 108.
  • Figure 3E is a view 340 similar to Figure 3D after the insulating layer 108 is recessed according to another embodiment.
  • the insulating layer 108 is recessed down to the recessed portion 315 of the subfin layer.
  • the insulating layer 108 is recessed down to an interface between the fin layer 316 and the recessed portion of the subfin layer 315.
  • a fin 341 comprising a top portion 342 and opposing sidewalls 343 and 344 is formed on the recessed portion of subfin layer 315.
  • the fin 341 acts a channel of the non-planar transistor.
  • the width of the fin 341 is not greater than 100 nm.
  • the width of the fin 341 is from about 1 nm to about 200 nm.
  • the width of the fin 341 is from about 5 nm to about 20 nm.
  • the insulating layer 108 is recessed by a timed etch using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, after forming the fin 307, the process follows a transistor process flow, or other device process flow to complete device fabrication.
  • FIG. 4 is a perspective view of a fin structure 400 according to one embodiment of the invention.
  • a fin 402 is formed on a subfin layer (not shown) on substrate 101, as described above.
  • the subfin layer is formed in a trench in insulating layer 108 on substrate 101, as described above.
  • the subfin layer is represented by one of the subfin layers described above.
  • the fin 402 is represented by one of the fins 161 and 307 described above.
  • fin structure 400 is a part of a tri-gate transistor. As shown in Figure 4, the fin 402 protrudes from a top surface of the substrate 101.
  • a gate dielectric layer (not shown) is deposited on the opposing sidewalls and on the top surface of the fin 402. As shown in Figure 4, a gate electrode 403 is deposited on the gate dielectric layer on the fin 402, as described above. Gate electrode 403 is formed on and around the gate dielectric layer on the fin 402 as shown in Figure 4. A drain region 405 and a source region 404 are formed at opposite sides of the gate electrode 403 in fin 402, as shown in Figure 4.
  • FIG. 5 illustrates a computing device 500 in accordance with one embodiment.
  • the computing device 500 houses a board 502.
  • the board 502 may include a number of components, including but not limited to a processor 504 and at least one communication chip 506.
  • the processor 504 is physically and electrically coupled to the board 502.
  • the at least one communication chip 506 is also physically and electrically coupled to the board 502.
  • the communication chip 506 is part of the processor 504.
  • computing device 500 may include other components that may or may not be physically and electrically coupled to the board 502. These other components include, but are not limited to, volatile memory (e.g., a DRAM 509, a DRAM 510), non-volatile memory (e.g., a ROM 512), flash memory, a graphics processor (e.g., a Graphics CPU 507), a digital signal processor, a crypto processor, a chipset 505, an antenna 503, a display, a touchscreen display 516, a touchscreen controller 515, a battery 518, an audio codec, a video codec, a power amplifier 511, a global positioning system (GPS) device 513, a compass 514, an accelerometer, a gyroscope, a speaker 517, a camera 501, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., a DRAM 509, a
  • the communication chip 506 enables wireless communications for the transfer of data to and from the computing device 500.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 506 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 500 may include a plurality of communication chips 506.
  • a first communication chip 506 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 506 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 504 of the computing device 500 includes an integrated circuit die packaged within the processor 504.
  • the integrated circuit die of the processor includes one or more devices, such as transistors comprising a subfin layer built in accordance with implementations of the invention.
  • the term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 506 also includes an integrated circuit die packaged within the communication chip 506.
  • the integrated circuit die of the communication chip includes one or more devices, such as transistors comprising a subfin layer built in accordance with
  • another component housed within the computing device 200 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • the computing device 500 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • PDA personal digital assistant
  • 500 may be any other electronic device that processes data.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • a valence band offset between the first semiconductor material and the III-V semiconductor material is greater than 0.25 eV.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • the first semiconductor material and the III-V semiconductor material have similar lattice constants.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • the first semiconductor material comprises germanium.
  • the III-V semiconductor material comprises gallium arsenide.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • the subfin layer is deposited within a trench in an insulating layer on the substrate.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • the width of the fin is less than 20 nm.
  • an electronic device comprises a subfin layer on a substrate.
  • a fin is on the subfin layer.
  • the fin comprises a top portion and opposing sidewalls.
  • the fin comprises a first semiconductor material, and the subfin layer comprises a III-V
  • a gate dielectric layer is on the top portion and the opposing sidewalls of the fin.
  • a gate electrode is deposited on the gate dielectric layer.
  • a source region and a drain region are formed on the fin at opposing sides of the gate electrode.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • the semiconductor material of the fin comprises germanium and the semiconductor material of the subfin layear comprises a III-V semiconductor material.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • the semiconductor material of the fin and the semiconductor material of the subfin layer have similar lattice constants.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • the height of the trench is at least twice greater than the width of the trench.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • the trench comprises a V-shape.
  • a transistor comprises a trench in an insulating layer on a substrate.
  • a subfin layer is deposited in the trench.
  • a fin comprising a top portion and opposing sidewalls is deposited on the subfin layer.
  • the fin comprises a semiconductor material.
  • the subfin layer comprises a semiconductor material.
  • a valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
  • a gate dielectric layer on the top portion and opposing sidewalls of the fin.
  • a gate electrode on the gate dielectric layer.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls.
  • a method to manufacture an electronic device comprises forming a dummy fin on the substrate, the dummy fin comprising a top portion and opposing sidewalls, depositing an insulating layer on the substrate adjacent to the opposing sidewals of the dummy fin, etching the dummy fin to form a trench, depositing a subfin layer comprising a III-V semiconductor material into the trench, and forming a device fin comprising a first semiconductor material on the subfin layer, the device fin comprising a top portion and opposing sidewalls.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls.
  • a valence band offset between the semiconductor material of the fin and the III-V semiconductor material is greater than 0.5 eV.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls.
  • semiconductor material of the fin and the III-V semiconductor material have similar lattice constants.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls.
  • semiconductor material of the fin comprises germanium; and the III-V semiconductor material comprises gallium arsenide.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls, and recessing the insulating layer.
  • a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls, depositing a gate dielectric layer on the top portion and opposing sidewalls of the fin, and forming a source region and a drain region at opposing sides of a gate electrode on the fin.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A subfin layer is deposited in a trench in an insulating layer on the substrate. A fin is deposited on the subfin layer. The fin has a top portion and opposing sidewalls. The fin comprises a first semiconductor material. The subfin layer comprises a III-V semiconductor material.

Description

A TRANSISTOR WITH A SUBFIN LAYER
FIELD
Embodiments as described herein relate to a field of electronic device
manufacturing, and in particular, to transistor manufacturing.
BACKGROUND
Scaling of features in integrated circuits enables increased densities of electronic devices on the limited real estate of the integrated circuit chip. Generally, the performance of electronic devices on the integrated circuit chip (e.g., transistors, resistors, capacitors, etc) is a major factor considered during scaling of those devices. Generally, a non-planar transistor architecture (e.g., FinFET, Trigate, Gate- All- Around (GAA)) refers to an architecture where the transistor channel is surrounded by one or more gates on multiple surfaces. Typically, a non-planar transistor has a fin grown on a silicon substrate. Many techniques have been developed to manufacture devices with non-Si channel materials, e.g., Ge, SiGe, and III-V materials. Significant process improvements, however, are still needed to integrate these materials onto Si wafers.
As the transistors scale down, the transistors increasingly suffer from the undesirable subfin leakage current that negatively impacts the device performance. One method to suppress the leakage current in a subfin layer of the non-planar device is to implant a dopant into the subfin layer to form a junction isolation. This method is not scalable and becomes harder to implement for current and future logic transistor technologies as the size of the devices decreases and the density of the devices increases. For example, in a germanium (Ge) p-type Metal Oxide Semiconductor (PMOS) device, the subfin layer is doped with a n-type dopant species (e.g., arsenic (As) and phosphorous (P)) to form a junction isolation. As the diffusion speed of the n-type dopant species in Ge is very fast it is very difficult to achieve an abrupt junction and it is impossible to contain the diffusion of the n-type species into the channel region.
Another solution to suppress the leakage current in the subfin layer of the Ge non- planar transistor is to use a silicon germanium (SiGe) as the subfin layer. Because of the lattice constant mismatch between Ge and SiGe, the Ge channel is strained and the channel thickness is limited to the critical thickness to which Ge can be grown on SiGe. This limits the fin thickness. For example, there can only be 20 nm or less of Ge on S130 Ge7o before the Ge film quality degrades due to formation of misfit dislocations. Additionally, a parallel conduction in SiGe prohibits scaling the channel length to the dimensions relevant to the current and future technology requirements. BRIEF DESCRIPTION OF THE DRAWINGS
Embodiments of the invention may best be understood by referring to the following description and accompanying drawings that are used to illustrate embodiments of the invention. In the drawings:
Figure 1 A shows a perspective view of a portion of an electronic device according to one embodiment.
Figure IB is a view similar to Figure 1A after an insulating layer is deposited on the substrate to expose a top portion of the fin according to one embodiment.
Figure 1C is a view similar to Figure IB after the fin is etched to form a narrow trench according to one embodiment.
Figure ID is a view similar to Figure 1C after a subfin layer is deposited within the trench in the insulating layer on the substrate according to one embodiment.
Figure IE is a view similar to Figure ID after the subfin layer is recessed according to one embodiment.
Figure IF is a view similar to Figure IE after a fin layer is deposited within a shallow trench on the recessed portion of the subfin layer according to one embodiment.
Figure 1G is a view similar to Figure IF after the insulating layer is recessed according to one embodiment.
Figure 1H is a view similar to Figure 1G after a hard mask layer is deposited on a polysilicon layer on a dummy oxide layer on the fin according to one embodiment.
Figure II is a view similar to Figure 1H after a dummy gate is formed according to one embodiment.
Figure 1J is a view similar to Figure II after an insulating layer is deposited on the gate, the spacers, the insulating layer and fin according to one embodiment.
Figure IK is a view similar to Figure 1J after the insulating layer is recessed to expose a top surface of the hard mask layer according to one embodiment.
Figure 1L is a view similar to Figure IK after the dummy gate and dummy oxide layer are removed to expose a portion of the fin according to one embodiment.
Figure 1M is a view similar to Figure 1L after a gate dielectric layer is deposited on the insulating layer according to one embodiment.
Figure IN is a view similar to Figure 1M after a conductive layer is deposited on the gate dielectric layer according to one embodiment.
Figure 10 is a view similar to Figure IN after portions of the conductive layer and gate dielectric layer are removed to form a gate according to one embodiment. Figure IP is a view similar to Figure IN after a capping oxide layer is deposited on the conductive layer, gate dielectric layer and insulating layer according to one embodiment.
Figure 2A shows a cross-sectional view of the portion of the electronic device shown in Figure 1A along an axis A- A' according to one embodiment.
Figure 2B shows a cross-sectional view of the portion of the electronic device shown in Figure IB along an axis A-A' according to one embodiment.
Figure 2C shows a cross-sectional view of the portion of the electronic device shown in Figure 1C along an axis A-A' according to one embodiment.
Figure 2D shows a cross-sectional view of the portion of the electronic device shown in Figure ID along an axis A-A' according to one embodiment.
Figure 2E shows a cross-sectional view of the portion of the electronic device shown in Figure IE along an axis A-A' according to one embodiment.
Figure 2F shows a cross-sectional view of the portion of the electronic device shown in Figure IF along an axis A-A' according to one embodiment.
Figure 2G shows a cross-sectional view of the portion of the electronic device shown in Figure 1G along an axis A-A' according to one embodiment.
Figure 2H shows a cross-sectional view of the portion of the electronic device shown in Figure 1H along an axis A-A' according to one embodiment.
Figure 21 shows a cross-sectional view of the portion of the electronic device shown in Figure IP along an axis B-B' according to one embodiment.
Figure 2J is a view similar to Figure 21 after contacts are formed according to one embodiment.
Figure 3 A is a view similar to Figure 2B after a fin is etched to form a narrow trench according to another embodiment.
Figure 3B is a view similar to Figure 3A after a subfin layer is deposited within the trench in the insulating layer on the substrate according to another embodiment.
Figure 3C is a view similar to Figure 3B after the subfin layer is recessed according to another embodiment.
Figure 3D is a view similar to Figure 3C after a fin layer is deposited within a shallow trench on the recessed portion of the subfin layer according to another embodiment.
Figure 3E is a view similar to Figure 3D after the insulating layer is recessed according to another embodiment.
Figure 4 is a perspective view of a fin structure according to one embodiment of the invention. Figure 5 illustrates a computing device in accordance with one embodiment. DETAILED DESCRIPTION
Methods and apparatuses to manufacture a transistor with a subfin layer are described. In the following description, various aspects of the illustrative implementations will be described using terms commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art. However, it will be apparent to those skilled in the art that the present invention may be practiced with only some of the described aspects. For purposes of explanation, specific numbers, materials and configurations are set forth in order to provide a thorough understanding of the illustrative implementations. However, it will be apparent to one skilled in the art that the present invention may be practiced without the specific details. In other instances, well-known features are omitted or simplified in order not to obscure the illustrative implementations.
Various operations will be described as multiple discrete operations, in turn, in a manner that is most helpful in understanding the present invention, however, the order of description should not be construed to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation.
While certain exemplary embodiments are described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative and not restrictive, and that the embodiments are not restricted to the specific constructions and arrangements shown and described because modifications may occur to those ordinarily skilled in the art.
Reference throughout the specification to "one embodiment", "another embodiment", or "an embodiment" means that a particular feature, structure, or
characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearance of the phrases, such as "one embodiment" and "an embodiment" in various places throughout the specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
Moreover, inventive aspects lie in less than all the features of a single disclosed embodiment. Thus, the claims following the Detailed Description are hereby expressly incorporated into this Detailed Description, with each claim standing on its own as a separate embodiment. While the exemplary embodiments have been described herein, those skilled in the art will recognize that these exemplary embodiments can be practiced with modification and alteration as described herein. The description is thus to be regarded as illustrative rather than limiting.
Embodiments of a transistor comprising a first semiconductor material as a channel and a III-V semiconductor material as a subfin material in an aspect ratio trapping (ART) trench structure are described. In one embodiment, the transistor is a non-planar transistor, e.g., a frigate transistor, a FinFET transistor, or any other non-planar transistor.
A subfin layer is deposited in a trench in an insulating layer on the substrate. A fin is deposited on the subfin layer. The fin has a top portion and opposing sidewalls. The fin comprises the first semiconductor material. The subfin layer comprises the III-V
semiconductor material. In one embodiment, the first semiconductor material and the III-V semiconductor have similar lattice constants. In one embodiment, the lattice mismatch between the first semiconductor material and the III-V semiconductor material is not greater than 0.1 %. In one embodiment, the III-V semiconductor material has a wide band gap (Eg) of at least 1.4 electron volts (eV) at 300 K. In one embodiment, the difference between a band gap of the III-V semiconductor material and a band gap of the first semiconductor material (e.g., valence band offset) is at least 0.25 eV. In one embodiment, the valence band offset (VBO) between the first semiconductor material and the III-V semiconductor material is greater than 0.25 eV. In one embodiment, the III-V material of the subfin layer provides a barrier to confine carriers in the first semiconductor material channel to cut-off any parasitic current leakage through the subfin material and turn off the transistor only by the gate.
In one embodiment, the III-V semiconductor material acts as a barrier layer for holes conduction and thus can advantageously eliminate the parallel conduction between a source region and a drain through the un-gated subfin region.
Unlike with conventional techniques, the thickness of the first semiconductor material channel grown on the III-V semiconductor is advantageously not limited to 20 nm.
Furthermore, the III-V semiconductor material advantageously does not require doping to provide a subfin barrier for the first semiconductor material.
In one embodiment, the frigate transistor comprises a Ge layer as a channel on a GaAs layer as a subfin material grown in an ART trench on a Si substrate. Because of the large VBO between Ge and GaAs (e.g., greater than 0.4eV), a natural barrier for parallel conduction between a source region and a drain region in the subfin layer is formed. The presence of the barrier provides an advantage as this barrier enables the channel length to be scaled down to less than 20 nm. Furthermore, the Ge-on-GaAs fin structure provides an advantage over existing techniques by enabling scaling of the gate length in the p-type Ge
MOSFET transistor while maintaining a short channel control. Figure 1 A shows a perspective view of a portion of an electronic device 100 according to one embodiment. Figure 2A shows a cross-sectional view 200 of the portion of the electronic device 100 along an axis A- A' according to one embodiment. Electronic device 100 comprises a fin 102 on a substrate 101. In an embodiment, the substrate 101 comprises a semiconductor material, e.g., silicon. In one embodiment, substrate 101 is a monocrystalline silicon ("Si") substrate. In another embodiment, substrate is a
polycrystalline silicon substrate. In yet another embodiment, substrate 101 is an amorphous silicon substrate. In alternative embodiments, substrate 101 includes silicon, germanium ("Ge"), silicon germanium ("SiGe"), a III-V materials based material e.g., gallium arsenide ("GaAs"), or any combination thereof. In one embodiment, the substrate 101 includes metallization interconnect layers for integrated circuits. In at least some embodiments, the substrate 101 includes electronic devices, e.g., transistors, memories, capacitors, resistors, optoelectronic devices, switches, and any other active and passive electronic devices that are separated by an electrically insulating layer, for example, an interlayer dielectric, a trench insulation layer, or any other insulating layer known to one of ordinary skill in the art of the electronic device manufacturing. In at least some embodiments, the substrate 101 includes interconnects, for example, vias, configured to connect the metallization layers.
In an embodiment, substrate 101 is a semiconductor-on-isolator (SOI) substrate including a bulk lower substrate, a middle insulation layer, and a top monocrystalline layer substantially aligned along a predetermined crystal orientation, for example, (100) crystal plane. The top monocrystalline layer may comprise any material listed above, e.g., silicon. In an embodiment, substrate 101 is a silicon substrate substantially aligned along a (100) crystal plane ("Si (100)") with zero degree miscut. In an embodiment, substrate 101 a Si (100) substrate with a miscut of a predetermined degree (e.g., 2-10°) towards the [110] crystallographical direction to facilitate III-N material nucleation.
Fin 102 comprises a top portion 103 and opposing sidewalls 104 and 105. In one embodiment, fin 102 is a part of the substrate 101. In one embodiment, the fin 102 is a silicon fin. In one embodiment, fin 102 comprises the same material as of the substrate 101. In one embodiment, a width 107 is not greater than 100 nm. In one embodiment, the width 107 of the fin is from about 1 nanometers (nm) to about 200 nm. In more specific embodiment, the width 107 of the fin is from about 5 nm to about 20 nm. In one
embodiment, the height 106 of the fin is at least twice greater than the width 107. In one embodiment, the fin 102 is formed by patterning and etching substrate 101 using one or more of the patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing. Figure IB is a view 110 similar to Figure 1A after an insulating layer 108 is deposited on the substrate to expose the top portion 103 of the fin 102 according to one embodiment. Figure 2B shows a cross-sectional view 210 of the portion of the electronic device shown in Figure IB along an axis A- A' according to one embodiment. In an embodiment, insulating layer 108 is a shallow trench insulating (STI) layer. In one embodiment, insulating layer 108 is an oxide layer, e.g., a silicon oxide layer. In another embodiment, insulating layer 108 is a nitride layer, e.g., silicon nitride layer. In alternative embodiments, insulating layer 108 is an aluminum oxide ("A1203"), silicon oxide nitride ("SiON"), other oxide/nitride layer, any combination thereof, or other electrically insulating layer determined by an electronic device design. As shown in Figures IB and 2B, the insulating layer is deposited on substrate 101 and on sidewalls 104 and 105 of the fin. The thickness of the insulating layer is substantially equal to the height 106 of the fin 102.
In an embodiment, insulating layer 108 is blanket deposited on substrate 101 and top portion 103 and sidewalls 104 and 105 of the fin 102 using one of blanket deposition techniques, such as but not limited to a chemical vapour deposition ("CVD"), e.g., a plasma
Enhanced chemical vapour deposition ("PECVD"), a physical vapour deposition ("PVD"), molecular beam epitaxy ("MBE"), metalorganic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing. A portion of the insulating layer 108 is then removed to expose top portion 103 of the fin. In one embodiment, the portion of the insulating layer 108 is removed using one of the chemical-mechanical polishing (CMP) techniques known to one of ordinary skill in the art of electronic device manufacturing. In another embodiment, the portion of the insulating layer 108 is removed using one of the etching techniques known to one of ordinary skill in the art of electronic device
manufacturing.
Figure 1C is a view 120 similar to Figure IB after the fin 102 is etched to form a narrow trench according to one embodiment. Figure 2C shows a cross-sectional view 220 of the portion of the electronic device shown in Figure 1C along an axis A-A' according to one embodiment. As shown in Figures 1C and 2C, a trench 112 is formed in insulating layer 108. Trench 112 has sidewalls 109 and 111 and bottom portions 113 and 115. Bottom portion 115 extends from the substrate 101 to sidewall 109 at an angle 231. Bottom portion 113 extends from substrate 101 to sidewall 111 at an angle 232 forming a V-shape. In one embodiment, each of the angle 231 and 232 is about 55 degrees.
In another embodiment, the bottom portion of the trench has a bottom portion that is substantially parallel to the substrate, as shown in Figures 3A-3E described in further detail below. In one embodiment, the trench is formed by removing the fin 102 using a dry etching, a wet etching, or both dry and etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the silicon fin 102 is removed by an anisotropic wet etch using an etching solution e.g., ammonium hydroxide
(NH4OH), tetramethylammonium hydroxide (TMAH), or both to form the V groove comprising bottom portions 115 and 113. In one embodiment, bottom portions 115 and 113 are formed along [111] facets of the Si fin 102 that act as surfaces for growing the III-V semiconductor material subfin later in a process.
In one embodiment, trench 112 is narrow enough to form the V-shape comprising bottom portions 115 and 113. In one embodiment, a width 221 of the trench 112 is not greater than lOOnm. In one embodiment, the width 221 is from about 1 nm to about 200 nm. In more specific embodiment, the width 221 is from about 5 nm to about 20 nm. In one embodiment, a depth 222 of the trench 112 is at least twice greater than the width 221 to provide the ART.
Figure ID is a view 130 similar to Figure 1C after a subfin layer 114 is deposited within the trench 112 in the insulating layer 108 on the substrate 101 according to one embodiment. Figure 2D shows a cross-sectional view 230 of the portion of the electronic device shown in Figure ID along an axis A- A' according to one embodiment. Subfin layer 114 is deposited on bottom portions 113 and 115 of the fin 102 on substrate 101, sidewalls
109 and 111 of the trench 112, and top portions of the insulating layer 108 to ensure a complete fill of the trench 112. In one embodiment, the subfin layer 114 comprises a III-V semiconductor layer. In more specific embodiment, subfin layer 114 is a GaAs layer. In alternative embodiments, subfin layer 114 is a GaAs layer, a GalnP layer, a AlAs layer, or any combination thereof.
Subfin layer 114 can be deposited using one of deposition techniques, such as but not limited to a chemical vapour deposition ("CVD"), e.g., a plasma Enhanced chemical vapour deposition ("PECVD"), a physical vapour deposition ("PVD"), molecular beam epitaxy ("MBE"), metalorganic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing. In more specific embodiment, a GaAs epitaxial subfin layer is grown by a CVD or a MOCVD process to fill the trench 112. In one embodiment, the GaAs epitaxial subfin layer overgrows above the STI insulating layer 108 to ensure a complete fill of the trench 112, as shown in Figures ID and 2D.
In one embodiment, the III-V compound semiconductor subfin layer 114 is grown in trench 112 in insulating layer 108 of silicon oxide on the substrate 101 of silicon using a CVD or MOCVD process. As the subfin layer is grown in the trench 112, the misfit dislocations formed at an interface between the substrate 101 and subfin layer 114 are trapped by side walls 109 and 111 and do not propagate to a top portion 135 of the subfin layer 114. In one embodiment, the subfin layer 114 is directly grown on the bottom portions
113 and 115 extending at about 55 degrees angle to the substrate 101 to reduce anti-phase boundary (APB) defects and promote better nucleation of the subfin layer on the substrate.
Figure IE is a view 140 similar to Figure ID after the subfin layer 114 is recessed according to one embodiment. Figure 2E shows a cross-sectional view 240 of the portion of the electronic device shown in Figure IE along an axis A- A' according to one embodiment.
The subfin layer 114 is recessed within the trench 112 to a depth 116 to form a shallow trench 142. In one embodiment, a depth 116 of the trench 142 is determined by the thickness of a channel body formed later in a process. In one embodiment, depth 116 is from about 2 nm to about 100 nm. In more specific embodiment, depth 116 is about 40 nm. In one embodiment, the subfin layer is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing so that the subfin layer is flushed with the insulating layer 108. The subfin layer is then recessed within the trench 112 to form a recessed portion 145 by using a wet etching, dry etching, or both etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the subfin layer is recessed by a wet etch using ammonia hydroxide based solution. In another embodiment, the subfin layer is recessed by a dry etch using one or more halogen based chemistries (e.g., C12, HBr, or any combination thereof).
Figure IF is a view 150 similar to Figure IE after a fin layer 117 is deposited within the shallow trench 142 on the recessed portion 145 of the subfin layer according to one embodiment. Figure 2F shows a cross-sectional view 250 of the portion of the electronic device shown in Figure IF along an axis A-A' according to one embodiment. In one embodiment, the fin layer comprises a semiconductor material other than the semiconductor material of the subfin layer 145. In one embodiment, the VBO between the fin layer 117 and the recessed portion 145 of the subfin layer 114 is greater than 0.4 eV. In one embodiment, the fin layer 117 and the recessed portion 145 of the subfin layer 114 have similar lattice constants. In one embodiment, the lattice mismatch between the fin layer 117 and the recessed portion 145 of the subfin layer 114 is less than about 0.1%. In one embodiment, the fin layer 117 is a germanium layer. In alternative embodiments, the fin layer 117 is other semiconductor layer, e.g., SiGe, and GeSn. In one embodiment, the fin layer 117 is grown on the recessed portion of the subfin layer 114 within the shallow trench 142 using one of the CVD or MOCVD techniques known to one of ordinary skill in the art of electronic device manufacturing. The fin layer 117 is then planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing to flush with the insulating layer 108.
Figure 1G is a view 160 similar to Figure IF after the insulating layer 108 is recessed according to one embodiment. Figure 2G shows a cross-sectional view 260 of the portion of the electronic device shown in Figure 1G along an axis A- A' according to one embodiment. In one embodiment, the insulating layer 108 is recessed down to the recessed portion of the subfin layer 114. In one embodiment, the insulating layer 108 is recessed down to an interface 118 between the fin layer 117 and the recessed portion of the subfin layer 114. A fin 161 comprising a top portion 163 and opposing side walls 162 and 164 is formed on subfin layer 145. In one embodiment, the fin 161 acts a channel of the non-planar transistor. In one embodiment, a width 119 of the fin 161 is not greater than 100 nm. In one embodiment, the width 119 is from about 1 nm to about 200 nm. In more specific embodiment, the width 119 is from about 5 nm to about 20 nm. In more specific embodiment, the width 119 is about 10 nm. In one embodiment, a height 165 of the fin 161 is from about 2 nm to about 100 nm. In more specific embodiment, the height 165 is about 40 nm. In one embodiment, a total height 121 of the fin 161 and the subfin layer 145 is from about 100 nm to about 1000 nm.
In one embodiment, the insulating layer 108 is recessed by a timed etch using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, after forming the fin 161, the process follows a transistor process flow, or other device process flow to complete device fabrication. In more specific embodiment, after forming the fin 161, the process follows a replacement metal gate process flow to complete device fabrication, as described in further detail below.
Figure 1H is a view 170 similar to Figure 1G after a hard mask layer 124 is deposited on a polysilicon layer 123 on a dummy oxide layer 122 on the fin 161 according to one embodiment. Figure 2H shows a cross-sectional view 270 of the portion of the electronic device shown in Figure 1H along an axis A- A' according to one embodiment. A dummy oxide layer 122 is deposited on insulating layer 108 and the top portion and the opposing sidewalls of the fin 161. In one embodiment, dummy oxide layer 122 is a silicon oxide, an aluminum oxide, a silicon oxide nitride, other oxide layer, or any combination thereof. In one embodiment, dummy oxide layer 122 is deposited using one of the deposition techniques, such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition
(MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
A polysilicon layer 123 is deposited on dummy oxide layer 122. In one embodiment, polysilicon layer 123 is deposited using one of the deposition techniques, such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
A hard mask layer 124 is deposited on polysilicon layer 123. In one embodiment, hard mask layer 124 is a nitride layer, e.g., a silicon nitride, a silicon oxide nitride, or any other hard mask layer known to one of ordinary skill in the art of electronic device manufacturing. Hard mask layer 124 can be deposited using one of the hard mask layer deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure II is a view 180 similar to Figure 1H after a dummy gate 185 is formed according to one embodiment. Dummy gate 185 is formed by patterning and etching the hard mask layer 124 on polysilicon layer 123 using one or more patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing. Spacers (not shown) are formed on the opposite sidewalls 181 and 182 of the dummy gate 185 by using one of the spacer deposition and etching techniques known to one of ordinary skill of electronic device manufacturing.
A source region 183 and a drain region 184 are formed on fin 161 at opposing sides of the gate 185. Source region 183 and drain region 184 are formed using one of the source and drain region forming techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure 1J is a view 190 similar to Figure II after an insulating layer 125 is deposited on gate 185, the spacers (not shown), the insulating layer 108 and fin 161 according to one embodiment. In one embodiment, insulating layer comprises an interlayer dielectric (ILD) layer (e.g., an oxide layer). In another embodiment, insulating layer comprises an etch stop layer e.g., a nitride etch stop layer (NESL). In one embodiment, insulating layer 125 comprises an ILD layer deposited on a NESL layer. In alternative embodiments, insulating layer 125 is an oxide layer, e.g., a silicon oxide, an aluminum oxide, a silicon oxide nitride, a nitride layer, e.g., a silicon nitride, a silicon oxide nitride, other insulating layer, or any combination thereof. Insulating layer 125 can be deposited using one of the deposition techniques such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure IK is a view 191 similar to Figure 1J after insulating layer 125 is recessed to expose a top surface of the hard mask layer 124 according to one embodiment. In one embodiment, the insulating layer 125 is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the insulating layer 125 is etched using one of the etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure 1L is a view 193 similar to Figure IK after dummy gate 185 and dummy oxide layer 122 are removed to expose a portion of the fin 161 according to one
embodiment. Dummy gate 185 and dummy oxide layer 122 are removed using one or more patterning and etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the hard mask portion of the dummy gate is removed using one of dry etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the polysilicon portion of the dummy gate is removed using one of etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the dummy oxide layer is removed by etching with a hydrofluoric acid (HF), or other etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure 1M is a view 194 similar to Figure 1L after a gate dielectric layer 126 is deposited on insulating layer 125 according to one embodiment. In one embodiment, the gate dielectric layer 126 is a high-k dielectric layer. In one embodiment, gate dielectric layer 126 is an oxide layer, e.g., a zirconium oxide(" ZrCV), a hafnium oxide(" HFO2"), lanthanum oxide ("I^C "), or other oxide layer known to one of ordinary skill in the art of electronic device manufacturing. Gate dielectric layer 126 can be deposited using one of the deposition techniques such as but not limited to a chemical vapor deposition (CVD), e.g., a plasma enhanced chemical vapour deposition (PECVD), a physical vapour deposition (PVD), molecular beam epitaxy (MBE), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure IN is a view 195 similar to Figure 1M after a conductive layer 128 is deposited on gate dielectric layer 126 according to one embodiment. In one embodiment, conductive layer 128 is a metal layer. In one embodiment, conductive layer 128 comprises one or more metalls, e.g., tungsten, tantalum, titanium, hafnium, zirconium, aluminum, silver, tin, lead, copper, metal alloys, metal carbides, e.g., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, aluminum carbide, other conductive materials, or any combination thereof.
The conductive layer 128 can be deposited using one of conductive layer deposition techniques, e.g., electroless plating, electroplating, sputtering, chemical vapor deposition (CVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), or any other conductive layer deposition technique known to one of ordinary skill in the art of electronic device manufacturing.
Figure 10 is a view 196 similar to Figure IN after portions of the conductive layer 128 and gate dielectric layer 126 are removed to form a gate according to one embodiment. As shown in Figure 20, the conductive layer 128 and gate dielectric layer 126 are flushed with the insulating layer 125. In one embodiment, the portions of the conductive layer 128 and gate dielectric layer 126 are removed from top portions of the insulating layer 125 using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing. In another embodiment, the portions of the conductive layer 128 are removed using one of conductive layer etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In another embodiment, portions of the gate dielectric layer 126 are removed using one of gate dielectric layer etching techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure IP is a view 197 similar to Figure IN after a capping oxide layer 129 is deposited on the conductive layer 128, gate dielectric layer 126 and insulating layer 125 according to one embodiment. Figure 21 shows a cross-sectional view 280 of the portion of the electronic device shown in Figure IP along an axis B-B' according to one embodiment. In one embodiment, capping oxide layer 129 comprises a silicon oxide, an aluminum oxide, a silicon oxide nitride, other oxide layer, or any combination thereof.
As shown in Figure 21, source region 183 and drain region are formed on fin 161 at opposing sides of a gate portion 127. Gate portion 127 comprises the conductive layer 128. The conductive layer 128 is deposited on gate dielectric layer 126 on fin 161 on subfin layer 115 on substrate 101. Figure 2J is a view 290 similar to Figure 21 after contacts 134 and 135 are formed according to one embodiment. As shown in Figure 2J, a contact 134 is formed on an interconnect 132 to electrically connect to source region 183 and a contact 135 is formed on an interconnect 131 to connect to drain region 184. The contacts and interconnects are formed using one or more contact patterning and deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure 3A is a view 300 similar to Figure 2B after a fin is etched to form a narrow trench according to another embodiment. As shown in Figure 3 A, a trench 312 is formed in insulating layer 108 on substrate 101. Trench 312 has sidewalls 304 and 305 and a bottom portion 302 substantially parallel to the substrate 101. The trench 312 is formed by removing the fin 102 using a dry etching, a wet etching, or both dry and etching techniques, as described above with respect to Figure 2C.
In one embodiment, the width of the trench 312 is from about 1 nm to about 200 nm. In one embodiment, the width of the trench 312 is not greater than 100 nm. In more specific embodiment, the width 221 is from about 5 nm to about 20 nm. In one embodiment, the depth of the trench 312 is at least twice greater than the width to provide the ART.
Figure 3B is a view 310 similar to Figure 3 A after a subfin layer 314 is deposited within the trench 312 in the insulating layer 108 on the substrate 101 according to another embodiment. Subfin layer 314 is deposited on bottom portion 302 on the substrate 101, sidewalls 305 and 304, and top portions of the insulating layer 108 to ensure a complete fill of the trench 312. In one embodiment, the subfin layer 314 represents sufin layer 114 described above.
Subfin layer 314 can be deposited using one of deposition techniques, such as but not limited to a chemical vapour deposition ("CVD"), e.g., a plasma Enhanced chemical vapour deposition ("PECVD"), a physical vapour deposition ("PVD"), molecular beam epitaxy ("MBE"), metalorganic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or other deposition techniques known to one of ordinary skill in the art of electronic device manufacturing.
Figure 3C is a view 320 similar to Figure 3B after the subfin layer 314 is recessed according to another embodiment. The subfin layer 314 is recessed within the trench 312 to a depth 316 to form a shallow trench 303. In one embodiment, a depth 316 of the trench 303 is determined by the thickness of a channel body formed later in a process. In one embodiment, depth 316 is from about 2 nm to about 100 nm. In more specific embodiment, depth 316 is about 40 nm. In one embodiment, the subfin layer 314 is planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing so that the subfin layer is flushed with the insulating layer 108. The subfin layer is then recessed within the trench 312 to form a recessed portion 315 by using a wet etching, dry etching, or both etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, the subfin layer is recessed by a wet etch using ammonia hydroxide based solution. In another embodiment, the subfin layer is recessed by a dry etch using one or more halogen based chemistries (e.g., C12, HBr, or any combination thereof).
Figure 3D is a view 330 similar to Figure 3C after a fin layer 316 is deposited within the shallow trench 303 on the recessed portion 315 of the subfin layer according to another embodiment. In one embodiment, the fin layer 316 represents the fin layer 117 described above. In one embodiment, the fin layer 316 is grown on the recessed portion 315 within the shallow trench 303 using one of the CVD or MOCVD techniques known to one of ordinary skill in the art of electronic device manufacturing. The fin layer 316 is then planarized using one of the CMP techniques known to one of ordinary skill in the art of electronic device manufacturing to flush with the insulating layer 108.
Figure 3E is a view 340 similar to Figure 3D after the insulating layer 108 is recessed according to another embodiment. In one embodiment, the insulating layer 108 is recessed down to the recessed portion 315 of the subfin layer. In one embodiment, the insulating layer 108 is recessed down to an interface between the fin layer 316 and the recessed portion of the subfin layer 315. A fin 341 comprising a top portion 342 and opposing sidewalls 343 and 344 is formed on the recessed portion of subfin layer 315. In one embodiment, the fin 341 acts a channel of the non-planar transistor. In one embodiment, the width of the fin 341 is not greater than 100 nm. In one embodiment, the width of the fin 341 is from about 1 nm to about 200 nm. In more specific embodiment, the width of the fin 341 is from about 5 nm to about 20 nm. In more specific embodiment, the width of the fin
341 is about 10 nm. In one embodiment, the height of the fin 341 is from about 2 nm to about 100 nm. In more specific embodiment, the height of the fin 314 is about 40 nm. In one embodiment, the total height of the fin 314 and the subfin layer 315 is from about 100 nm to about 1000 nm. In one embodiment, the insulating layer 108 is recessed by a timed etch using one or more of the dry and wet etching techniques known to one of ordinary skill in the art of electronic device manufacturing. In one embodiment, after forming the fin 307, the process follows a transistor process flow, or other device process flow to complete device fabrication. In more specific embodiment, after forming the fin 307, the process follows a replacement metal gate process flow to complete device fabrication, as described above. Figure 4 is a perspective view of a fin structure 400 according to one embodiment of the invention. A fin 402 is formed on a subfin layer (not shown) on substrate 101, as described above. In one embodiment, the subfin layer is formed in a trench in insulating layer 108 on substrate 101, as described above. The subfin layer is represented by one of the subfin layers described above. The fin 402 is represented by one of the fins 161 and 307 described above. In an embodiment, fin structure 400 is a part of a tri-gate transistor. As shown in Figure 4, the fin 402 protrudes from a top surface of the substrate 101. A gate dielectric layer (not shown) is deposited on the opposing sidewalls and on the top surface of the fin 402. As shown in Figure 4, a gate electrode 403 is deposited on the gate dielectric layer on the fin 402, as described above. Gate electrode 403 is formed on and around the gate dielectric layer on the fin 402 as shown in Figure 4. A drain region 405 and a source region 404 are formed at opposite sides of the gate electrode 403 in fin 402, as shown in Figure 4.
Figure 5 illustrates a computing device 500 in accordance with one embodiment. The computing device 500 houses a board 502. The board 502 may include a number of components, including but not limited to a processor 504 and at least one communication chip 506. The processor 504 is physically and electrically coupled to the board 502. In some implementations the at least one communication chip 506 is also physically and electrically coupled to the board 502. In further implementations, the communication chip 506 is part of the processor 504.
Depending on its applications, computing device 500 may include other components that may or may not be physically and electrically coupled to the board 502. These other components include, but are not limited to, volatile memory (e.g., a DRAM 509, a DRAM 510), non-volatile memory (e.g., a ROM 512), flash memory, a graphics processor (e.g., a Graphics CPU 507), a digital signal processor, a crypto processor, a chipset 505, an antenna 503, a display, a touchscreen display 516, a touchscreen controller 515, a battery 518, an audio codec, a video codec, a power amplifier 511, a global positioning system (GPS) device 513, a compass 514, an accelerometer, a gyroscope, a speaker 517, a camera 501, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
The communication chip 506 enables wireless communications for the transfer of data to and from the computing device 500. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 506 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 500 may include a plurality of communication chips 506. For instance, a first communication chip 506 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 506 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
The processor 504 of the computing device 500 includes an integrated circuit die packaged within the processor 504. In some implementations of the invention, the integrated circuit die of the processor includes one or more devices, such as transistors comprising a subfin layer built in accordance with implementations of the invention. The term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
The communication chip 506 also includes an integrated circuit die packaged within the communication chip 506. In accordance with another implementation of the invention, the integrated circuit die of the communication chip includes one or more devices, such as transistors comprising a subfin layer built in accordance with
implementations of the invention.
In further implementations, another component housed within the computing device 200 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
In various implementations, the computing device 500 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device
500 may be any other electronic device that processes data.
The following examples pertain to further embodiments:
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. A valence band offset between the first semiconductor material and the III-V semiconductor material is greater than 0.25 eV.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. The first semiconductor material and the III-V semiconductor material have similar lattice constants.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. The first semiconductor material comprises germanium. The III-V semiconductor material comprises gallium arsenide.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. The subfin layer is deposited within a trench in an insulating layer on the substrate.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. The width of the fin is less than 20 nm.
In one embodiment, an electronic device comprises a subfin layer on a substrate. A fin is on the subfin layer. The fin comprises a top portion and opposing sidewalls. The fin comprises a first semiconductor material, and the subfin layer comprises a III-V
semiconductor material. A gate dielectric layer is on the top portion and the opposing sidewalls of the fin. A gate electrode is deposited on the gate dielectric layer. A source region and a drain region are formed on the fin at opposing sides of the gate electrode.
In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV.
In one embodiment, In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV. The semiconductor material of the fin comprises germanium and the semiconductor material of the subfin layear comprises a III-V semiconductor material.
In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV. The semiconductor material of the fin and the semiconductor material of the subfin layer have similar lattice constants.
In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV. The height of the trench is at least twice greater than the width of the trench.
In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV. The trench comprises a V-shape.
In one embodiment, a transistor comprises a trench in an insulating layer on a substrate. A subfin layer is deposited in the trench. A fin comprising a top portion and opposing sidewalls is deposited on the subfin layer. The fin comprises a semiconductor material. The subfin layer comprises a semiconductor material. A valence band offset between the semiconductor material of the fin and the semiconductor material of the subfin layer is greater than 0.5 eV. A gate dielectric layer on the top portion and opposing sidewalls of the fin. A gate electrode on the gate dielectric layer. A source region and a drain region at opposing sides of the gate electrode on the fin.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls.
In one embodiment, a method to manufacture an electronic device comprises forming a dummy fin on the substrate, the dummy fin comprising a top portion and opposing sidewalls, depositing an insulating layer on the substrate adjacent to the opposing sidewals of the dummy fin, etching the dummy fin to form a trench, depositing a subfin layer comprising a III-V semiconductor material into the trench, and forming a device fin comprising a first semiconductor material on the subfin layer, the device fin comprising a top portion and opposing sidewalls.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls. A valence band offset between the semiconductor material of the fin and the III-V semiconductor material is greater than 0.5 eV.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls. The
semiconductor material of the fin and the III-V semiconductor material have similar lattice constants.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls. The
semiconductor material of the fin comprises germanium; and the III-V semiconductor material comprises gallium arsenide.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls, and recessing the insulating layer.
In one embodiment, a method to manufacture an electronic device comprises depositing a subfin layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate, and forming a fin comprising a semiconductor material on the subfin layer, the fin comprising a top portion and opposing sidewalls, depositing a gate dielectric layer on the top portion and opposing sidewalls of the fin, and forming a source region and a drain region at opposing sides of a gate electrode on the fin.
In the foregoing specification, methods and apparatuses have been described with reference to specific exemplary embodiments thereof. It will be evident that various modifications may be made thereto without departing from the broader spirit and scope of embodiments as set forth in the following claims. The specification and drawings are, accordingly, to be regarded in an illustrative sense rather than a restrictive sense.

Claims

CLAIMS What is claimed is:
1. An electronic device, comprising:
a subfin layer on a substrate;
a fin on the subfin layer, the fin comprising a top portion and opposing sidewalls, the fin comprising a first semiconductor material, and the subfin layer comprising a III-V semiconductor material.
2. The electronic device of claim 1, wherein a valence band offset between the first
semiconductor material and the III-V semiconductor material is greater than 0.25 eV.
3. The electronic device of claim 1, wherein the first semiconductor material and the III-V semiconductor material have similar lattice constants.
4. The electronic device of claim 1, wherein the first semiconductor material comprises germanium; and the III-V semiconductor material comprises gallium arsenide.
5. The electronic device of claim 1, wherein the subfin layer is deposited within
a trench in an insulating layer on the substrate.
6. The electronic device of claim 1, wherein the width of the fin is less than 20 nm.
7. The electronic device of claim 1, further comprising
a gate dielectric layer on the top portion and the opposing sidewalls; and
a source region and a drain region on the fin.
8. A transistor, comprising:
a trench in an insulating layer on a substrate;
a subfin layer in the trench;
a fin comprising a top portion and opposing sidewalls on the subfin layer, the fin comprising a first semiconductor material, the subfin layer comprising a second semiconductor material, wherein a valence band offset between the first semiconductor material and the second semiconductor material is greater than 0.25 eV.
9. The transistor of claim 8, wherein the first semiconductor material comprises germanium and the second semiconductor material comprises a III-V semiconductor material.
10. The transistor of claim 8, wherein the first semiconductor material and the second
semiconductor material have similar lattice constants.
11. The transistor of claim 8, wherein the height of the trench is at least twice greater than the width of the trench.
12. The transistor of claim 8, wherein the trench comprises a V-shape.
13. The transistor of claim 8, further comprising
a gate dielectric layer on the top portion and opposing sidewalls; and
a source region and a drain region at opposing sides of a gate electrode on the fin.
14. A method to manufacture an electronic device, comprising:
depositing a subfin layer layer comprising a III-V semiconductor material into a trench in an insulating layer on a substrate; and
forming a first fin comprising a first semiconductor material on the subfin layer, the first fin comprising a first top portion and first opposing sidewalls.
15. The method of claim 14, further comprising
forming a second fin on the substrate, the second fin comprising a second top portion and second opposing sidewalls;
depositing an insulating layer on the substrate adjacent to the second opposing sidewals; and etching the second fin.
16. The method of claim 14, wherein a valence band offset between the first semiconductor material and the III-V semiconductor material is greater than 0.24 eV.
17. The method of claim 14, wherein the first semiconductor material and the III-V
semiconductor material have similar lattice constants.
18. The method of claim 14, wherein the first semiconductor material comprises germanium; and the III-V semiconductor material comprises gallium arsenide.
19. The method of claim 14, further comprising
recessing the insulating layer.
20. The method of claim 14, further comprising
depositing a gate dielectric layer on the first top portion and first opposing sidewalls; and forming a source region and a drain region at opposing sides of a gate electrode on the first fin.
PCT/US2015/036087 2015-06-16 2015-06-16 A transistor with a subfin layer WO2016204737A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020177032834A KR102475832B1 (en) 2015-06-16 2015-06-16 Transistor with subfin layer
US15/570,742 US10347767B2 (en) 2015-06-16 2015-06-16 Transistor with a subfin layer
EP15895790.2A EP3311415A4 (en) 2015-06-16 2015-06-16 A transistor with a subfin layer
CN201580079932.2A CN107636834B (en) 2015-06-16 2015-06-16 Transistor with sub-fin layer
PCT/US2015/036087 WO2016204737A1 (en) 2015-06-16 2015-06-16 A transistor with a subfin layer
TW105114292A TW201701479A (en) 2015-06-16 2016-05-09 A transistor with a subfin layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2015/036087 WO2016204737A1 (en) 2015-06-16 2015-06-16 A transistor with a subfin layer

Publications (1)

Publication Number Publication Date
WO2016204737A1 true WO2016204737A1 (en) 2016-12-22

Family

ID=57546209

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/036087 WO2016204737A1 (en) 2015-06-16 2015-06-16 A transistor with a subfin layer

Country Status (6)

Country Link
US (1) US10347767B2 (en)
EP (1) EP3311415A4 (en)
KR (1) KR102475832B1 (en)
CN (1) CN107636834B (en)
TW (1) TW201701479A (en)
WO (1) WO2016204737A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182693A1 (en) * 2017-03-31 2018-10-04 Intel Corporation TEMPLATE GROWTH SURFACE FOR FIN FIELD EFFECT TRANSISTORS (FINFETs)
CN109427907A (en) * 2017-08-30 2019-03-05 三星电子株式会社 Semiconductor devices and its manufacturing method

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106611787A (en) * 2015-10-26 2017-05-03 联华电子股份有限公司 A semiconductor structure and a manufacturing method thereof
US11037792B2 (en) * 2018-10-25 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure etching solution and method for fabricating a semiconductor structure using the same etching solution
US11837651B2 (en) * 2020-04-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having isolation fins
CN114664834A (en) * 2022-03-15 2022-06-24 电子科技大学 Groove type ferroelectric memory unit structure and preparation method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070070932A (en) * 2005-12-29 2007-07-04 주식회사 하이닉스반도체 Method for manufacturing saddle type fin transistor
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US20130043506A1 (en) * 2011-08-17 2013-02-21 Chen-Hua Tsai Fin-FET and Method of Forming the Same
US20130175659A1 (en) * 2012-01-05 2013-07-11 Taiwan Semiconductor Manufacturing Company. Ltd. FinFETs with Vertical Fins and Methods for Forming the Same
US20130234147A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
SG169921A1 (en) * 2009-09-18 2011-04-29 Taiwan Semiconductor Mfg Improved fabrication and structures of crystalline material
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8618556B2 (en) * 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US9728464B2 (en) * 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
JP2014038898A (en) * 2012-08-13 2014-02-27 Toshiba Corp Semiconductor device
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US9147682B2 (en) * 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9159824B2 (en) * 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9312344B2 (en) * 2013-03-13 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor materials in STI trenches
KR102098900B1 (en) * 2013-06-28 2020-04-08 인텔 코포레이션 Making a defect free fin based device in lateral epitaxy overgrowth region
US9240342B2 (en) * 2013-07-17 2016-01-19 Globalfoundries Inc. Methods of forming replacement fins for a FinFET semiconductor device by performing a replacement growth process
US9324717B2 (en) * 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
TWI546371B (en) * 2014-11-10 2016-08-21 盟智科技股份有限公司 Polishing slurry composition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070070932A (en) * 2005-12-29 2007-07-04 주식회사 하이닉스반도체 Method for manufacturing saddle type fin transistor
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US20130043506A1 (en) * 2011-08-17 2013-02-21 Chen-Hua Tsai Fin-FET and Method of Forming the Same
US20130175659A1 (en) * 2012-01-05 2013-07-11 Taiwan Semiconductor Manufacturing Company. Ltd. FinFETs with Vertical Fins and Methods for Forming the Same
US20130234147A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3311415A4 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182693A1 (en) * 2017-03-31 2018-10-04 Intel Corporation TEMPLATE GROWTH SURFACE FOR FIN FIELD EFFECT TRANSISTORS (FINFETs)
CN109427907A (en) * 2017-08-30 2019-03-05 三星电子株式会社 Semiconductor devices and its manufacturing method
CN109427907B (en) * 2017-08-30 2023-11-07 三星电子株式会社 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
TW201701479A (en) 2017-01-01
US20180204947A1 (en) 2018-07-19
US10347767B2 (en) 2019-07-09
EP3311415A1 (en) 2018-04-25
EP3311415A4 (en) 2019-01-16
CN107636834B (en) 2021-11-09
CN107636834A (en) 2018-01-26
KR20180018496A (en) 2018-02-21
KR102475832B1 (en) 2022-12-09

Similar Documents

Publication Publication Date Title
US10573717B2 (en) Selective epitaxially grown III-V materials based devices
US9812574B2 (en) Techniques and configurations for stacking transistors of an integrated circuit device
US10418487B2 (en) Non-planar gate all-around device and method of fabrication thereof
US10840352B2 (en) Nanowire transistors with embedded dielectric spacers
US10580882B2 (en) Low band gap semiconductor devices having reduced gate induced drain leakage (GIDL)
US10770593B2 (en) Beaded fin transistor
US10347767B2 (en) Transistor with a subfin layer
US9748338B2 (en) Preventing isolation leakage in III-V devices
US11367789B2 (en) Source/drain recess etch stop layers and bottom wide-gap cap for III-V MOSFETs
US20140159159A1 (en) Wrap-around trench contact structure and methods of fabrication
TWI706476B (en) Etching fin core to provide fin doubling
US11081570B2 (en) Transistors with lattice matched gate structure
WO2019005106A1 (en) Transistor with wide bandgap channel and narrow bandgap source/drain
US20240006483A1 (en) Integrated circuit structures having raised epitaxy on channel transistor
CN115528086A (en) Nanoribbon fin isolation by removal of backside silicon substrate with epitaxial protection

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15895790

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 15570742

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20177032834

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE