WO2015148294A1 - Mixed abrasive tungsten cmp composition - Google Patents

Mixed abrasive tungsten cmp composition Download PDF

Info

Publication number
WO2015148294A1
WO2015148294A1 PCT/US2015/021671 US2015021671W WO2015148294A1 WO 2015148294 A1 WO2015148294 A1 WO 2015148294A1 US 2015021671 W US2015021671 W US 2015021671W WO 2015148294 A1 WO2015148294 A1 WO 2015148294A1
Authority
WO
WIPO (PCT)
Prior art keywords
silica abrasive
composition
acid
polishing
silica
Prior art date
Application number
PCT/US2015/021671
Other languages
French (fr)
Inventor
Steven Grumbine
Jeffrey Dysard
Original Assignee
Cabot Microelectronics Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corporation filed Critical Cabot Microelectronics Corporation
Priority to CN201580027200.9A priority Critical patent/CN106414650B/en
Priority to EP15769819.2A priority patent/EP3122836B1/en
Priority to JP2016558618A priority patent/JP6557251B2/en
Priority to KR1020167029078A priority patent/KR102408747B1/en
Publication of WO2015148294A1 publication Critical patent/WO2015148294A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/141Amines; Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/18Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using inorganic inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • polishing compositions for polishing metal layers (such as tungsten) on a semiconductor substrate may include abrasive panicles suspended in an aqueous solution and chemical accelerators such as oxidizers, chelating agents, catalysts, and the like,
  • the substrate (wafer) to be polished is mounted on a carrier ⁇ polishing head) which is in turn mounted on a carrier assembly and positioned in. contact with a pohshing pad in a CMP apparatus (polishing tool).
  • the carrier assembly provides a. controllable pressure to the substrate, pressing the substrate against the polish ing pad.
  • the substrate and pad are moved relative to one another by an external driving force. The relative motion of the substrate and pad abrades and removes a portion of the material from the surface of the substrate, thereb pohshing the substrate.
  • the polishing of the substrate by the relative movement of the pad and the substrate may be further aided by tire chemical activity of the polishing composition (e.g., by an oxidizing agent and other chemical components present in the CMP composition) and or the mechanical activity of an abrasive suspended in the polishing composition.
  • tire chemical activity of the polishing composition e.g., by an oxidizing agent and other chemical components present in the CMP composition
  • mechanical activity of an abrasive suspended in the polishing composition may be further aided by tire chemical activity of the polishing composition.
  • tungsten is deposited over a dielectric and within openings formed therein. The excess tungsten, over the dielectric layer is then removed during a CMP operation to form tungsten plugs and interconnects within the dielectric.
  • CMP operations e.g., in tungsten. CMP operations.
  • Army erosion also referred to as oxide erosion
  • plug and line recessing plug and line recessing
  • tungsten etching defects are known to compromise planarity and overall device integrity. For example, excessive array erosion ma lead to difficulties in subsequent lithography steps as well as cause electrical contact problems that can degrade electrical performance.
  • the semiconductor industry is also subject to continuing downward pricing pressure.
  • high throughput is commonly required thereby necessitating a high tungsten removal rate (as well as high removal rates of any barrier/binder layers).
  • the downward pricing pressure also extends to the CMP consumables themselves (e.g., the slurries and pads).
  • Such pricing pressure poses a challenge to the slurry fbrmuktor as the pressure to reduce costs often conflicts with desired slurry performance metrics.
  • a chemical, mechanical polishing composition for polishing a subsirate having a tungsten layer includes a water based liquid carrier and first and second silica abrasi ves dispersed m the liquid earner.
  • the first silica abrasive is a colloidai silica abrasive having a permanent positive charge of at least 10 mV.
  • the second silica abrasive has a neutral charge or a non-perraauent positive charge.
  • the polishing composition has an acid pH and further includes an iron containing accelerator.
  • a method for chemical mechanical polishing a subsirate including a tungsten layer is further disclosed. The method may include contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
  • a chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier and first and second silica abrasives dispersed i the liquid carrier.
  • the first silica abrasive is a colloidal silica abrasi ve having a permanent positi ve charge of at least 10 mV.
  • the second silica abrasive has a neutral charge or a non-permanent, positive charge.
  • the second silica abrasi e may include substantially any suitable silica abrasive, lor example, including a fumed silica abrasive and/or a colloidal silica abrasi e.
  • the polishing composition may further optionally include an iron containing accelerator, such as an iron containing catalyst, a stabilizer bound to the iron containing accelerator, a hydrogen, peroxide oxidizer, and/or a pH In a range from 1.5 to 5.0
  • the first silica abrasive may also be treated with an amiaosilaae compound.
  • ⁇ f)008j T3 ⁇ 4e first silica abrasive includes colloidal silica particles.
  • colloidal silica particles refers to silica particles that are prepared via a wet. process rather than a pyrogenic or flame hydrolysis process which produces structurally different particles.
  • the colloidal silica particles may be aggregated or now-aggregated.
  • Non-aggregated particles are individually discrete particles that may be spherical or nearly spherical in shape, but. can have other shapes as well (such as generally elliptical, square, or rectangular cross-sections).
  • Aggregated, particles are particles in which multiple discrete particles are clustered or bonded, together to form aggregates having generally irregular shapes.
  • the colloidal silica is precipitated or condensation-polymerized silica, which may be prepared using any method known to those of ordinary skill in the art, such as by the sol gel method or by silicate ion-exchange.
  • Condensation-polymerized silica particles are often prepared by condensing Si(GH).-j to form substantially spherical particles.
  • the precursor Si(OH) may be obtained, for example, by hydrolysis of high, purity alkoxysilanes, or by acidification of aqueous silicate solutions.
  • Such abrasive particles may be prepared, for example, in accordance with U.S. Pat. No.
  • the second, silica abrasive may include substantially any suitable silica abrasive particles, for example, including the aforementioned colloidal silica and pyrogenic (fumed) silica.
  • Pyrogenic silica is produced via a flame hydrolysis process in which suitable feedstock vapor (such as silicon terra-chloride) is combusted in a flame of hydrogen and oxygen.
  • feedstock vapor such as silicon terra-chloride
  • Molten particles of roughly spherical shapes are formed in the combustion process, the diameters of which may be varied via process parameters. These molten spheres, commonly referred to as primary particles, fuse with one another by undergoing collisions at their contact points to form branched, three dimensional chain-like aggregates.
  • Fumed silica abrasives are commercially available from a number of suppliers including, for example, Cabot Corporation, Evonic, and Wacker Chemie.
  • the particle size of a particle suspended in a dispersion may be defined in the industry using various means. In the embodiments disclosed herein, the particle size is defined as measured by the CPS Disc Centrifuge, Model DC24000H (available from CPS Instruments, Prairieville, Louisiana).
  • the abrasive particles may have any suitable particle size.
  • the abrasive particles may have an average particle size of 10 am or more (e.g., 20 nm or more, 40 nm or more, 50 nm or more, 80 nm or more, or 00 nm or more).
  • the abrasive particles may have an average particle size of 200 nm or less (e.g., 180 nm or less, 150 nm or less, 130 run or less, 1 10 nm or less, or 80 nm or less). Accordingly, the abrasive particles may have an average particle size in a range from 1.0 am to 200 ran (e.g., from 20 nm to 180 nm. or from 50 to 1.30).
  • the first silica abrasive has an average particle size that differs from as average particle size of the second silica abrasive.
  • the average particle size of the first silica abrasive may less than that of the second silica abrasive.
  • the average particle size of the first silica abrasive may be greater than that of the second silica abrasive.
  • the first silica abrasive may have an average particle size that differs from the average particle size of the second silica abrasive by at least 20 nm (e.g., at least 30 nm).
  • the average particle size of the second silica abrasive is preferably at least 20 nm (e.g., at least 30 am) greater than that of the first silica abrasi ve.
  • the average particle size of the first silica abrasive may be 10 run or more (e.g., 30 nm or more, 40 nm or more, or 50 nm or more).
  • the average parti cle size of the first silica abrasive may be 130 nm or less (e.g., 1 10 nm or less, 100 ran or less, or 90 nm or less). Accordingly, the average particle size of the first silica abrasive may be in a range from .10 nm to 130 nm (e.g., from 20 nm. to 1 1 nm, from 40 to 100 nm, or from. 50 to 90 nm).
  • the average particle size of the second silica abrasive may be 80 nm or more (e.g., 90 am or more, 100 nm or more, or .1 .10 nm or more).
  • the average particle size of the second silica, abrasive may be 200 nm or less (e.g., 180 nm or less, 170 nm or less, or 160 nm or less). Accordingly, the average particle size of the second silica abrasive may be in a range from 80 nm to 200 nm (e.g., from 90 nm to I SO am, or from i O to 160 nm).
  • the first and second silica abrasives may include a partially aggregated colloidal silica.
  • partially aggregated it is meant thai 50 percent, or more of the colloidal silica abrasive particles Include two or more aggregated primary particles (e.g., two, three, four, or more aggregated primary particles).
  • each, of the first and second silica abrasives include partiall aggregated colloidal silica
  • 50 percent or more of the colloidal silica abrasive particles in the first silica abrasive include two o more aggregated primary particles
  • 50 percent or more of the colloidal silica abrasive particles in the second silica abrasive include two or more aggregated primary particles.
  • a polishing composition may include a first silica abrasive in which 50 percent or more of the abrasive particles include two aggregated primary particles and a second silica abrasive in which 50 percent or more of the abrasive particles include two aggregated primary particles.
  • a partially aggregated dispersion in which 50 perceni or more of the colloidal silica abrasive particles include two or more aggregated primary particles may be prepared, for example, using a multi-step process in which primary particles are first, grown in solution, for example as described in the '833 patent The pH of the solution may then be adjusted to an acidic value for a predetermined time period to promote aggregation (or partial aggregation). An optional final step may allow for further growth of the aggregates (and any remaining primary particles).
  • disclosed polishing compositions may include a mixed abrasive or a single abrasive having a bimodai distribution.
  • mixed abrasive it is meant that distinct first and second dispersions are mi ed together after the abrasive particles have been fully grown.
  • a single abrasive having a bimodai distribution is a dispersion in which the colloidal silica particles have been processed so as to grow abrasive particles having a bimodai distribution.
  • the polishing composition may include any suitable amount of silica abrasi ve particles.
  • the polishing composition may include 0,01 weight percent or more of each of the first silic abrasive and the second silica abrasive (e.g., 0.02 weight percent or more, about .05 weight percent or more, or 0.1 weight percent or more).
  • the polishing composition may include 1 weight perceni or less of each of the first silica abrasive and the second silica abrasive (e.g... 0.8 weight percent or less, 0.6 weight percent or less, or 0.4 weight percent or less).
  • the amount of each of the first silica abrasive and the second silica abrasive may be in a range from 0.01 weight, percent to 1 weight percent (e.g., from 0.02 weight percent to 0.8 weight percent, from 0.05 weight percent to 0.6 weight percent, or from 0.1 weight percent to 0.04 weight percent).
  • the total amount of silica abrasive (the sum of the amount of the first silica abrasive and the amount of the second silica abrasive) may be less than 2.0 weight percent (e.g., less than 1.5 weight percent, or less than L0 weight percent, or even less than 0.5 weight percent).
  • the liquid carrier is used to facilitate the application of the abrasive and any optional chemical additives to the surface of a suitable substrate to be polished (e.g., planarized).
  • the liquid carrier may be any suitable carrier (e.g., a solvent) including lower alcohols (e.g., methanol ethanoi, etc.), ethers (e.g., dioxane, teirahydroforan, etc.), water, and mixtures thereof.
  • the liquid carrier comprises, consists essentially of, or consists of water, more preferably deionized water,
  • the first silica abrasive includes colloidal silica particles having a positive charge of at least 10 raV in the polishing composition.
  • the charge on dispersed paiticies suc as colloidal silica particles is commonly referred to in the art as Che .eta potential (or the electrokiuetic potential).
  • the zeia potential of a particle refers to the electrical potential difference between the electrical charge of the ions surrounding the particle and the electrical charge of the bulk solution of the -polishing composition (e.g., the liquid carrier and any other components dissolved therein).
  • the zeia potential is typically dependent on the p.H of the aqueous medium.
  • the isoelectric point of the particles is defined as the pH at hich the .eta potential is zero. As the pH is increased or decreased away from the isoelectric point, the surface charge (and hence the /eta potential) is
  • the zeta potential of a dispersion such as a polishing composition may be obtained using commercially available instrumentation such as the DT-1202, an electro-acoustic
  • the colloidal silica particles of the first silica abrasive in the polishing composition have a permanent positive charge of 10 mV or more (e.g., 15 roV or more or 20 mV or more).
  • the colloidal silica particles of the first silica abrasive in the polishing composition may have a. permanent positive charge of 50 niV or less (e.g., 45 mV or less, 40 raV or less, or 35 mV or less).
  • colloidal silica particles of the first silica abrasive have a permanent positive charge in a range from 10 rnV to 50 mV (e.g., from 15 mV to 40 mV)
  • permanent positive charge it is meant that the positive charge on the silica, particles is not readily reversible, for example, via flushing, dilution, filtration, and the like.
  • a permanent positive charge may be the result, for example, of covalently bonding a cationic species with the colloidal silica.
  • a permanent positive charge is in contrast to a reversible positive charge (a non-permanent positive charge) that may be the result, for example, of an electrostatic interaction between a cationic species and the colloidal silica.
  • a permanent positive charge of at least 10 mV means that the zei potential of the silica particles remains above 10 mV a fter the following three step ultrafiltration test.
  • a portion of a polishing composition e.g., initially a 200 ml sample
  • a iiiipore UUracell regenerated cellulose ultrafiltration disk e.g., having a MW cutoff of 100,000 Dal tons and a pore size of 6.3 mtt.
  • the remaining dispersion (the approximately 65 mi of dispersion that is retained by the ultrafiltration disk) is collected and replenished to the original volume with pH adjusted deionixed water.
  • the deioaized water is pH adjusted to the original pH of the polishing composition using a suitable inorganic acid or base such as nitric acid or potassium hydroxide. This procedure is repeated for a total of three ultrafiltration cycles (each of which includes an ultrafiltration step and a replenishing step).
  • the zeta-poteniiai of the triply ultra-filtered and replenished polishing composition is then measured and compared with the zeta potential of the original poh.shing composition. This three ste ultrafiltration test is further illustrated below by way of example (in Example 8).
  • the dispersion retained by the ultrafiltration disk includes the silica particles and any chemical components (e.g., cationic species) that may be associated with, the surface of the particles (e.g., bonded to, attached to, electrostatically interacting with, or in contact with the particle surface). At least a portion of the liquid carrier and the chemical components dissolved therein pass through the ultrafiltration disk. Replenishing the retained dispersion to the original volume is believed to upset the equilibrium in the original polishing composition such that the chemical components associated with the particle surface may tend towards a new equilibrium.
  • any chemical components e.g., cationic species
  • Components that are strongly associated (e.g., covalentSy bonded) with the particle sur face remain on the surface such that there tends to be little i f any change i n.
  • the positive zeta potential of the particle in contrast, a portion, of components that have a weaker association (e.g., an electrostatic interaction) with the particle surface may return to the solution as the system tends towards the new equilibrium thereby resulting in a reduction in the positi ve zeta potential. Repeating this process for a total of three ultrafiltration and replenishing cycles is believed to amplif the above described effect.
  • composition obtained from the above described three step ul rafiltrati n test it will be understood that prior to correcting for ionic strength differences, the measured zeta potential may be observed to increase due to the reduced ionic strength of the triply ultra-filtered and replenished polishing composition. After correcting for ionic strength differences, it is preferred that any reduction, in.
  • the positive charge (reduction in the positive zeta potential) on the particles resulting from the aforementioned three step ultrafiltration test is less than 10 mV (e.g., less than 7 mV, less than 5 mV, or even less than 2mV).
  • Silica abrasive particles containing an aminosilane compound may have a permanent positive charge.
  • a permanent positive charge may be achieved, for example, via treating the particles with at least one aminosilane compound.
  • Such compounds include primary aminosilanes, secondary aminosilanes, tertiary aminosilanes, quaternary
  • the aminosilane compound may include substantially any suitable aminosilane, for example, a propyl group containing aminosilane or an aminosilane compound including a propyl amine.
  • suitable aminosilanes may mclude bis(2-hydroxyeAyl)-3-aittinopropyl irialkoxysilane,
  • diethylaminomelhyltrialkoxysilane diethylaminomelhyltrialkoxysilane, (N,N ⁇ diethyl-3-aminopropyl)trialkoxysiiane), 3-fN- styrylrae yl-2-aminoethylaminopropyl irialkoxysilane. aminoprop l irialkoxysilane, (2-N- ben3 ⁇ 4ylaminoethyl)-3-aminopropyl irialkoxysilane)., trialkoxysilyl propy 1 ⁇ N , ⁇ , ⁇ -triraethyl ammonium chloride, N -f trialkoxysilyiethy benzyl-N.
  • N-a-imethyl ammonium chloride (bis(memyldialkoxysilylpropyl)-N-methyl amine, bis(triaikoxysilyipropyS)urea, bis(3- (trialkoxysilyl)propyl)-ethylenediamine, bis(trialkoxysilylpropyl)amine,
  • any suitable method of treating the silica particles may be used.
  • the silica particles may be treated with the aminosilane compound before mixing with the other components of the polishing composition or the aminosilane and the colloidal silica particles may be added simultaneously to the other components of the polishing composition.
  • the aminosilane compound may be present in. the polishing composition in any suitable amount.
  • the amount of aminosilane utilized may depend on several factors, for example, including the particle size, the surface area of the particle, the particular aminosilane compound used, and the desired charge on the particle. In general the amount of aminosilane used increases with decreasing particle size (and therefore increasing surface area) and increasing charge on the particle.
  • the polishing composition may include 5 ppm or more (e.g., 1 ppm or more, 15 ppm or more, or 20 ppm or more) of the aminosilane compound
  • the polishing composition preferably includes n amount of aminosilane sufficient to provide the desired permanent positive charge withou using an excess.
  • the polishing composition may include 500 ppm or less (e.g., 300 ppm or Jess, or 200 ppm or less, or 150 ppm or less) of the aminosilane compound.
  • the polishing composition includes a range from 5 ppm to 500 ppm (e.g.. from 10 ppm to 300 ppm. from 15 p m to 200 ppm, or from 20 ppm to 150 ppm) of the aminosilane compound.
  • Polymer amines may be used to impart a permanent positive charge (or a semipermanent positive charge that requires more than three ultra-filtration cycles to reverse) to certain silica abrasive particles.
  • polishing composition may be substantially free of polymer amines (and that the permanent positive charge he imparted via other means).
  • the second silica abrasive may have a neutral charge or a non-permanent positive charge.
  • neutral charge it is meant that the zeta potential of the silica abrasive particles is near zero, for example, in a range from about -5 to 5 V, Silica abrasive particles having a neutral charge are generally untreated.
  • a silica abrasive having a non-permanent positive charge is one in which the abrasive particles have a reversible (or partially reversible) positive charge of greater than 5 mV (e.g. via flushing, dilution, filtration, and the like).
  • silica abrasive particles having permanent and non-permanent positive charges may be used to discriminate between silica abrasive particles having permanent and non-permanent positive charges.
  • silica abrasive particles having a non-permanent positive charge are particles in which the positive charge is reduced below some threshold (e.g. , 10 mV) after ultra-fiftraiion and replenishing.
  • silica abrasive particles having a non-permanent positi ve charge are particles in which the positive charge may decrease after correcting for ionic strength differences (e.g., by more than 5 mV, or by more than 0 mV).
  • Example S distinction between a permanent and non-permanent positive charge is further illustrated in Example S.
  • Silica abrasive particles in contact with a cation containing component may have a non-permanent positive charge.
  • a non- permanent positive charge may be achieved, for example, via treating the particles with at least one cation containing component.
  • the treatment component may be selected, for example, from ammonium sails (preferably quaternary amine compounds), phosphonium salts, sulfonium salts, imidaxolium. salts, and pyridinium salts.
  • the anion X " can be an suitable anion that preferably does not react with other components of the polishing composition.
  • Non-limiting examples of suitable anions include hydroxide, chloride, bromide,, fluoride, nitrate, sulfate, hydrogen sulfate, methanesulfonate, raethylsaifate (i.e., t3 ⁇ 4OS(V) > and the like.
  • the cationic component in an ammonium salt may include, for example, tetrametbyiammonium, tetraethylammonium, .etrapropylammanium. tettabuty (ammonium, teirapentylammonium,
  • the cationic component in a phosphonium salt may include, for example, tetramethylphosphoninm,
  • the cationic component in a sulfonium salt may include, for example, trimethylsulfonium and
  • the cationic component in an i ida/oliurn salt may include, for example, l -ethyl-3-meihylimida2ol m,
  • the cationic component in a pyridinium salt may include, for example, 1 -methyipyridinium.
  • the polishing composition is generally acidic having a pH of less than 7.
  • the polishing composition typically has a pH of I or more (e.g., 1.5 or more, or 2 or more).
  • the polishing composition has a pH of 6 or less (e.g., 5 or less, or 4 or less). More preferably, the polishing composition has a H in a range from 1. to (e.g., from 1.5 to 5, or from 2 to 4, or from 2 to 3.5).
  • the pH of the polishing composition may be achieved and or maintained by any suitable means.
  • the polishing composition may include substantially any- suitable pB adjusting agents or buffering systems.
  • suitable pH adjusting agents may include nitric acid, sulfuric acid, phosphoric acid, phthalic acid, citric acid, adipic acid, oxalic acid, malonic acid, roaleic acid, ammonium hydroxide, and the like while suitable buffering agents may include phosphates, sulfates, acetates, maionates, oxalates, borates, ammonium salts, and the like.
  • Optional embodiments of the polishing composition may further include an iron containing accelerator.
  • An iron containing accelerator as used herein is an iron containing chemical component that increases the removal rate of tungsten during a tungsten CMP operation.
  • the iron containing accelerator may include an iron containing catalyst such as is disclosed in U.S. Patents 5,958,288 and 5,980,775.
  • an iron containing catalyst may be soluble in the liquid carrier and may include, for example, ferric (iron Hi) or ferrous (iron II) compounds such as iron nitrate, iron sulfate, iron haiides, including fluorides, chlorides,, bromides, and iodides, as well as perchlorates, perbromates and periodates, and organic iron compounds such as iron acetates, acetyiacetonaies, citrates, gluconates, malonates, oxalates, phthalates, and succinates, and mixtures thereof,
  • An iron containing accelerator may also include an iron containing activator (e.g., a free radical, producing compound) or an iron containing catalyst associated with (e.g., coated or ' bonded to) the surface of the colloidal silica particle such as is disclosed in U.S. Patents 7,029,508 and 7,077,880.
  • the iron containing accelerator may be bonded with the silanol groups on the surface of the colloidal surface particle, in one embodiment the iron containing accelerator may include a boron containing stabilizer and an iron containing catalyst.
  • the stabilizer and catalyst may occupy substantially any percentage of the available surface sites on the colioidai silica particles, for example, greater than i%, greater than 50%, or greater than 80% of the available surface sites,
  • the amount of iron containing accelerator in the polishing composition may be varied depending upon the oxidizing agent used and the chemical form of the accelerator.
  • the catalyst may be present in the composition in an amount sufficient to provide a range from 1 to 3000 ppm Fe based on the total weight of the composition.
  • the polishing composition preferably includes 1. ppm Fe or more (e.g., 5 ppm or more, 10 ppm or more, or 20 ppm or more).
  • the polishing composition preferably mcludes 500 ppm Fe or less (e.g., 200 ppm.
  • the polishing composition may thus include a range from 1 to 500 ppm Fe ⁇ e.g., from 3 to 200 ppm, from 5 to 100 ppm, or from 1 to 50 ppm).
  • Embodiments of the polishing composition including an iron containing accelerator may further include a stabilizer. Without such a stabilizer, the iron containing accelerator and the oxidizing agent may react in a manner that degrades the oxidizing agen rapidiy over time.
  • the addition of a stabilizer tends to reduce the effectiveness of the iron containing accelerator such that the choice of the type and amount of stabilizer added to the polishing composition ma have a significant impact on CMP perfoniian.ee.
  • the addition of a stabilizer may lead to the formation of a stabilizer/accelerator complex that inhibits the accelerator from reacting with the oxidizing agent while at the same time allowing the accelerator to remain sufficiently active so as to promote rapid tungsten polishing rates.
  • 0036 Useful stabilizers include phosphoric acid, organic acids, phosphonale
  • the acid stabilizers may be used in their conjugate form, e.g., the earboxylate can be used instead of the carboxylic acid.
  • the term "acid” as it is used to describe useful stabilizers aiso means the conjugate base (or bases) of the acid stabilizer.
  • adipic acid means adipic acid and its conjugate bases.
  • Stabilizers can be used alone or in combination and significantly decrease the rate at which oxidizing agents such as hydrogen peroxide decomposes.
  • Preferred stabilizers include acetic acirl, phosphoric acid, phthalie acid, citric acid, adipic acid, oxalic acid, malonic acid, aspartic acid, succinic acid, grataric acid, pimelic acid, suberic acid, azeiaic acid, sebacic acid, roaleic acid, glutaconic acicl, muconic acid, ethylenediaminetetraacetic acid (EDTA), propylenediaminetetraacetic acid (PDTA), and mixtures thereof.
  • the preferred stabilizers may be added to the compositions and slurries of this invention in an amount ranging from 1 equivalent per iron containing accelerator to 3.0 weight percent or more.
  • the term "equivalent per iron containing accelerator" .means one molecule of stabilizer per iron species in the composition. For example, 2 equivalents per iron containing accelerator means two molecules of stabilizer for each iron species,
  • the polishing composition may further include an oxidizing agent.
  • the oxidizing agent may be added to the polishing composition during the slurry manufacturing process or just prior to the CMP operation (e.g., in a tank located at the semiconductor fabrication facility).
  • Preferable oxidizing agents include inorganic or organic per-compounds.
  • a per- compound as defined by Hawley's Condensed Chemical Dictionary is a compound, containing at least one peroxy group ( ⁇ 0 » 0-) or a compound, containing an element in its highest oxidation state.
  • Examples of compounds containing at least one perox group include but are not limited to hydrogen peroxide and its adduets such as urea hydrogen peroxide and percarbonates, organic peroxides such as benzoyl peroxide, peraceiic acid, and dl-t-buiyi peroxide, mouopersulfates (SO; ), dipersnlfates (S ⁇ Os ' ), and sodium peroxide.
  • Examples of compounds containing an. element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbrornic acid, perbromate salts, perchloric acid, perchlorate salts, perboric acid, and perborate salts and permanganates.
  • the most preferred oxidizing agents is hydrogen peroxide,
  • the oxidizing agent may be present in the polishing composition in an ' amount ranging, for example, from it I to 10 weight percent.
  • the oxidizer may be present in the polishing composition in an amount ranging from 0.1 to 6 weight percent (e.g., from 0.2 to 5 weight percent, from 0.5 to 4 weight percent, or from 1 to 3 weight percent).
  • the polishing composition may optionally further include a compound that inhibits tungsten etching.
  • Suitable inhibitor compounds inhibit the conversion of solid tungsten into soluble tungsten compounds while at the same time allowing for effective removal of solid tungsten via the CMP operation.
  • Classes of compounds that that are useful inhibitors of tungsten etching include compounds having nitrogen containing functional groups such as nitrogen containing helerocycles, alky I ammonium ions, amino alkyls, and amino acids.
  • Useful amino alkyl corrosion inhibitors include, for example, hexyl amine, tetramethyl-p-phenylene diamine, octylamine, diethylene triamine, dibuty!
  • benzylamme aminopropylsilanol, aminopropyisiloxane, dodecylamine, mixtures thereof and synthetic and naturally occurring amino acids including, for example, lysine., tyrosine,, glutamine, glutamic acid, cysteine, and glycine (aniinoacetic acid).
  • the inhibitor compound may alternatively and/or additionally include an. amine compound in solution in the liquid carrier.
  • the amine compound (or compounds) may include a primary amine, a secondary amine, a tertiary amine, or a quaternary amine.
  • the amine compound may further include a monoamine, a diamine, a triamine, a tetramine. or an amine based polymer having a large number of repeating amine groups (e.g., 4 or more amine groups).
  • the amine compound may include a long chain alkyl group.
  • long chain alkyl group it is meant that the amine compound includes an alky! group having at least 10 carbon atoms (e.g., at least 12 carbon atoms or at least ! 4 carbon atoms).
  • Such amine compounds may include, for example, dodecyi amine, teiradecylamine, hexadecylauune, octadeeylamine, oleylarai&e, - raeihyidioctylaniine, N-methyloctadeeyianime, cocamidopropyiamme oxide,
  • the amine compound may inc ude a polycationic amine.
  • a polycationic amine (as the term is used herein) is an amine compound having multiple (two or more) amine groups in which each of the amine groups is calionic (i.e., has a positive charge).
  • the polycaiionic amine may include a
  • polycjuaiernary amine By polyquatemaiy amine it is meant that the amine compound includes from 2 to 4 qua.ler.nary ammonium groups such that the polyquatemaiy amine is a diquaternary amine, a triqua ternary amine, or a teiraquaternary amine compound.
  • Diquaternary amine compounds may include, for example,
  • Tri quaternary amine compounds may include, for example, N( 1 ),N(6)-didoecyl-N( 1 ),.N( .1 ), (6), (6)-tet.raniet1iyl ⁇ l ,6-hexanediamiomra diiodide.
  • Tetraquateraary amine compounds may include, for example,
  • the poiyquatemary amine compound may further include a long chai alky! group (e.g., h ving 10 or more carbon atoms).
  • a poly quaternary amine compound having a long chain alk l group may include ⁇ , ⁇ '-methylenebis (dimethyiietradeciammoniuin bromide), ⁇ , ⁇ , ⁇ ', ⁇ ', ⁇ '- pentamethyl-N-taUow- 1 ,3-propane-diammonium. dichloride, didodecyl-tetraraeihyM ,4- butanediammium diiodide,
  • a poi ycationic amine may also be polycationic in that each of ihe amine groups is protonated (and therefore has a positive charge).
  • a dicationic amine such as tetramethyl-p-phenylenediamine includes two tertiary amine groups that may be protonated (and therefore positively charged) at polishing composition H values less than the pKa o the amine compound.
  • the amine compound m y include an amine based polymer. Such a polymer includes four or more amine groups.
  • the amine based polymer may include, for example, triethylenetetramine,
  • tetraethylenepentamine pentaelh lenehexarnine, and polymers including the following amine containing functional groups methacryloyiox-ethyl irimethyl ammonium methyisulfale, cliailyl dimethyl ammonium chloride, and mefhacrylamido-propyl irimethyl ammonium chloride.
  • the polishing composition may inelnde substantially any suitable concentration of the inhibitor compound.
  • concentration is desirably high enough to provide adequate etch inhibition, but low enough so that the compound is .soluble and so as not to reduce tungsten polishing rates below acceptable levels.
  • soluble it is meant that the compound is fully dissolved in the liquid carrier or that it forms micelles in the liquid carrier or Is carried in micelles. It may be necessary to van,' the concentration of the inhibitor compound depending upon numerous various factors, for example, including the solubility thereof; the number of amine groups therein, the length of an alkyl group, the relationship between etch rate inhibition and polishing rate inhibition, the oxidizing agent used, the concentration of the oxidizing agent, and so on.
  • the concentration of an amine compound in the polishing composi tion may he in a range from 0.1 ⁇ to 10 uiM (i.e., from .10 "' to 1 " ⁇ molar).
  • concentration may be on the Sower end of the range (e.g., from 10 " ' to 10 " * molar).
  • concentration may be on the Sower end of the range (e.g., from 10 " ' to 10 " * molar).
  • a range from 0.1 ⁇ to 10 uiM (i.e., from .10 "' to 1 " ⁇ molar).
  • the concentration may be on the higher end of the range (e.g., from 10 " * to Y molar).
  • the polishing composition may optionally further include a biocide.
  • the biocide may include any suitable biocide, for example an isothiazoiinone biocide.
  • the amount of biocide in the polishing composition typicall is in a range from I ppm to 50 ppm. and preferabl from i ppro to 20 ppm.
  • the polishing composition may be prepared using any suitable techniques, many of which are known to those skilled in the art.
  • the polishing composition may be prepared in a hatch or continuous process. Generally, the polishing composition may he prepared by combining the components thereof in any order.
  • component as used herein includes the individual ingredients (e.g., the colloidal silica, the iron containing accelerator, the amine compound, etc.)
  • a first silica abrasi e may be dispersed in an aqueous liquid carrier to obtain a first dispersion.
  • the first dispersion may then be treated, for example, with an aminosilane compound so as to produce a colloidal silica abrasive having a permanent positive charge of at least 10 mV.
  • a second silica abrasive may be dispersed in an aqueous liquid carrier to obtain a second dispersion.
  • the second dispersion may then be treated, for example, with a quaternary amine compound so as to produce a silica abrasive having a non- permanent positive charge.
  • the first and second treated dispersions may then be mixed together prior to adding ihe other components (such as an iron containing accelerator and a stabilizer).
  • the other components may be added to one of the treated dispersions prior to mixing the first and second dispersions together.
  • the first and second dispersions and the other components may be blended together using my suitable techniques for achieving adequate mixing.
  • the oxidizing agent may be added at any time durin the preparation of the polishing composition.
  • the polishing composition may be prepared prior to use, with one or more components, such as the oxidizing agent, being added just prior to the CMP operation (e.g., within i minute, or within 10 minutes, or within 1 hour, or within 1 day, or within 1 week of the CMP operation).
  • the polishing composition also may also be prepared by mixing the components at the surface of the substrate (e.g., on the polishing pad) during the CMP operation.
  • the polishing composition may be supplied as a one-package system comprising a first silica abrasive having a permanent positive charge of at least l OmV, a second silica abrasive having a neutral or non-permanent positive charge, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, a biocide, and the like.
  • the oxidizing agent desirably is supplied separately from the other components of the polishing composition and is combined, e.g..
  • polishing composition by the end-user, with the other components of the polishing composition shortly before use (e.g., 1 week or less prior to use, 1 day or less prior to use, I hour or less prior to use, 10 minutes or less prior to use, or 1 minute or less prior to use).
  • Various other two-container, or three- or more-container, combinations of the components of the polishing composition are within the knowledge of one of ordinary skill in the art.
  • the polishing composition of the invention may also be provided as a concentrate which is intended to be diluted with an appropriate amoun of water prior to use.
  • the polishing composition concentrate may include the first silica abrasive having a permanent positive charge of at least lOmV, the second silica abrasive having a neutral or non-permanent positive charge, water, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, and a biockle, with or without the oxidizing agent, in amounts such that, upon dilution of the concentrate with an appropriate amount of water, and the oxidizing agent if not already present in an appropriate amount, each component of the polishing composition will be present in the polishing composition in an amount within the appropriate range recited above for each component.
  • the first silica abrasive having a permanent positive charge of at least l OmV, the second silica abrasive having a neutral or non-permanent positive charge, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, and a biockle may each be present in the polishing composition in an amount: that is 2 times (e.g., 3 times, 4 times, 5 times, or even 10 times) greater than the concentration recited above for each component so that, when the concentrate is diluted with an equal volume of (e.g., 2 equal volumes of water, 3 equal volumes of water, 4 equal volumes of water, or even 9 equal volumes of water respectively), along with the oxidizing agent in a suitable amount, each component will be present in the polishing composition in an amount within the ranges set forth above for each component.
  • the concentrate may contain an appropriate fraction of the water present in. the final polishing composition in. order to ensure that other optional components such as an iron containing accelerator, a stabilizer,
  • the polishing composition of the invention may be used to polish any substrate, the polishing composition, is particularly useful in the polishing of a substrate comprising at least one metal including tungsten and. at least one dielectric material.
  • the tungsten layer may be deposited over one or more barrier layers, for example, including titanium and/or titanium nitride (TiN).
  • the dielectric layer may be a metal oxide such as a silicon oxide layer derived from tetraeth torthosi licate (TEOS), porous metal oxide, porous or non-porous carbon doped silicon oxide, fluorine-doped silicon oxide, glass, organic polymer, iluorinated organic polymer, or any other suitable high or low-k insulating layer.
  • TEOS tetraeth torthosi licate
  • the polishing method of the invention is particularly suited for use in conjunction with a chemical mechanical polishing (CMP) apparatus.
  • the apparatus includes platen, which, when in use, is in motion and has a velocity that results from orbital, linear, or circular motion, a polishing pad in contact with the platen and moving with the platen when tn motion, and a carrier thai holds a substrate to be polished by contacting and moving relative to the surface of the polishing pad.
  • the polishing of the substrate takes place by the substrate being placed in contact with the polishing pad and the polishing composition of the invention and then the polishing pad moving relative to the substrate, so as to abrade at least a portion of the substrate (such as tungsten, titanium, titanium, nitride, and/or a dielectric material as described herein) to polish the substrate.
  • the substrate such as tungsten, titanium, titanium, nitride, and/or a dielectric material as described herein
  • a substrate can be planarized or polished with the chemical mechanical polishing composition with any suitable polishing pad (e.g., polishing surface).
  • suitable polishing pads include, for example, woven and non-woven polishing pads.
  • suitable polishing pads can comprise any suitable polymer of varying density, hardness, thickness, compressibility, ability to rebound upon compression, and compression modulus.
  • Suitable polymers include, for example, polvvinylch!oride, polyvinylfluoride, nylon, fhiorocatbon, polycarbonate, polyester, polyacrylate, po ' lyether, polyethylene, polyamide, polyurethane, polystyrene, polypropylene, coformed products thereof and mixtures thereof.
  • mixed silica abrasive polishing compositions were prepared using first and second silica abrasives selected from nine silica abrasive dispersions.
  • Dispersions Al, Bl , CI, and. Dl included colloidal silica dispersions treated with an aminosilane (aminopropyl triaikoxysilane) such that the colloidal silica particles had a permanent positi ve charge of greater than 10 mV.
  • Dispersions A2, B2, €2, and D2 included colloidal silica particles dispersed in an aqueous solution.
  • the colloidal silica abrasives in Al , A2, B l , 82, C1 , C2, Dl , and D2 each contained a partially aggregated silica abrasi ve in which 50 percen t or more of the colloidal silica abrasive particle* include two aggregated primary particles.
  • the primary particle sizes were estimated using conventional spherical extrapolation of BET surface area measurements.
  • the aggregate particle sizes were obtained using a CPS Disc Centrifuge, Model DC24000HR. (available from CPS Instruments, ' PrairieviHe, ' Louisiana). TABLE I
  • the tungsten (W) polishing rate was evaluated is this example for various polishing compositions, each of which included first and second silica- abrasives selected from dispersions A l , Bl , CI , and D.l in Example 1.
  • the first and second siiica abrasives in this example each included a colloidal siiica having a permanent positive charge of greater than 10 mV.
  • This example demonstrates the effects of the relative amounts of the first and second silica abrasives and the particle sizes of the first and second siiica abrasives on the W polishing rate.
  • Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate (Fe(NO.3 ⁇ 4).i- H20) 0.04 weight percent malonic acid, and 2.4 weight percent hydrogen peroxide.
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra*) CMP tool (available from Applied Materials) and an iCl Oi polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpro, and a slurry flow rate of 150 ml/rain.
  • the polishing time was two minutes.
  • the weight percentages of the first and second silica abrasives and the corresponding W removal rates are shown on Tabic 2.
  • tungsten removal rates caii be achieved using a wide range of mixtures of silica abrasive particles having a permanent positive charge greater than. 10 mV.
  • high W polishing rates may be achieved when there is at least a 20 ran difference i particle si/e between the first and second silica abrasives.
  • the tungsten (W) polishing rate was evaluated in this example for various polishing compositions, each of which included first and second silica abrasives selected from dispersions A 1. B 1, CI , and l in Example I .
  • the first and. second Silica abrasives in this example each included a colloidal silica having a permanent positive charge of greater than 10 mV.
  • This example also demonstrates the effects of the relative amounts of the first and second sil ica abrasives and the particle sizes of the first and second silica abrasives on. t h e W polishing rate.
  • Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydraie, 0.04 weight percent maionie acid, and 2.4 weight percent hydrogen peroxide.
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a. W layer using a Mirra® CMP tool (available from Applied
  • polishing composition 3A and 3B correspond with polishing compositions 2E and 2H in Example 2 with the only difference being in polishing time.
  • the tungsten (W) polishing rate was evaluated in this example for various polishing compositions, three of which included first and second silica abrasives selected from dispersions Bl and Dl in Example 1. This example demonstrates the effects of the relative amounts of the first and second silica abrasi es on the W polishing rate.
  • Each of the polishing compositions had a pH of 2.5 and included 0.03 ! weight percent ferric nitrate nonahydrate, 0.04 weight percent malonic cid, 2500 ppra glycine, and 2,4 weight percent hydrogen peroxide.
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a MirraS CMP tool (available .from Applied Materials) and an IClOiO polishing pad. at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 ml/mm.
  • the polishing time in this example was one minute.
  • polishing composition 4C including a mixture of dispersions Bl and D I achieved a higher W polishing than polishing compositions 4A and 4B including dispersions Bl and D I alone.
  • the tungsten (W) polishing rate was evaluated in this example for various polishing compositions, four of whic included first and second silica abrasives selected from dispersions B l , B2 exert and C I in Example 1 .
  • This example demonstrates the effects of the relative amounts of the first and second siiica abrasives on the W polishing rate and the time to clear the wafer (the end point time).
  • Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate. 0.04 weight percent nialomc acid, 2500 ppm glycine, and 2.4 weight percent hydrogen peroxide.
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mimtf; CMP tool, (available from Applied Materials) and an IC lO iO polishing pad at down-force of 2.5 psi, a plaien speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 m /min.
  • the polishing time in this example was one minute.
  • the weight percentages of ihe first and second silica abrasives and the corresponding W removal rates and wafer clear time are shown on Table 5.
  • mixed abrasive polishing compositions 5B and 5C achieved higher W polishing rates than the control composition (5A)
  • mixed abrasive polishing compositions 5D and 5E including mixtures of a colloidal silica having a permanent positive charge (Bl) and a colloidal silica having a non-permanent positive charge (C2)
  • Mixed abrasive polishing compositions 5D and 5E were also observed to clear the waver i less time than the other compositions indicating the potential for a higher throughput CMP process.
  • the tungsten (W) polishing rate was evaluated in this example for various polishing compositions, five of which included first and second silica abrasives selected from dispersions Bl, ⁇ 2, and Fl hi Example 1. This example demonstrates the effects of die relative amounts of the first, and second silica abrasives on the W polishing rate and the time to clear the wafer (the end point time).
  • Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate, 0.04 weight percent malonic acid, and 2.4 weight percent hydrogen peroxide.
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra® CMP tool (available from Applied Materials) and an JCIOIO polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm surround a head speed of 97 rpm, and a slurry flow rate of 150 ml/min.
  • the polishing time in this example was two minutes.
  • the weight, percentages of the first and second silica abrasives and the corresponding W removal rates are shown on Table 6.
  • mixed abrasive polishing compositions c B, 6C, and 6D achieved higher W polishing rates and a shorter wafer clear time than the control composition 6A.
  • Mixed abrasive polishing compositions 6£ and 6F (including mixtures of dispersions Bl and 02) also achieved higher W polishing rates and a shorted wafer clear time than the control composition 6A.
  • T3 ⁇ 4e tungsten (W) polishing rate was evaluated in this example for various polishing compositions, six of which included first and second silica abrasives selected from dispersions Bl, C I , and D2 in Example 1.
  • Each of the polishing compositions had a pH of 2.5 (adjusted using nitric acid) and included 0.031. weight percent ferric nitrate nonahydraie, 0.04 weight percent maionic acid, ! 00 ppm (etrabutylamnioniuni hydroxide (TBAH), and 2.4 weight percent hydrogen peroxide.
  • TBAH etrabutylamnioniuni hydroxide
  • the W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra® CMP tool, (available from Applied Materials) and an ICTOIO polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 mi/rnin.
  • the polishing time in this example was two minutes.
  • the weight percentages of the first and second silica abrasives and. the corresponding W removal rates are shown on Table 7.
  • polishing compositions 7B and 7D (including mixtures of dispersions B 1 and D2 and CI and D2 ) achieved higher W polishing rates and shorter wafer clear times than the control composition 7 A despite ha ving just over half the solids loading.
  • Polishing compositions 7E, ?F, and 7G (including mixtures of dispersions C ' i and 02) achieved approximately equal W polishing rates and shorter wafer clear times than the control composition 7A despite having half or less the solids loading.
  • Polishing composition ID (including a mixture of dispersions C i and ⁇ 2) achieved, an approximately equal W polishing rate and wafer clear time as compared to the control composition 7 A despite having one quarter the solids loading (0.2 vs. 0.8 weight percent).
  • the zeta- potentials of the polishing compositions were measured before and after the ultrafiltration procedure (i.e., for the original polishing composition and die triply ultra-filtered and replenished polishing composition) using a Mode! DT 1202 Acoustic and Electro-acoustic spectrometer (available from Dispersion Technologies).
  • the electrical conductivities of the polishing compositions were measured before and after the ultrafiltration procedure using a standard conductivity meter.
  • Table 8 shows the measured zeta potential and conductivity values for polishing compositions 8A, 8B, 8C, and 80 made from dispersions C I , C2, and D2 described in
  • Polishing composition 8A was prepared using dispersion CI by diluting with Dl water to a final colloidal silica concentration of 2 weight percent and adjusting the pH to 2.6 using nitric acid.
  • Polishing composition SB was prepared using dispersion C2 by diluting with Dl water to a final silica concentration of 2 weight percent and adjusting the pH to 2.6 using nitric acid. The colloidal silica was treated using 0.01 weight percent TBAH to obtain particles having a non-permanent positive charge.
  • Polishing composition SC was prepared using dispersion C2 by diluting wit Di water to a final silica concentration of 2 weigh percent and adjusting the pH to 2,3 using nitric acid. The colloidal silica particles remained untreated.
  • Polishing composition 8D was prepared by diluting and. mixing dispersions C I and D2 such that the final mixture included 1 .2 weight percent of the colloidal silica particles from the C I dispersion and 0.6 weight percent of the colloidal silica particles from the D2 dispersion.
  • the D2 dispersion was further ireated with 0.0 ! weight percent TBAH t obtain particles having a non-permanent positive charge.
  • Polishing composition 8D further included 0,03.1 weight percent ferric nitrate nonahydrate and 0.04 weight percent rnatonic acid.
  • the zeta potential of polishing composition 8A was not changed, by filtration indicating that the colloidal silica had a permanent positive charge of 41 mV.
  • the zeta potential of polishing composition SB decreased fxom 50 to 3 rnV indicating thai the positive charge on the colloidal silica was not permanent.
  • the zeta potential of polishing composition 8C (the untreated colloidal silica particles) was essentially neutral (4 m.V).
  • the xeta potential of polishing compost lion. 8 ' D decreased modestly from 17 to i I mV.
  • polishing composition 9A, 9B, and 9C were prepared by dilutin dispersions Bl , CI , and D2 .respectively with Di. water to a final colloidal silica concentration of 1 weight, percent.
  • Polishing composition 9D was prepared by dilating and mixing dispersions Bl and D2 such that the final mixture included 0.2 weight percent of the colloidal silica particles from the B l dispersion and 0.3 weight percent of the colloidal silica particles from the D2 dispersion.
  • Polishing composition 9E was prepared by diluting and mixing dispersions CI.
  • polishing compositions D and 9E the D2 dispersion was further treated, with 100 ppm by weight TBAH to obtain particles having a non-permanent positive charge.
  • Polishing compositions 9D and 9E further included 1 3 ppra b weight ferric nitrate nonahydrate, 250 ppm by weight maionic acid, and 7 ppm by weight Kaihon biocide.
  • the pH of each of the fi ve polishing compositions (9.4, 9 ' B, 9C. 9D, and 9E) was adjusted to 2,5 using nitric acid.
  • polishing composition. 9. has a particle size distribution with a peal; at 50 urn.
  • Polishing composition 98 has a. particle size distribution with a peak at 70 nm.
  • Polishing composition 9C has a particle size distribution with a peak at 1 15 nm.
  • Polishing composition 9D has a himodal distribution with a first peak at 50 nm and a second peak at 1 15 run.
  • Polishing composition 91 " has a bimodal distribution with a first peak at 75 nm and a second peak at 1 1 nm.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

A chemical mechanical polishing composition includes a water based liquid carrier and first and second silica abrasives dispersed in the liquid carrier. The first silica abrasive is a colloidal silica abrasive having a permanent positive charge of at least 10 mV. The second silica abrasive has a neutral charge or a non-permanent positive charge. A method for chemical mechanical polishing a substrate including a tungsten layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.

Description

MIXED ABRASIVE TUNGSTEN CMP COMPOSITION
BACKGROUND OF THE INVENTION
[00011 Chemical mechanical polishing (CMP) compositions and methods for polishing (or planarizing) the surface of a substrate are well known in the art. Polishing compositions (also known as polishing slurries, CMP slurries, and CMP compositions) for polishing metal layers (such as tungsten) on a semiconductor substrate may include abrasive panicles suspended in an aqueous solution and chemical accelerators such as oxidizers, chelating agents, catalysts, and the like,
[0662] In a conventional CMP operation, the substrate (wafer) to be polished is mounted on a carrier {polishing head) which is in turn mounted on a carrier assembly and positioned in. contact with a pohshing pad in a CMP apparatus (polishing tool). The carrier assembly provides a. controllable pressure to the substrate, pressing the substrate against the polish ing pad. The substrate and pad are moved relative to one another by an external driving force. The relative motion of the substrate and pad abrades and removes a portion of the material from the surface of the substrate, thereb pohshing the substrate. The polishing of the substrate by the relative movement of the pad and the substrate may be further aided by tire chemical activity of the polishing composition (e.g., by an oxidizing agent and other chemical components present in the CMP composition) and or the mechanical activity of an abrasive suspended in the polishing composition.
[ΘΘ03] In typical tungsten plug and interconnect processes, tungsten, is deposited over a dielectric and within openings formed therein. The excess tungsten, over the dielectric layer is then removed during a CMP operation to form tungsten plugs and interconnects within the dielectric. As semiconductor device feature sizes continue to shrink, meeting local and global planarity requirements has become more difficult in CMP operations (e.g., in tungsten. CMP operations). Army erosion (also referred to as oxide erosion), plug and line recessing, and tungsten etching defects are known to compromise planarity and overall device integrity. For example, excessive array erosion ma lead to difficulties in subsequent lithography steps as well as cause electrical contact problems that can degrade electrical performance.
|0604] The semiconductor industry is also subject to continuing downward pricing pressure. In order to maintain an economically favorable CMP process, high throughput is commonly required thereby necessitating a high tungsten removal rate (as well as high removal rates of any barrier/binder layers). The downward pricing pressure also extends to the CMP consumables themselves (e.g., the slurries and pads). Such pricing pressure poses a challenge to the slurry fbrmuktor as the pressure to reduce costs often conflicts with desired slurry performance metrics. There is a real need in the industry for a tungsten CMP slurry (composition) that provides improved platianty at high throughput and reduced costs.
BRIEF SUMMARY OF THE INVENTION
[0005} A chemical, mechanical polishing composition for polishing a subsirate having a tungsten layer is disclosed. The polishing composition includes a water based liquid carrier and first and second silica abrasi ves dispersed m the liquid earner. The first silica abrasive is a colloidai silica abrasive having a permanent positive charge of at least 10 mV. The second silica abrasive has a neutral charge or a non-perraauent positive charge. In one embodiment the polishing composition has an acid pH and further includes an iron containing accelerator. A method for chemical mechanical polishing a subsirate including a tungsten layer is further disclosed. The method may include contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0006] For a more complete understanding of the disclosed subject matter, and advantages thereof, reierence is now made to the following descriptions taken in conjunction with the accompanying PIG. .1 which depicts particle size distributions in a plot of height (hg/g) versus particle diameter (nm) for polishing compositions 9 A. B, 9C, 9D, and.9E of Example 9.
DETAILED DESCRIPTIO OF THE INVENTION
[0007] In one embodiment, a chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier and first and second silica abrasives dispersed i the liquid carrier. The first silica abrasive is a colloidal silica abrasi ve having a permanent positi ve charge of at least 10 mV. The second silica abrasive has a neutral charge or a non-permanent, positive charge. The second silica abrasi e may include substantially any suitable silica abrasive, lor example, including a fumed silica abrasive and/or a colloidal silica abrasi e. The polishing composition may further optionally include an iron containing accelerator, such as an iron containing catalyst, a stabilizer bound to the iron containing accelerator, a hydrogen, peroxide oxidizer, and/or a pH In a range from 1.5 to 5.0 The first silica abrasive may also be treated with an amiaosilaae compound. }f)008j T¾e first silica abrasive includes colloidal silica particles. As used herein the term colloidal silica particles refers to silica particles that are prepared via a wet. process rather than a pyrogenic or flame hydrolysis process which produces structurally different particles. The colloidal silica particles may be aggregated or now-aggregated. Non-aggregated particles are individually discrete particles that may be spherical or nearly spherical in shape, but. can have other shapes as well (such as generally elliptical, square, or rectangular cross-sections). Aggregated, particles are particles in which multiple discrete particles are clustered or bonded, together to form aggregates having generally irregular shapes.
[0009] Preferably, the colloidal silica is precipitated or condensation-polymerized silica, which may be prepared using any method known to those of ordinary skill in the art, such as by the sol gel method or by silicate ion-exchange. Condensation-polymerized silica particles are often prepared by condensing Si(GH).-j to form substantially spherical particles. The precursor Si(OH) may be obtained, for example, by hydrolysis of high, purity alkoxysilanes, or by acidification of aqueous silicate solutions. Such abrasive particles may be prepared, for example, in accordance with U.S. Pat. No. 5,230,833 or may be obtained as any of various commercially available products such as the BINDZ1L 50/80, 30/310, and 40/130 products from E A Chemicals, the Euso PL- 1 , PL-2, PL-3, and PL-3H products, and the Nalco 1 034A, 1050, 2327, and 2329 products, as well as other similar products available from DuPont, Bayer, Applied Research, Nissan Chemical (the SNOWTEX products), and Clariant. jOeiO] The second, silica abrasive may include substantially any suitable silica abrasive particles, for example, including the aforementioned colloidal silica and pyrogenic (fumed) silica. Pyrogenic silica is produced via a flame hydrolysis process in which suitable feedstock vapor (such as silicon terra-chloride) is combusted in a flame of hydrogen and oxygen. Molten particles of roughly spherical shapes are formed in the combustion process, the diameters of which may be varied via process parameters. These molten spheres, commonly referred to as primary particles, fuse with one another by undergoing collisions at their contact points to form branched, three dimensional chain-like aggregates. Fumed silica abrasives are commercially available from a number of suppliers including, for example, Cabot Corporation, Evonic, and Wacker Chemie.
Θ011] The particle size of a particle suspended in a dispersion may be defined in the industry using various means. In the embodiments disclosed herein, the particle size is defined as measured by the CPS Disc Centrifuge, Model DC24000H (available from CPS Instruments, Prairieville, Louisiana). The abrasive particles may have any suitable particle size. The abrasive particles may have an average particle size of 10 am or more (e.g., 20 nm or more, 40 nm or more, 50 nm or more, 80 nm or more, or 00 nm or more). The abrasive particles may have an average particle size of 200 nm or less (e.g., 180 nm or less, 150 nm or less, 130 run or less, 1 10 nm or less, or 80 nm or less). Accordingly, the abrasive particles may have an average particle size in a range from 1.0 am to 200 ran (e.g., from 20 nm to 180 nm. or from 50 to 1.30).
J0012 The first silica abrasive has an average particle size that differs from as average particle size of the second silica abrasive. For example, the average particle size of the first silica abrasive may less than that of the second silica abrasive. Alternatively, the average particle size of the first silica abrasive may be greater than that of the second silica abrasive. The first silica abrasive may have an average particle size that differs from the average particle size of the second silica abrasive by at least 20 nm (e.g., at least 30 nm). The average particle size of the second silica abrasive is preferably at least 20 nm (e.g., at least 30 am) greater than that of the first silica abrasi ve.
10013] The average particle size of the first silica abrasive may be 10 run or more (e.g., 30 nm or more, 40 nm or more, or 50 nm or more). The average parti cle size of the first silica abrasive may be 130 nm or less (e.g., 1 10 nm or less, 100 ran or less, or 90 nm or less). Accordingly, the average particle size of the first silica abrasive may be in a range from .10 nm to 130 nm (e.g., from 20 nm. to 1 1 nm, from 40 to 100 nm, or from. 50 to 90 nm). The average particle size of the second silica abrasive may be 80 nm or more (e.g., 90 am or more, 100 nm or more, or .1 .10 nm or more). The average particle size of the second silica, abrasive may be 200 nm or less (e.g., 180 nm or less, 170 nm or less, or 160 nm or less). Accordingly, the average particle size of the second silica abrasive may be in a range from 80 nm to 200 nm (e.g., from 90 nm to I SO am, or from i O to 160 nm).
[Θ014] The first and second silica abrasives may include a partially aggregated colloidal silica. By partially aggregated it is meant thai 50 percent, or more of the colloidal silica abrasive particles Include two or more aggregated primary particles (e.g., two, three, four, or more aggregated primary particles). Thus in. an embodiment in which each, of the first and second silica abrasives include partiall aggregated colloidal silica, 50 percent or more of the colloidal silica abrasive particles in the first silica abrasive include two o more aggregated primary particles and 50 percent or more of the colloidal silica abrasive particles in the second silica abrasive include two or more aggregated primary particles. For example, a polishing composition may include a first silica abrasive in which 50 percent or more of the abrasive particles include two aggregated primary particles and a second silica abrasive in which 50 percent or more of the abrasive particles include two aggregated primary particles.
[0015) A partially aggregated dispersion in which 50 perceni or more of the colloidal silica abrasive particles include two or more aggregated primary particles may be prepared, for example, using a multi-step process in which primary particles are first, grown in solution, for example as described in the '833 patent The pH of the solution may then be adjusted to an acidic value for a predetermined time period to promote aggregation (or partial aggregation). An optional final step may allow for further growth of the aggregates (and any remaining primary particles).
[0016) In embodiments in which the first and second silica abrasives both have a permanent positive charge, disclosed polishing compositions may include a mixed abrasive or a single abrasive having a bimodai distribution. By mixed abrasive it is meant that distinct first and second dispersions are mi ed together after the abrasive particles have been fully grown. A single abrasive having a bimodai distribution is a dispersion in which the colloidal silica particles have been processed so as to grow abrasive particles having a bimodai distribution.
[0017) The polishing composition may include any suitable amount of silica abrasi ve particles. The polishing composition may include 0,01 weight percent or more of each of the first silic abrasive and the second silica abrasive (e.g., 0.02 weight percent or more, about .05 weight percent or more, or 0.1 weight percent or more). The polishing composition may include 1 weight perceni or less of each of the first silica abrasive and the second silica abrasive (e.g... 0.8 weight percent or less, 0.6 weight percent or less, or 0.4 weight percent or less). Accordingly, the amount of each of the first silica abrasive and the second silica abrasive may be in a range from 0.01 weight, percent to 1 weight percent (e.g., from 0.02 weight percent to 0.8 weight percent, from 0.05 weight percent to 0.6 weight percent, or from 0.1 weight percent to 0.04 weight percent). The total amount of silica abrasive (the sum of the amount of the first silica abrasive and the amount of the second silica abrasive) may be less than 2.0 weight percent (e.g., less than 1.5 weight percent, or less than L0 weight percent, or even less than 0.5 weight percent).
[0018] The liquid carrier is used to facilitate the application of the abrasive and any optional chemical additives to the surface of a suitable substrate to be polished (e.g., planarized). The liquid carrier may be any suitable carrier (e.g., a solvent) including lower alcohols (e.g., methanol ethanoi, etc.), ethers (e.g., dioxane, teirahydroforan, etc.), water, and mixtures thereof. Preferably, the liquid carrier comprises, consists essentially of, or consists of water, more preferably deionized water,
[0019) The first silica abrasive includes colloidal silica particles having a positive charge of at least 10 raV in the polishing composition. The charge on dispersed paiticies suc as colloidal silica particles is commonly referred to in the art as Che .eta potential (or the electrokiuetic potential). The zeia potential of a particle refers to the electrical potential difference between the electrical charge of the ions surrounding the particle and the electrical charge of the bulk solution of the -polishing composition (e.g., the liquid carrier and any other components dissolved therein). The zeia potential is typically dependent on the p.H of the aqueous medium. For a given polishing composition, the isoelectric point of the particles is defined as the pH at hich the .eta potential is zero. As the pH is increased or decreased away from the isoelectric point, the surface charge (and hence the /eta potential) is
correspondingly decreased or increased (to negative or positive zeta potential values). The zeta potential of a dispersion such as a polishing composition may be obtained using commercially available instrumentation such as the DT-1202, an electro-acoustic
spectrometer available from Dispersion Technologies, inc.
10020) The colloidal silica particles of the first silica abrasive in the polishing composition have a permanent positive charge of 10 mV or more (e.g., 15 roV or more or 20 mV or more). The colloidal silica particles of the first silica abrasive in the polishing composition may have a. permanent positive charge of 50 niV or less (e.g., 45 mV or less, 40 raV or less, or 35 mV or less). Preferably, colloidal silica particles of the first silica abrasive have a permanent positive charge in a range from 10 rnV to 50 mV (e.g., from 15 mV to 40 mV)
[0021) By permanent positive charge it is meant that the positive charge on the silica, particles is not readily reversible, for example, via flushing, dilution, filtration, and the like. A permanent positive charge may be the result, for example, of covalently bonding a cationic species with the colloidal silica. A permanent positive charge is in contrast to a reversible positive charge (a non-permanent positive charge) that may be the result, for example, of an electrostatic interaction between a cationic species and the colloidal silica.
[0022] Notwithstanding, as used herein, a permanent positive charge of at least 10 mV means that the zei potential of the silica particles remains above 10 mV a fter the following three step ultrafiltration test. A portion of a polishing composition (e.g., initially a 200 ml sample) is passed through a iiiipore UUracell regenerated cellulose ultrafiltration disk (e.g., having a MW cutoff of 100,000 Dal tons and a pore size of 6.3 mtt). The remaining dispersion (the approximately 65 mi of dispersion that is retained by the ultrafiltration disk) is collected and replenished to the original volume with pH adjusted deionixed water. The deioaized water is pH adjusted to the original pH of the polishing composition using a suitable inorganic acid or base such as nitric acid or potassium hydroxide. This procedure is repeated for a total of three ultrafiltration cycles (each of which includes an ultrafiltration step and a replenishing step). The zeta-poteniiai of the triply ultra-filtered and replenished polishing composition is then measured and compared with the zeta potential of the original poh.shing composition. This three ste ultrafiltration test is further illustrated below by way of example (in Example 8).
10023} While not wishing to be bound by theory, it is believed that the dispersion retained by the ultrafiltration disk (the retained dispersion) includes the silica particles and any chemical components (e.g., cationic species) that may be associated with, the surface of the particles (e.g., bonded to, attached to, electrostatically interacting with, or in contact with the particle surface). At least a portion of the liquid carrier and the chemical components dissolved therein pass through the ultrafiltration disk. Replenishing the retained dispersion to the original volume is believed to upset the equilibrium in the original polishing composition such that the chemical components associated with the particle surface may tend towards a new equilibrium. Components that are strongly associated (e.g., covalentSy bonded) with the particle sur face remain on the surface such that there tends to be little i f any change i n. the positive zeta potential of the particle, in contrast, a portion, of components that have a weaker association (e.g., an electrostatic interaction) with the particle surface may return to the solution as the system tends towards the new equilibrium thereby resulting in a reduction in the positi ve zeta potential. Repeating this process for a total of three ultrafiltration and replenishing cycles is believed to amplif the above described effect.
[0024] It is preferred that after correcting for ionic strength differences there is little (or no) difference between the zeta potential of the silica particles in the original polishing composition and the silica particles in the triply ultra-filtered and replenished polishing, composition obtained from the above described three step ul rafiltrati n test. It will be understood that prior to correcting for ionic strength differences, the measured zeta potential may be observed to increase due to the reduced ionic strength of the triply ultra-filtered and replenished polishing composition. After correcting for ionic strength differences, it is preferred that any reduction, in. the positive charge (reduction in the positive zeta potential) on the particles resulting from the aforementioned three step ultrafiltration test is less than 10 mV (e.g., less than 7 mV, less than 5 mV, or even less than 2mV).
[0025) Silica abrasive particles containing an aminosilane compound may have a permanent positive charge. Thus a permanent positive charge may be achieved, for example, via treating the particles with at least one aminosilane compound. Such compounds include primary aminosilanes, secondary aminosilanes, tertiary aminosilanes, quaternary
aminosilanes, and multi-podai (e.g.. dipodal) aminosilanes. The aminosilane compound may include substantially any suitable aminosilane,, for example, a propyl group containing aminosilane or an aminosilane compound including a propyl amine. Examples of suitable aminosilanes may mclude bis(2-hydroxyeAyl)-3-aittinopropyl irialkoxysilane,
diethylaminomelhyltrialkoxysilane, (N,N~diethyl-3-aminopropyl)trialkoxysiiane), 3-fN- styrylrae yl-2-aminoethylaminopropyl irialkoxysilane. aminoprop l irialkoxysilane, (2-N- ben¾ylaminoethyl)-3-aminopropyl irialkoxysilane)., trialkoxysilyl propy 1~N ,Ν,Ν-triraethyl ammonium chloride, N -f trialkoxysilyiethy benzyl-N. N-a-imethyl ammonium chloride, (bis(memyldialkoxysilylpropyl)-N-methyl amine, bis(triaikoxysilyipropyS)urea, bis(3- (trialkoxysilyl)propyl)-ethylenediamine, bis(trialkoxysilylpropyl)amine,
bis(trialkoxysilylpropyl)amine, and mixtures thereof.
[Θ026| Any suitable method of treating the silica particles, many of which are known to those of ordinary skill in the art, may be used. For example, the silica particles may be treated with the aminosilane compound before mixing with the other components of the polishing composition or the aminosilane and the colloidal silica particles may be added simultaneously to the other components of the polishing composition.
f0O27J The aminosilane compound may be present in. the polishing composition in any suitable amount. The amount of aminosilane utilized may depend on several factors, for example, including the particle size, the surface area of the particle, the particular aminosilane compound used, and the desired charge on the particle. In general the amount of aminosilane used increases with decreasing particle size (and therefore increasing surface area) and increasing charge on the particle. For example, to achieve a permanent positive charge of 25 taV or more, 20 ppm or more of aminosilane may be used for a dispersion having a particle size of 1 10 nm, 70 ppm or more of aminosilane may be used for dispersion having a particle size of 75 nm, and 130 ppm or more of aminosilane may be used, for a dispersion having a particle size of 55 nm. Thus the polishing composition may include 5 ppm or more (e.g., 1 ppm or more, 15 ppm or more, or 20 ppm or more) of the aminosilane compound The polishing composition, preferably includes n amount of aminosilane sufficient to provide the desired permanent positive charge withou using an excess. Thus the polishing composition may include 500 ppm or less (e.g., 300 ppm or Jess, or 200 ppm or less, or 150 ppm or less) of the aminosilane compound. Preferably, the polishing composition includes a range from 5 ppm to 500 ppm (e.g.. from 10 ppm to 300 ppm. from 15 p m to 200 ppm, or from 20 ppm to 150 ppm) of the aminosilane compound. )6628 Polymer amines may be used to impart a permanent positive charge (or a semipermanent positive charge that requires more than three ultra-filtration cycles to reverse) to certain silica abrasive particles. While such polymer amines may provide a permanent (or semi -permanent) positive charge to the silica particles, the presence of certain polymer amines may have a negative effect on tungsten removal rates in some CMP compositions and CMP operations. Therefore, it may be preferable in certain embodiments that the polishing composition be substantially free of polymer amines (and that the permanent positive charge he imparted via other means).
|0629] In certain disclosed embodiments, the second silica abrasive may have a neutral charge or a non-permanent positive charge. By neutral charge it is meant that the zeta potential of the silica abrasive particles is near zero, for example, in a range from about -5 to 5 V, Silica abrasive particles having a neutral charge are generally untreated. A silica abrasive having a non-permanent positive charge is one in which the abrasive particles have a reversible (or partially reversible) positive charge of greater than 5 mV (e.g. via flushing, dilution, filtration, and the like). The above described ultra- filtration test may be used to discriminate between silica abrasive particles having permanent and non-permanent positive charges. For example, silica abrasive particles having a non-permanent positive charge are particles in which the positive charge is reduced below some threshold (e.g. , 10 mV) after ultra-fiftraiion and replenishing. Likewise, silica abrasive particles having a non-permanent positi ve charge are particles in which the positive charge may decrease after correcting for ionic strength differences (e.g., by more than 5 mV, or by more than 0 mV). This
distinction between a permanent and non-permanent positive charge is further illustrated in Example S.
[0636] Silica abrasive particles in contact with a cation containing component (i.e., a positively charged species) may have a non-permanent positive charge. Thus a non- permanent positive charge may be achieved, for example, via treating the particles with at least one cation containing component. The treatment component may be selected, for example, from ammonium sails (preferably quaternary amine compounds), phosphonium salts, sulfonium salts, imidaxolium. salts, and pyridinium salts. Ammonium salts may include R{R?" *R4N*X"; phosphonium salts may include R'R"R-<R4P"X*; and sulfonium salts may include R'R'R'VX', where Rs, R2, R\ and R4 represent independently a C C alkyl, a C7- Cu ary!alkyl, or a ( Cus ary!. These groups cars, of course, be further substituted with one or more hydroxy 1 groups. The anion X" can be an suitable anion that preferably does not react with other components of the polishing composition. Non-limiting examples of suitable anions include hydroxide, chloride, bromide,, fluoride, nitrate, sulfate, hydrogen sulfate, methanesulfonate, raethylsaifate (i.e., t¾OS(V)> and the like. The cationic component in an ammonium salt may include, for example, tetrametbyiammonium, tetraethylammonium, .etrapropylammanium. tettabuty (ammonium, teirapentylammonium,
ethyltrimethylanimoniam, and dteihyldimeihylamraoniam. The cationic component in a phosphonium salt may include, for example, tetramethylphosphoninm,
tetraethylphosphomum, tetrapropylphosphomura, tetrabutylphosphoninro,
tetraphenylphosphoniu , meihyltriphenylphosphoninm, eihyHriphenylphosphonium, butyltriphenylphosphonium, benzyltriphenyiphosplionitmi, dimethyldiphenylphosphontum, hydroxyntethyltripbenylphosphoniuni., and bydroxyeihyltriphenylphosphonium. The cationic component in a sulfonium salt may include, for example, trimethylsulfonium and
triethylsulfonium. The cationic component in an i ida/oliurn salt may include, for example, l -ethyl-3-meihylimida2ol m, |~buty1-3«methylimida¾oHum, l~ben¾yl-3-methylimidazolHim, l-hexyl-3-ffiethyliroidazoliuttt, and l-ethyl-2,3-dimethylimidazoltum. The cationic component in a pyridinium salt may include, for example, 1 -methyipyridinium.
(0031 J The polishing composition is generally acidic having a pH of less than 7. The polishing composition typically has a pH of I or more (e.g., 1.5 or more, or 2 or more).
Preferably, the polishing composition has a pH of 6 or less (e.g., 5 or less, or 4 or less). More preferably, the polishing composition has a H in a range from 1. to (e.g., from 1.5 to 5, or from 2 to 4, or from 2 to 3.5). The pH of the polishing composition ma be achieved and or maintained by any suitable means. The polishing composition may include substantially any- suitable pB adjusting agents or buffering systems. For example, suitable pH adjusting agents may include nitric acid, sulfuric acid, phosphoric acid, phthalic acid, citric acid, adipic acid, oxalic acid, malonic acid, roaleic acid, ammonium hydroxide, and the like while suitable buffering agents may include phosphates, sulfates, acetates, maionates, oxalates, borates, ammonium salts, and the like. [0032] Optional embodiments of the polishing composition may further include an iron containing accelerator. An iron containing accelerator as used herein is an iron containing chemical component that increases the removal rate of tungsten during a tungsten CMP operation. For example, the iron containing accelerator may include an iron containing catalyst such as is disclosed in U.S. Patents 5,958,288 and 5,980,775. Such an iron containing catalyst may be soluble in the liquid carrier and may include, for example, ferric (iron Hi) or ferrous (iron II) compounds such as iron nitrate, iron sulfate, iron haiides, including fluorides, chlorides,, bromides, and iodides, as well as perchlorates, perbromates and periodates, and organic iron compounds such as iron acetates, acetyiacetonaies, citrates, gluconates, malonates, oxalates, phthalates, and succinates, and mixtures thereof,
[0033) An iron containing accelerator may also include an iron containing activator (e.g., a free radical, producing compound) or an iron containing catalyst associated with (e.g., coated or 'bonded to) the surface of the colloidal silica particle such as is disclosed in U.S. Patents 7,029,508 and 7,077,880. For example, the iron containing accelerator may be bonded with the silanol groups on the surface of the colloidal surface particle, in one embodiment the iron containing accelerator may include a boron containing stabilizer and an iron containing catalyst. In such embodiments the stabilizer and catalyst may occupy substantially any percentage of the available surface sites on the colioidai silica particles, for example, greater than i%, greater than 50%, or greater than 80% of the available surface sites,
jO03 j The amount of iron containing accelerator in the polishing composition may be varied depending upon the oxidizing agent used and the chemical form of the accelerator. When the preferred oxidizing agent hydrogen peroxide (or its analogs) is used and a soluble iron containing catalyst is used (such as ferric nitrate), the catalyst may be present in the composition in an amount sufficient to provide a range from 1 to 3000 ppm Fe based on the total weight of the composition. The polishing composition preferably includes 1. ppm Fe or more (e.g., 5 ppm or more, 10 ppm or more, or 20 ppm or more). The polishing composition preferably mcludes 500 ppm Fe or less (e.g., 200 ppm. or less, 100 ppm or less, or 50 ppm or less). The polishing composition may thus include a range from 1 to 500 ppm Fe {e.g., from 3 to 200 ppm, from 5 to 100 ppm, or from 1 to 50 ppm).
[0035) Embodiments of the polishing composition including an iron containing accelerator may further include a stabilizer. Without such a stabilizer, the iron containing accelerator and the oxidizing agent may react in a manner that degrades the oxidizing agen rapidiy over time. The addition of a stabilizer tends to reduce the effectiveness of the iron containing accelerator such that the choice of the type and amount of stabilizer added to the polishing composition ma have a significant impact on CMP perfoniian.ee. The addition of a stabilizer may lead to the formation of a stabilizer/accelerator complex that inhibits the accelerator from reacting with the oxidizing agent while at the same time allowing the accelerator to remain sufficiently active so as to promote rapid tungsten polishing rates. |0036 Useful stabilizers include phosphoric acid, organic acids, phosphonale
compounds, nitrites, and other iigands which bind to the metal and reduce its reactivity toward hydrogen peroxide decomposition and mix lure thereof. The acid stabilizers may be used in their conjugate form, e.g., the earboxylate can be used instead of the carboxylic acid. For purposes of this application the term "acid" as it is used to describe useful stabilizers aiso means the conjugate base (or bases) of the acid stabilizer. For example the term "adipic acid" means adipic acid and its conjugate bases. Stabilizers can be used alone or in combination and significantly decrease the rate at which oxidizing agents such as hydrogen peroxide decomposes.
[0037] Preferred stabilizers include acetic acirl, phosphoric acid, phthalie acid, citric acid, adipic acid, oxalic acid, malonic acid, aspartic acid, succinic acid, grataric acid, pimelic acid, suberic acid, azeiaic acid, sebacic acid, roaleic acid, glutaconic acicl, muconic acid, ethylenediaminetetraacetic acid (EDTA), propylenediaminetetraacetic acid (PDTA), and mixtures thereof. The preferred stabilizers may be added to the compositions and slurries of this invention in an amount ranging from 1 equivalent per iron containing accelerator to 3.0 weight percent or more. As used herein, the term "equivalent per iron containing accelerator" .means one molecule of stabilizer per iron species in the composition. For example, 2 equivalents per iron containing accelerator means two molecules of stabilizer for each iron species,
[0038} The polishing composition may further include an oxidizing agent. The oxidizing agent may be added to the polishing composition during the slurry manufacturing process or just prior to the CMP operation (e.g., in a tank located at the semiconductor fabrication facility). Preferable oxidizing agents include inorganic or organic per-compounds. A per- compound as defined by Hawley's Condensed Chemical Dictionary is a compound, containing at least one peroxy group (~0» 0-) or a compound, containing an element in its highest oxidation state. Examples of compounds containing at least one perox group include but are not limited to hydrogen peroxide and its adduets such as urea hydrogen peroxide and percarbonates, organic peroxides such as benzoyl peroxide, peraceiic acid, and dl-t-buiyi peroxide, mouopersulfates (SO; ), dipersnlfates (S^Os '), and sodium peroxide. Examples of compounds containing an. element in its highest oxidation state include but are not limited to periodic acid, periodate salts, perbrornic acid, perbromate salts, perchloric acid, perchlorate salts, perboric acid, and perborate salts and permanganates. The most preferred oxidizing agents is hydrogen peroxide,
\ϋ&39] The oxidizing agent may be present in the polishing composition in an 'amount ranging, for example, from it I to 10 weight percent. In preferred embodiments in which a hydrogen peroxide oxidizer and a soluble iron containing accelerator are used, the oxidizer may be present in the polishing composition in an amount ranging from 0.1 to 6 weight percent (e.g., from 0.2 to 5 weight percent, from 0.5 to 4 weight percent, or from 1 to 3 weight percent).
}OO40] The polishing composition may optionally further include a compound that inhibits tungsten etching. Suitable inhibitor compounds inhibit the conversion of solid tungsten into soluble tungsten compounds while at the same time allowing for effective removal of solid tungsten via the CMP operation. Classes of compounds that that are useful inhibitors of tungsten etching include compounds having nitrogen containing functional groups such as nitrogen containing helerocycles, alky I ammonium ions, amino alkyls, and amino acids. Useful amino alkyl corrosion inhibitors include, for example, hexyl amine, tetramethyl-p-phenylene diamine, octylamine, diethylene triamine, dibuty! benzylamme, aminopropylsilanol, aminopropyisiloxane, dodecylamine, mixtures thereof and synthetic and naturally occurring amino acids including, for example, lysine., tyrosine,, glutamine, glutamic acid, cysteine, and glycine (aniinoacetic acid).
[0041) The inhibitor compound may alternatively and/or additionally include an. amine compound in solution in the liquid carrier. The amine compound (or compounds) may include a primary amine, a secondary amine, a tertiary amine, or a quaternary amine. The amine compound may further include a monoamine, a diamine, a triamine, a tetramine. or an amine based polymer having a large number of repeating amine groups (e.g., 4 or more amine groups).
[0042] In certain embodiments of the polishing compound the amine compound may include a long chain alkyl group. By long chain alkyl group it is meant that the amine compound includes an alky! group having at least 10 carbon atoms (e.g., at least 12 carbon atoms or at least ! 4 carbon atoms). Such amine compounds may include, for example, dodecyi amine, teiradecylamine, hexadecylauune, octadeeylamine, oleylarai&e, - raeihyidioctylaniine, N-methyloctadeeyianime, cocamidopropyiamme oxide,
ben^ldimethylhexadecylaramoai ni chloride, benzaikonluni chloride,
cocoaikylmelhyl[polyoxyelhylene (15» ammoniuia chloride,
octadecylnMithylj'polyoxyethylene (15)] aimnonium chloride, celyltrimethylai uouiuiu bromide, and the like.
10043 In certain embodiments of the polishing composition the amine compound may inc ude a polycationic amine. A polycationic amine (as the term is used herein) is an amine compound having multiple (two or more) amine groups in which each of the amine groups is calionic (i.e., has a positive charge). Thus the polycaiionic amine may include a
polycjuaiernary amine. By polyquatemaiy amine it is meant that the amine compound includes from 2 to 4 qua.ler.nary ammonium groups such that the polyquatemaiy amine is a diquaternary amine, a triqua ternary amine, or a teiraquaternary amine compound.
Diquaternary amine compounds may include, for example,
memyienebis(dimethyiteiradeclarnmoriium bromide), 1 , 1 ,4,4-ietrabuty !piperaziiiediiam dibroniide, N^.N'. '^'-pentamethyl-N-tailow-i^-propane-diammonium dichloride, Ν,Ν'- hexameUiyJeaebis(tribuiyIanmontum hydroxide), deca ethomtvm bromide, didodeeyl- tetramethyl- 1 ,4-butaaediammium diiodide, 1 ,5-dimeihyi- 1 ,5-diazomabicycio(3.2.2)nonane dib.ro.mide, and the like. Tri quaternary amine compounds may include, for example, N( 1 ),N(6)-didoecyl-N( 1 ),.N( .1 ), (6), (6)-tet.raniet1iyl~l ,6-hexanediamiomra diiodide.
Tetraquateraary amine compounds may include, for example,
medianeteii¾yitetrakis(tetraiTiethyiaittmonmm bromide). The poiyquatemary amine compound may further include a long chai alky! group (e.g., h ving 10 or more carbon atoms). For example, a poly quaternary amine compound having a long chain alk l group may include Ν,Ν'-methylenebis (dimethyiietradeciammoniuin bromide), Ν,Ν,Ν',Ν',Ν'- pentamethyl-N-taUow- 1 ,3-propane-diammonium. dichloride, didodecyl-tetraraeihyM ,4- butanediammium diiodide,
Figure imgf000016_0001
hexanediaminium diiodide.
[0044] A poi ycationic amine may also be polycationic in that each of ihe amine groups is protonated (and therefore has a positive charge). For example, a dicationic amine such as tetramethyl-p-phenylenediamine includes two tertiary amine groups that may be protonated (and therefore positively charged) at polishing composition H values less than the pKa o the amine compound. [0045] In certain embodiments of the polishing composition the amine compound m y include an amine based polymer. Such a polymer includes four or more amine groups. The amine based polymer may include, for example, triethylenetetramine,
tetraethylenepentamine, pentaelh lenehexarnine, and polymers including the following amine containing functional groups methacryloyiox-ethyl irimethyl ammonium methyisulfale, cliailyl dimethyl ammonium chloride, and mefhacrylamido-propyl irimethyl ammonium chloride.
[6046] The polishing composition may inelnde substantially any suitable concentration of the inhibitor compound. In general the concentration is desirably high enough to provide adequate etch inhibition, but low enough so that the compound is .soluble and so as not to reduce tungsten polishing rates below acceptable levels. By soluble it is meant that the compound is fully dissolved in the liquid carrier or that it forms micelles in the liquid carrier or Is carried in micelles. It may be necessary to van,' the concentration of the inhibitor compound depending upon numerous various factors, for example, including the solubility thereof; the number of amine groups therein, the length of an alkyl group, the relationship between etch rate inhibition and polishing rate inhibition, the oxidizing agent used, the concentration of the oxidizing agent, and so on. In certain desirable embodiments, the concentration of an amine compound in the polishing composi tion may he in a range from 0.1 μΜ to 10 uiM (i.e., from .10"' to 1 "~ molar). For example, in embodiments utilizing an amine based polymer having a high molecular weight, the concentration may be on the Sower end of the range (e.g., from 10"' to 10"* molar). In other embodiments utilizing a
comparatively simple amine compound (having fewer amine groups and a lower molecular weight), the concentration may be on the higher end of the range (e.g., from 10"* to Y molar).
[Θ047] The polishing composition may optionally further include a biocide. The biocide may include any suitable biocide, for example an isothiazoiinone biocide. The amount of biocide in the polishing composition typicall is in a range from I ppm to 50 ppm. and preferabl from i ppro to 20 ppm.
[0048] The polishing composition may be prepared using any suitable techniques, many of which are known to those skilled in the art. The polishing composition may be prepared in a hatch or continuous process. Generally, the polishing composition may he prepared by combining the components thereof in any order. The term "component" as used herein includes the individual ingredients (e.g., the colloidal silica, the iron containing accelerator, the amine compound, etc.)
[00 9) For example, a first silica abrasi e may be dispersed in an aqueous liquid carrier to obtain a first dispersion. The first dispersion may then be treated, for example, with an aminosilane compound so as to produce a colloidal silica abrasive having a permanent positive charge of at least 10 mV. A second silica abrasive may be dispersed in an aqueous liquid carrier to obtain a second dispersion. The second dispersion may then be treated, for example, with a quaternary amine compound so as to produce a silica abrasive having a non- permanent positive charge. The first and second treated dispersions may then be mixed together prior to adding ihe other components (such as an iron containing accelerator and a stabilizer). Alternatively, the other components may be added to one of the treated dispersions prior to mixing the first and second dispersions together. The first and second dispersions and the other components may be blended together using my suitable techniques for achieving adequate mixing. The oxidizing agent may be added at any time durin the preparation of the polishing composition. For example, the polishing composition may be prepared prior to use, with one or more components, such as the oxidizing agent, being added just prior to the CMP operation (e.g., within i minute, or within 10 minutes, or within 1 hour, or within 1 day, or within 1 week of the CMP operation). The polishing composition also may also be prepared by mixing the components at the surface of the substrate (e.g., on the polishing pad) during the CMP operation.
(0050] The polishing composition may be supplied as a one-package system comprising a first silica abrasive having a permanent positive charge of at least l OmV, a second silica abrasive having a neutral or non-permanent positive charge, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, a biocide, and the like. The oxidizing agent desirably is supplied separately from the other components of the polishing composition and is combined, e.g.. by the end-user, with the other components of the polishing composition shortly before use (e.g., 1 week or less prior to use, 1 day or less prior to use, I hour or less prior to use, 10 minutes or less prior to use, or 1 minute or less prior to use). Various other two-container, or three- or more-container, combinations of the components of the polishing composition are within the knowledge of one of ordinary skill in the art.
[00511 The polishing composition of the invention may also be provided as a concentrate which is intended to be diluted with an appropriate amoun of water prior to use. in such an embodiment, the polishing composition concentrate may include the first silica abrasive having a permanent positive charge of at least lOmV, the second silica abrasive having a neutral or non-permanent positive charge, water, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, and a biockle, with or without the oxidizing agent, in amounts such that, upon dilution of the concentrate with an appropriate amount of water, and the oxidizing agent if not already present in an appropriate amount, each component of the polishing composition will be present in the polishing composition in an amount within the appropriate range recited above for each component. For example, the first silica abrasive having a permanent positive charge of at least l OmV, the second silica abrasive having a neutral or non-permanent positive charge, and other optional components such as an iron containing accelerator, a stabilizer, a tungsten etching inhibitor, and a biockle, may each be present in the polishing composition in an amount: that is 2 times (e.g., 3 times, 4 times, 5 times, or even 10 times) greater than the concentration recited above for each component so that, when the concentrate is diluted with an equal volume of (e.g., 2 equal volumes of water, 3 equal volumes of water, 4 equal volumes of water, or even 9 equal volumes of water respectively), along with the oxidizing agent in a suitable amount, each component will be present in the polishing composition in an amount within the ranges set forth above for each component. Furthermore, as will be understood by those of ordinary skill in the art, the concentrate may contain an appropriate fraction of the water present in. the final polishing composition in. order to ensure that other components are at least partially or fully dissol ved in the concentrate.
J0052] Although the polishing composition of the invention may be used to polish any substrate, the polishing composition, is particularly useful in the polishing of a substrate comprising at least one metal including tungsten and. at least one dielectric material. The tungsten layer may be deposited over one or more barrier layers, for example, including titanium and/or titanium nitride (TiN). The dielectric layer may be a metal oxide such as a silicon oxide layer derived from tetraeth torthosi licate (TEOS), porous metal oxide, porous or non-porous carbon doped silicon oxide, fluorine-doped silicon oxide, glass, organic polymer, iluorinated organic polymer, or any other suitable high or low-k insulating layer.
[0053] The polishing method of the invention is particularly suited for use in conjunction with a chemical mechanical polishing (CMP) apparatus. Typically, the apparatus includes platen, which, when in use, is in motion and has a velocity that results from orbital, linear, or circular motion, a polishing pad in contact with the platen and moving with the platen when tn motion, and a carrier thai holds a substrate to be polished by contacting and moving relative to the surface of the polishing pad. The polishing of the substrate takes place by the substrate being placed in contact with the polishing pad and the polishing composition of the invention and then the polishing pad moving relative to the substrate, so as to abrade at least a portion of the substrate (such as tungsten, titanium, titanium, nitride, and/or a dielectric material as described herein) to polish the substrate.
(6654 A substrate can be planarized or polished with the chemical mechanical polishing composition with any suitable polishing pad (e.g., polishing surface). Suitable polishing pads include, for example, woven and non-woven polishing pads. Moreover, suitable polishing pads can comprise any suitable polymer of varying density, hardness, thickness, compressibility, ability to rebound upon compression, and compression modulus. Suitable polymers include, for example, polvvinylch!oride, polyvinylfluoride, nylon, fhiorocatbon, polycarbonate, polyester, polyacrylate, po'lyether, polyethylene, polyamide, polyurethane, polystyrene, polypropylene, coformed products thereof and mixtures thereof.
|06$5] The following examples further illustrate the invention but, of course, should not be construed as in any way limiting its scope.
EXAMPLE 1
0056) In the Examples disclosed herein, mixed silica abrasive polishing compositions were prepared using first and second silica abrasives selected from nine silica abrasive dispersions. Dispersions Al, Bl , CI, and. Dl included colloidal silica dispersions treated with an aminosilane (aminopropyl triaikoxysilane) such that the colloidal silica particles had a permanent positi ve charge of greater than 10 mV. Dispersions A2, B2,€2, and D2 included colloidal silica particles dispersed in an aqueous solution. The colloidal silica abrasives in Al , A2, B l , 82, C1 , C2, Dl , and D2 each contained a partially aggregated silica abrasi ve in which 50 percen t or more of the colloidal silica abrasive particle* include two aggregated primary particles. Dispersion F2 included, an untreated fumed silica having a surface area of 150 rafVg which was dispersed in an aqueous solution. Further details regarding these nine silica abrasive dispersions are presented in Table I . The primary particle sizes were estimated using conventional spherical extrapolation of BET surface area measurements. The aggregate particle sizes were obtained using a CPS Disc Centrifuge, Model DC24000HR. (available from CPS Instruments, 'PrairieviHe, 'Louisiana). TABLE I
Figure imgf000021_0001
EXAMPLE 2
(0057j The tungsten (W) polishing rate was evaluated is this example for various polishing compositions, each of which included first and second silica- abrasives selected from dispersions A l , Bl , CI , and D.l in Example 1. The first and second siiica abrasives in this example each included a colloidal siiica having a permanent positive charge of greater than 10 mV. This example demonstrates the effects of the relative amounts of the first and second silica abrasives and the particle sizes of the first and second siiica abrasives on the W polishing rate. Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate (Fe(NO.¾).i- H20) 0.04 weight percent malonic acid, and 2.4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra*) CMP tool (available from Applied Materials) and an iCl Oi polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpro, and a slurry flow rate of 150 ml/rain. The polishing time was two minutes. The weight percentages of the first and second silica abrasives and the corresponding W removal rates are shown on Tabic 2.
TABLE
Figure imgf000022_0001
[0058] As is apparent from the results se forth in Table 2, high, tungsten removal rates caii be achieved using a wide range of mixtures of silica abrasive particles having a permanent positive charge greater than. 10 mV. In particular, high W polishing rates may be achieved when there is at least a 20 ran difference i particle si/e between the first and second silica abrasives.
EXAMPLE 3
|0659] The tungsten (W) polishing rate was evaluated in this example for various polishing compositions, each of which included first and second silica abrasives selected from dispersions A 1. B 1, CI , and l in Example I . The first and. second Silica abrasives in this example each included a colloidal silica having a permanent positive charge of greater than 10 mV. This example also demonstrates the effects of the relative amounts of the first and second sil ica abrasives and the particle sizes of the first and second silica abrasives on. t h e W polishing rate. Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydraie, 0.04 weight percent maionie acid, and 2.4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a. W layer using a Mirra® CMP tool (available from Applied
Materials) and an ICI IO polishing pad at down-force of 2.5 psi, a platen, speed of 1 3 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 m /rnin. The polishing time in this example was one minute. The weight percentages of the fi rst and. second silica abrasives and. the corresponding W removal rates are shown on Table 3, Note that polishing composition 3A and 3B correspond with polishing compositions 2E and 2H in Example 2 with the only difference being in polishing time. TABLE 3
Figure imgf000023_0001
[0060] As is apparent from the results set forth in Table 3, high tungsten removal rates can be achieved using a wide range of mixtures of silica abrasive having a permanent positive charge greater than 1 rriV. The po ishing rates appear to be highest when the first silica abrasive has a particle size less than 60 nm when both particles have a permanent positive charge.
EXAMPLE 4
[0061] The tungsten (W) polishing rate was evaluated in this example for various polishing compositions, three of which included first and second silica abrasives selected from dispersions Bl and Dl in Example 1. This example demonstrates the effects of the relative amounts of the first and second silica abrasi es on the W polishing rate. Each of the polishing compositions had a pH of 2.5 and included 0.03 ! weight percent ferric nitrate nonahydrate, 0.04 weight percent malonic cid, 2500 ppra glycine, and 2,4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a MirraS CMP tool (available .from Applied Materials) and an IClOiO polishing pad. at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 ml/mm. The polishing time in this example was one minute. The weight percentages of the first and second silica abrasives and the
corresponding W removal rates are shown on Table 4, TABLE 4
Figure imgf000024_0001
[Θ062] As is apparent from the results set forth in Table 4 polishing composition 4C including a mixture of dispersions Bl and D I achieved a higher W polishing than polishing compositions 4A and 4B including dispersions Bl and D I alone.
EXAMPLE 5
[0063] The tungsten (W) polishing rate was evaluated in this example for various polishing compositions, four of whic included first and second silica abrasives selected from dispersions B l , B2„ and C I in Example 1 . This example demonstrates the effects of the relative amounts of the first and second siiica abrasives on the W polishing rate and the time to clear the wafer (the end point time). Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate. 0.04 weight percent nialomc acid, 2500 ppm glycine, and 2.4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mimtf; CMP tool, (available from Applied Materials) and an IC lO iO polishing pad at down-force of 2.5 psi, a plaien speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 m /min. The polishing time in this example was one minute. The weight percentages of ihe first and second silica abrasives and the corresponding W removal rates and wafer clear time are shown on Table 5.
TABLE 5
Figure imgf000024_0002
1006 ] As is apparent from the results set forth in Table 5, mixed abrasive polishing compositions 5B and 5C (including mixtures of dispersions 82 and C2) achieved higher W polishing rates than the control composition (5A), Moreover, mixed abrasive polishing compositions 5D and 5E (including mixtures of a colloidal silica having a permanent positive charge (Bl) and a colloidal silica having a non-permanent positive charge (C2)) achieved higher W polishing rates than any of the other compositions. Mixed abrasive polishing compositions 5D and 5E were also observed to clear the waver i less time than the other compositions indicating the potential for a higher throughput CMP process.
EXAMPLE 6
j006S j The tungsten (W) polishing rate was evaluated in this example for various polishing compositions, five of which included first and second silica abrasives selected from dispersions Bl, Ώ2, and Fl hi Example 1. This example demonstrates the effects of die relative amounts of the first, and second silica abrasives on the W polishing rate and the time to clear the wafer (the end point time). Each of the polishing compositions had a pH of 2.5 and included 0.031 weight percent ferric nitrate nonahydrate, 0.04 weight percent malonic acid, and 2.4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra® CMP tool (available from Applied Materials) and an JCIOIO polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm„ a head speed of 97 rpm, and a slurry flow rate of 150 ml/min. The polishing time in this example was two minutes. The weight, percentages of the first and second silica abrasives and the corresponding W removal rates are shown on Table 6.
TABLE 6
Figure imgf000025_0001
10066] As is apparent from the results set forth in Table 6. mixed abrasive polishing compositions c B, 6C, and 6D (including mixtures of dispersions Bl and F2) achieved higher W polishing rates and a shorter wafer clear time than the control composition 6A. Mixed abrasive polishing compositions 6£ and 6F (including mixtures of dispersions Bl and 02) also achieved higher W polishing rates and a shorted wafer clear time than the control composition 6A. [0067] T¾e tungsten (W) polishing rate was evaluated in this example for various polishing compositions, six of which included first and second silica abrasives selected from dispersions Bl, C I , and D2 in Example 1. This example demonstrates the effects of the relative amounts of the first and second siiica abrasives on the W polishing rate and the time to clear the wafer (the end point time). Each of the polishing compositions had a pH of 2.5 (adjusted using nitric acid) and included 0.031. weight percent ferric nitrate nonahydraie, 0.04 weight percent maionic acid, ! 00 ppm (etrabutylamnioniuni hydroxide (TBAH), and 2.4 weight percent hydrogen peroxide. The W polishing rates were obtained by polishing eight inch blanket wafers having a W layer using a Mirra® CMP tool, (available from Applied Materials) and an ICTOIO polishing pad at down-force of 2.5 psi, a platen speed of 103 rpm, a head speed of 97 rpm, and a slurry flow rate of 150 mi/rnin. The polishing time in this example was two minutes. The weight percentages of the first and second silica abrasives and. the corresponding W removal rates are shown on Table 7.
TABLE 7
Figure imgf000026_0001
(0068 As is apparent from the results set forth in Table 7, polishing compositions 7B and 7D (including mixtures of dispersions B 1 and D2 and CI and D2 ) achieved higher W polishing rates and shorter wafer clear times than the control composition 7 A despite ha ving just over half the solids loading. Polishing compositions 7E, ?F, and 7G (including mixtures of dispersions C'i and 02) achieved approximately equal W polishing rates and shorter wafer clear times than the control composition 7A despite having half or less the solids loading. Polishing composition ID (including a mixture of dispersions C i and Ό2) achieved, an approximately equal W polishing rate and wafer clear time as compared to the control composition 7 A despite having one quarter the solids loading (0.2 vs. 0.8 weight percent). EXAMPLE 8
[0069] Zeta potential measurements and conductivity measurements were obtained for various polishing composi tions before and after filtration, A 200 ml volume of each composition was filtered (concentrated) through a Millipore Ultraeell regenerated ceOulose uiti afiitration disk (having a MW cutoff of i 00.000 Daltons and a pore size of 6.3 nro). The remaining dispersion (the approximately 65 ml that was retained by the ultrafiltration disk) was collected and replenished to the original 200 mi volume using deionized water adjusted to pH 2.6 with nitric acid. This procedure was repeated for a total of three ultrafiltration cycles (eac of which includes an ultrafiltration step and a replenishing step). The zeta- potentials of the polishing compositions were measured before and after the ultrafiltration procedure (i.e., for the original polishing composition and die triply ultra-filtered and replenished polishing composition) using a Mode! DT 1202 Acoustic and Electro-acoustic spectrometer (available from Dispersion Technologies). The electrical conductivities of the polishing compositions were measured before and after the ultrafiltration procedure using a standard conductivity meter.
[0070] Table 8 shows the measured zeta potential and conductivity values for polishing compositions 8A, 8B, 8C, and 80 made from dispersions C I , C2, and D2 described in
Example I. Polishing composition 8A was prepared using dispersion CI by diluting with Dl water to a final colloidal silica concentration of 2 weight percent and adjusting the pH to 2.6 using nitric acid. Polishing composition SB was prepared using dispersion C2 by diluting with Dl water to a final silica concentration of 2 weight percent and adjusting the pH to 2.6 using nitric acid. The colloidal silica was treated using 0.01 weight percent TBAH to obtain particles having a non-permanent positive charge. Polishing composition SC was prepared using dispersion C2 by diluting wit Di water to a final silica concentration of 2 weigh percent and adjusting the pH to 2,3 using nitric acid. The colloidal silica particles remained untreated. Polishing composition 8D was prepared by diluting and. mixing dispersions C I and D2 such that the final mixture included 1 .2 weight percent of the colloidal silica particles from the C I dispersion and 0.6 weight percent of the colloidal silica particles from the D2 dispersion. The D2 dispersion was further ireated with 0.0 ! weight percent TBAH t obtain particles having a non-permanent positive charge. Polishing composition 8D further included 0,03.1 weight percent ferric nitrate nonahydrate and 0.04 weight percent rnatonic acid.
[0073 As described above, the zeta potential, and electrical conductivity of the original compositions were measured before and after the above described ultrafiltration procedure. Corrected .eta-potential values of the tripl ultra-filtered and replenished polishin composition (corrected for ionic strength differences as indicated by the conductivity change by the addiiion of one motar potassium chloride) are also shown, in this example, total solids loss was less than 5 percent and therefore a solids correction was not applied.
TABLE 8
Figure imgf000028_0001
{0072} As is apparent from the results set forth in Table 8, the zeta potential of polishing composition 8A was not changed, by filtration indicating that the colloidal silica had a permanent positive charge of 41 mV. The zeta potential of polishing composition SB decreased fxom 50 to 3 rnV indicating thai the positive charge on the colloidal silica was not permanent. The zeta potential of polishing composition 8C (the untreated colloidal silica particles) was essentially neutral (4 m.V). The xeta potential of polishing compost lion. 8'D decreased modestly from 17 to i I mV. While not wishing to he bound by theory it is believed that the aminosilane treated particles retained their permanent positive charge (as in polishing composition 8A); while the non-permanent positive charge on the TBAB treated particles is believed to have decreased significantly (as in polishing composition 8B). This combination is believed to have caused the modest decrease in zeta potential.
EXAMPLE 9
[0073] The particle size distribution was evaluated for fi ve polishing compositions prepared using dispersions Bl , C I, and D2 rrom Example 1. .Polishing compositions 9A, 9B, and 9C were prepared by dilutin dispersions Bl , CI , and D2 .respectively with Di. water to a final colloidal silica concentration of 1 weight, percent. Polishing composition 9D was prepared by dilating and mixing dispersions Bl and D2 such that the final mixture included 0.2 weight percent of the colloidal silica particles from the B l dispersion and 0.3 weight percent of the colloidal silica particles from the D2 dispersion. Polishing composition 9E was prepared by diluting and mixing dispersions CI. and D2 such that the final mixture included 0.2 weight percent of the colloidal silica particles from the CI dispersion and 0.3 weight percent of the colloidal silica panicles from the D2 dispersion. In polishing compositions D and 9E, the D2 dispersion was further treated, with 100 ppm by weight TBAH to obtain particles having a non-permanent positive charge. Polishing compositions 9D and 9E further included 1 3 ppra b weight ferric nitrate nonahydrate, 250 ppm by weight maionic acid, and 7 ppm by weight Kaihon biocide. The pH of each of the fi ve polishing compositions (9.4, 9'B, 9C. 9D, and 9E) was adjusted to 2,5 using nitric acid.
J0074| The particle size distribution of each of the polishing compositions was evaluated using a CPS Disc Centrifuge, Mode! DC24000HR (available from CPS Instruments, Prairieville, Louisiana). A. standard gradient was prepared using the CPS Instruments Aulogradient (using 8% and 24% sucrose solutions). The particle size distributions are shown on FIG. I .
[0075] As is apparent from the results set forth in. FIG. I, the evaluated polishing compositions have distinct particle size distributions. Polishing composition. 9. has a particle size distribution with a peal; at 50 urn. Polishing composition 98 has a. particle size distribution with a peak at 70 nm. Polishing composition 9C has a particle size distribution with a peak at 1 15 nm. Polishing composition 9D has a himodal distribution with a first peak at 50 nm and a second peak at 1 15 run. Polishing composition 91" has a bimodal distribution with a first peak at 75 nm and a second peak at 1 1 nm.
jO076j It will be understood tha the recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted, by context. The use of any and all examples, or exemplary language (e.g., ""such as") provided herein, is intended merely to better illuminate the invention and does not. pose a limitation on the scope of the invention unless otherwise claimed. No language in the specification should be constated, as indicating any non-claimed element as essential to the practice of the invention.
(0077| Preferred embodiments of this invention are described herein, including the best mode known to the inventors for carrying out the invention. Variations of those preferred embodiments may become apparent to those of ordinary skill in the art npon reading the foregoing description. The inventors expect skilled artisans to emplo such variations as appropriate, and the inventors intend for the invention t be practiced otherwise than as specifically described herein. Accordingly, this invention includes all modifications and equivalents of die subject matter recited in the claims appended hereto as permitted by applicable law. Moreover, any combination of the above-described elements in all possible variations thereof is encompassed by the invention unless otherwise indicated herein or otherwise clearly contradicted by context.

Claims

1 . A chemical mechanical polishing composition comprising:
a water based liquid carrier;
a first silica abrasive dispersed in the liquid carrier, the first silica abrasive being a colloidal silica abrasive having a permanent positive charge of at leas! 10 roV; and
a second silica abrasive dispersed in the liquid carrier, the second silica abrasive having a neutral charge or a non-permanent positive charge.
2. The composition of Claim 1, wherein the first silica abrasive has a permanent positive charge of at least. 20 raV.
3. The composition of Claim 1 , wherein the first silic abrasive contains an aminosilane compound.
4. The composition of Claim 3, wherein the aminosilane compound is a. propyl group containing aminosilane.
5. The composition o f C laim 3, where i n, the aminosilane compound is selected from the group consisting of such as bis(2-hydroxyethyl)-3-aminopropyi trialkoxysilane, diethylanunoraetbyltrialkoxysiiane, (NPN-diethyi-3-aminopropyl)trialkoxysilane)5 3-(N- sty.ry.lmeihyl~2~aminoethyiaminopropyI trialkoxysilane, ammopropyl trialkoxysilane, (2-N- benzylaminoethyl)-3-aminopropyl trialkoxysiiane), trialkoxysilyl propyi- sN 9N-trimethyl ammonium chloride, N-(tria!koxysilylethyl)benzyi- ,N ,Ν-trimethyl ammonium chloride, (bis(met yldialkoxysilylpropyl)~N-metiiyl amine, bis(trialkoxysiiy).propyi}wea,. bss(3- (tria1koxysilyl)propyl)-eihylenediamine, bis({riaik.ox.ysily].propyi)amine,
bi,s(trialk:oxysiiySpropyl)amine, and mixtures thereof,
6. The composition of Claim 1. wherein the second silica abrasive has a non- permanent positive charge of less than 10 mV.
7. The composi ion of Claim 1 , wherein:
(a) the first silica abrasiv contains an aminosilane compound; and
(b) the second silica abrasive is in contact with an ammonium salt having the chemical formula R! X" or a phospbonium salt having the chemical, formula
R^R^P ", wherein N represents nitrogen, P represents phosphorus, R! , R\ R\ and R4 represent, independently C>C<-, alky! groups, and X" represents an anion.
8. The composition of Claim 1 , wherein an average particle size of the second silica abrasive is at least 20 nanometers different than, an average particle size of the first silica abrasive.
9. The composition of Claim 8, wherein the average particle size of the second silica abrasive is at least 20 nanometers greater than the average particle size of the first silica abrasive,
10. The composition of Claim 9, wherein:
(a) the average particle size of the first silica abrasive is in a range from 20 to 110 nanometers; and
(b) the average particle size of the second silica abrasive is in a range from 90 to. ISO nanometers.
ϊ Ϊ . The composition of Claim 1 , wherein the second silica abrasive is a pyrogenk silica.
1.2. The composition of Claim .1 , wherein, the second silica abrasive is a colloidal silica,
13, The composition of Claim 1, wherein;
(a) the first silica abrasive comprises colloidal silica abrasive paiiicl.es in which 50 percent or more of the colloidal silica abrasive particles include two or more aggregated primary partici.es; and
(b) the second silica abrasive comprises colloidal silica abrasive particles in which 50 percent or more of the colloidal silica abrasive particles include two or more aggregated primary particles.
14, The composition of Claim 1 having a pH in a range from 1.3 to 5.
15, The composition of Claim 1, further comprising an iron containing
accelerator.
"16. The composition, of Claim 15, wherein the iron containing accelerator comprises a soluble iron containing species and the composition further comprises a stabilizer bound to the soluble iron containing species, the stabilizer being selected from the group consisting of acetic acid, phosphoric acid, phthalic acid, citric aci d, adipic acid, oxalic acid, maionic acid, aspartic acid, succinic acid, giutaric acid, pimelic acid, suberic acid, azelaic acid, sebacic acid, maleic acid, gSutaeonic acid, raucoiiic acid, emyienediarainetetraacetic acid, propylenedianiinetetraacetie acid, and mixtures thereof.
1 7. The composition of Claim 1 , further comprising a hydrogen peroxide oxidizer.
18. The composition of Claim 1 , further comprising a tungsten etching inhibitor including an amine containing compound.
19. The composition of Claim 1 , having a pH in a range from 1.5 to 5 and farther comprising:
an iron containing accelerator; and
a tungsten etching inhibitor including an amine containing compound.
20. A method of chemical mechanical polishing a substrate including a tungsten layer, the method comprising:
(a) contacting the substrate with a polishing composition comprising:
(i) a water based liquid carrier;
(ii) a first silica abrasive dispersed i the liquid carrier, the first silica abrasive being a colloidal silica abrasive having a permanent positive charge of at least 10 mV; and
(iii) a second silica abrasive dispersed, in. the liquid, carrier, die second silica abrasive having a neutral charge or a non-permanent positive charge;
(b) moving the polishing composition relative to the substrate; and
(c) abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
21. The method of Claim 20, wherein the first silica abrasive con tains a propy l group containin aminos! lane compound.
22. The method of Claim 21 , wherein the second silica abrasive is in contact with an ammonium sal t having the chemical formula R 'R' ^M' " or a phosphoniom salt having the chemical formula Κ! ½¾ Ρ" \ wherein N represents nitrogen, P represents phosphorus, RJ, R?, R\ and R represent independently€-€(, alkyl groups, and X* represents an anion.
23. The method of Claim 20, wherein the polishing composition has a pH in a range from 1.5 to 5 and further comprises an iron containing accelerator.
24. The .method of Claim 20, wherein, the polishing composition former comprises a tungsten etching inhibitor including an amine containing compound.
25. The method of Claim 20, wherein:
(a) the average particle size of the first silica abrasive is in a range from .20 to 1 10 nanometers;
(b) the average particle ske of the second silica abrasive is in a range from 90 to 180 nanometers; and
(c) the a verage particle size of the second silica abrasive is at least 20 nanometers greater than, the average particle size of the first silica abrasive.
26. 'The method of Claim 20, wherein.;
(a) the first silica abrasive comprises colloidal silica abrasive particles in which 50 percent or more of the colloidal silica abrasive patticies include two or more aggregated primary particies; and
(b) the second silica abrasive comprises colioidal silica, abrasive particles in which 50 percent or more of the colloidal silica abrasive particles include two or mor aggregated primary particies.
PCT/US2015/021671 2014-03-24 2015-03-20 Mixed abrasive tungsten cmp composition WO2015148294A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201580027200.9A CN106414650B (en) 2014-03-24 2015-03-20 The tungsten CMP composition of mixed grinding dosage form
EP15769819.2A EP3122836B1 (en) 2014-03-24 2015-03-20 Mixed abrasive tungsten cmp composition
JP2016558618A JP6557251B2 (en) 2014-03-24 2015-03-20 Mixed abrasive tungsten CMP composition
KR1020167029078A KR102408747B1 (en) 2014-03-24 2015-03-20 Mixed abrasive tungsten cmp composition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/222,716 US9127187B1 (en) 2014-03-24 2014-03-24 Mixed abrasive tungsten CMP composition
US14/222,716 2014-03-24

Publications (1)

Publication Number Publication Date
WO2015148294A1 true WO2015148294A1 (en) 2015-10-01

Family

ID=54012470

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/021671 WO2015148294A1 (en) 2014-03-24 2015-03-20 Mixed abrasive tungsten cmp composition

Country Status (7)

Country Link
US (1) US9127187B1 (en)
EP (1) EP3122836B1 (en)
JP (1) JP6557251B2 (en)
KR (1) KR102408747B1 (en)
CN (1) CN106414650B (en)
TW (1) TWI561619B (en)
WO (1) WO2015148294A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017074800A1 (en) 2015-10-28 2017-05-04 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
JP2019537277A (en) * 2016-09-28 2019-12-19 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド Chemical mechanical polishing of tungsten using methods and compositions containing quaternary phosphonium compounds
WO2021202500A1 (en) * 2020-03-31 2021-10-07 Cmc Materials, Inc. Cmp composition including a novel abrasive

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
US10077381B2 (en) * 2015-07-20 2018-09-18 Kctech Co., Ltd. Polishing slurry composition
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9484212B1 (en) 2015-10-30 2016-11-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
TWI625372B (en) * 2016-01-06 2018-06-01 卡博特微電子公司 Method of polishing a low-k substrate
WO2017170660A1 (en) * 2016-03-30 2017-10-05 株式会社フジミインコーポレーテッド Method for producing cationically modified silica and cationically modified silica dispersion
US20180094166A1 (en) * 2016-09-30 2018-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cmp polishing composition comprising positive and negative silica particles
US9803108B1 (en) 2016-10-19 2017-10-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous compositions of stabilized aminosilane group containing silica particles
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles
CN107243783B (en) * 2017-08-09 2018-08-28 睿力集成电路有限公司 Chemical and mechanical grinding method, equipment and cleaning solution
US10600655B2 (en) 2017-08-10 2020-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for tungsten
JP7270611B2 (en) * 2017-09-15 2023-05-10 シーエムシー マテリアルズ,インコーポレイティド Composition for tungsten CMP
KR102544609B1 (en) * 2017-11-30 2023-06-19 솔브레인 주식회사 Polishing slurry composition for tungsten layer
US20190185713A1 (en) * 2017-12-14 2019-06-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cmp slurry compositions containing silica with trimethylsulfoxonium cations
JP7028120B2 (en) * 2018-09-20 2022-03-02 Jsr株式会社 Aqueous dispersion for chemical mechanical polishing, its manufacturing method, and chemical mechanical polishing method.
US10464188B1 (en) * 2018-11-06 2019-11-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad and polishing method
US10569384B1 (en) * 2018-11-06 2020-02-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad and polishing method
US10968366B2 (en) 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
US20200172761A1 (en) * 2018-12-04 2020-06-04 Cabot Microelectronics Corporation Composition and method for silicon nitride cmp
JP7408386B2 (en) 2018-12-28 2024-01-05 ニッタ・デュポン株式会社 Polishing slurry and concentrate for polishing slurry
CN111378375B (en) * 2018-12-28 2022-05-13 安集微电子科技(上海)股份有限公司 Chemical mechanical polishing solution
US10676647B1 (en) * 2018-12-31 2020-06-09 Cabot Microelectronics Corporation Composition for tungsten CMP
US10604678B1 (en) * 2019-02-08 2020-03-31 Rohrn and Haas Electronic Materials CMP Holdings, Inc. Chemical mechanical polishing of tungsten using a method and composition containing quaternary phosphonium compounds
US11597854B2 (en) * 2019-07-16 2023-03-07 Cmc Materials, Inc. Method to increase barrier film removal rate in bulk tungsten slurry
KR102637819B1 (en) * 2020-03-31 2024-02-16 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR102623640B1 (en) * 2020-07-22 2024-01-11 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR20220130543A (en) * 2021-03-18 2022-09-27 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR20220130544A (en) * 2021-03-18 2022-09-27 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR20220135977A (en) * 2021-03-31 2022-10-07 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
KR102620964B1 (en) * 2021-07-08 2024-01-03 에스케이엔펄스 주식회사 Polishing composition for semiconductor process and manufacturing method for polished object
KR102638622B1 (en) 2021-07-22 2024-02-19 에스케이엔펄스 주식회사 Polishing compostion for semiconductor process and method for manufacturing semiconductor device by using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273910A (en) * 2006-03-31 2007-10-18 Fujifilm Corp Polishing composition liquid
US20080287038A1 (en) * 2007-05-18 2008-11-20 Nippon Chemical Industrial Co., Ltd. Polishing composition for semiconductor wafer, method for production thereof and polishing method
US20100096584A1 (en) * 2008-10-22 2010-04-22 Fujimi Corporation Polishing Composition and Polishing Method Using the Same
US20120156874A1 (en) * 2010-12-17 2012-06-21 Soulbrain Co., Ltd Chemical mechanical polishing slurry composition and method for producing semiconductor device using the same

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5958288A (en) 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5942015A (en) 1997-09-16 1999-08-24 3M Innovative Properties Company Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
JP3810588B2 (en) 1998-06-22 2006-08-16 株式会社フジミインコーポレーテッド Polishing composition
JP3523107B2 (en) 1999-03-17 2004-04-26 株式会社東芝 Slurry for CMP and CMP method
CA2378492A1 (en) 1999-07-07 2001-01-18 Cabot Microelectronics Corporation Cmp composition containing silane modified abrasive particles
US6334880B1 (en) 1999-12-07 2002-01-01 Silbond Corporation Abrasive media and aqueous slurries for chemical mechanical polishing and planarization
US6313038B1 (en) * 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
US6646348B1 (en) 2000-07-05 2003-11-11 Cabot Microelectronics Corporation Silane containing polishing composition for CMP
JP4435391B2 (en) 2000-08-04 2010-03-17 扶桑化学工業株式会社 Colloidal silica slurry
DE10065027A1 (en) 2000-12-23 2002-07-04 Degussa Aqueous dispersion, process for its preparation and use
US6656241B1 (en) 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
TW591089B (en) 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US20030211747A1 (en) 2001-09-13 2003-11-13 Nyacol Nano Technologies, Inc Shallow trench isolation polishing using mixed abrasive slurries
US20030092271A1 (en) 2001-09-13 2003-05-15 Nyacol Nano Technologies, Inc. Shallow trench isolation polishing using mixed abrasive slurries
US7077880B2 (en) 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
JP3899456B2 (en) * 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド Polishing composition and polishing method using the same
DE10164262A1 (en) 2001-12-27 2003-07-17 Bayer Ag Composition for the chemical mechanical polishing of metal and metal / dielectric structures
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US6682575B2 (en) * 2002-03-05 2004-01-27 Cabot Microelectronics Corporation Methanol-containing silica-based CMP compositions
US7056449B2 (en) 2002-08-14 2006-06-06 Rohm And Haas Company Aqueous silica dispersion
US6896591B2 (en) 2003-02-11 2005-05-24 Cabot Microelectronics Corporation Mixed-abrasive polishing composition and method for using the same
US7044836B2 (en) 2003-04-21 2006-05-16 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
US20070269987A1 (en) 2003-05-09 2007-11-22 Sanyo Chemical Industries, Ltd. Polishing Liquid for Cmp Process and Polishing Method
US8309615B2 (en) 2003-08-04 2012-11-13 Rohm And Haas Company Aqueous silica dispersion
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US7022255B2 (en) 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7056192B2 (en) 2004-09-14 2006-06-06 International Business Machines Corporation Ceria-based polish processes, and ceria-based slurries
US20060096179A1 (en) 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US20060124592A1 (en) 2004-12-09 2006-06-15 Miller Anne E Chemical mechanical polish slurry
US20090215269A1 (en) 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
US20070075042A1 (en) 2005-10-05 2007-04-05 Siddiqui Junaid A Stabilizer-Fenton's reaction metal-vinyl pyridine polymer-surface-modified chemical mechanical planarization composition and associated method
KR20070088245A (en) 2006-02-24 2007-08-29 후지필름 가부시키가이샤 Polishing liquid for metals
US8163049B2 (en) 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
US8961677B2 (en) 2006-04-26 2015-02-24 Silbond Corporation Suspension of nanoparticles and method for making the same
US7585340B2 (en) 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
WO2007146680A1 (en) 2006-06-06 2007-12-21 Florida State University Research Foundation , Inc. Stabilized silica colloid
JP4836731B2 (en) 2006-07-18 2011-12-14 旭硝子株式会社 Manufacturing method of glass substrate for magnetic disk
TWI428277B (en) 2006-07-31 2014-03-01 Fuso Chemical Co Ltd Silica sol and process for producing the same
US7691287B2 (en) 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
JP5322455B2 (en) 2007-02-26 2013-10-23 富士フイルム株式会社 Polishing liquid and polishing method
TWI436947B (en) 2007-03-27 2014-05-11 Fuso Chemical Co Ltd Colloidal silica and process for producing the same
US7915071B2 (en) 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
WO2009042073A2 (en) 2007-09-21 2009-04-02 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
EP2197972B1 (en) 2007-09-21 2020-04-01 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
JP5441345B2 (en) 2008-03-27 2014-03-12 富士フイルム株式会社 Polishing liquid and polishing method
BRPI0911453A2 (en) 2008-04-18 2018-03-20 Saint Gobain Abrasifs Sa surface modification of hydrophilic and hydrophobic silanes of abrasive organs
EP2356192B1 (en) 2008-09-19 2020-01-15 Cabot Microelectronics Corporation Barrier slurry for low-k dielectrics
US8366959B2 (en) 2008-09-26 2013-02-05 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101626179B1 (en) 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 Colloidal silica containing silica secondary particles having bent structure and/or branched structure, and method for producing same
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
JP5843613B2 (en) * 2009-01-20 2016-01-13 キャボット コーポレイションCabot Corporation Composition comprising silane-modified metal oxide
US8119529B2 (en) 2009-04-29 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing a substrate
KR101330956B1 (en) 2009-08-19 2013-11-18 히타치가세이가부시끼가이샤 Polishing solution for cmp and polishing method
JP2011216582A (en) * 2010-03-31 2011-10-27 Fujifilm Corp Polishing method and polishing liquid
JP5141792B2 (en) 2010-06-29 2013-02-13 日立化成工業株式会社 CMP polishing liquid and polishing method
US8366059B2 (en) 2011-01-06 2013-02-05 GM Global Technology Operations LLC Position controlled cable guide clip
US8518135B1 (en) 2012-08-27 2013-08-27 Cabot Microelectronics Corporation Polishing composition containing hybrid abrasive for nickel-phosphorous coated memory disks
US9303189B2 (en) * 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) * 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303188B2 (en) * 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273910A (en) * 2006-03-31 2007-10-18 Fujifilm Corp Polishing composition liquid
US20080287038A1 (en) * 2007-05-18 2008-11-20 Nippon Chemical Industrial Co., Ltd. Polishing composition for semiconductor wafer, method for production thereof and polishing method
US20100096584A1 (en) * 2008-10-22 2010-04-22 Fujimi Corporation Polishing Composition and Polishing Method Using the Same
US20120156874A1 (en) * 2010-12-17 2012-06-21 Soulbrain Co., Ltd Chemical mechanical polishing slurry composition and method for producing semiconductor device using the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017074800A1 (en) 2015-10-28 2017-05-04 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
CN108350318A (en) * 2015-10-28 2018-07-31 嘉柏微电子材料股份公司 The slurry of processing tungsten with cationic surface active agent and cyclodextrin
EP3368623A4 (en) * 2015-10-28 2019-06-12 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
JP2019537277A (en) * 2016-09-28 2019-12-19 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド Chemical mechanical polishing of tungsten using methods and compositions containing quaternary phosphonium compounds
WO2021202500A1 (en) * 2020-03-31 2021-10-07 Cmc Materials, Inc. Cmp composition including a novel abrasive

Also Published As

Publication number Publication date
CN106414650B (en) 2019-02-15
TWI561619B (en) 2016-12-11
EP3122836B1 (en) 2019-08-14
JP2017515302A (en) 2017-06-08
US9127187B1 (en) 2015-09-08
JP6557251B2 (en) 2019-08-07
TW201600590A (en) 2016-01-01
KR20160138149A (en) 2016-12-02
EP3122836A4 (en) 2017-11-08
US20150267082A1 (en) 2015-09-24
CN106414650A (en) 2017-02-15
KR102408747B1 (en) 2022-06-15
EP3122836A1 (en) 2017-02-01

Similar Documents

Publication Publication Date Title
WO2015148294A1 (en) Mixed abrasive tungsten cmp composition
EP3123498B1 (en) Mixed abrasive tungsten cmp composition
EP3368623B1 (en) Tungsten-processing slurry with cationic surfactant and cyclodextrin
EP3120380B1 (en) Composition for tungsten buffing
EP3400267B1 (en) Tungsten processing slurry with catalyst
US9303188B2 (en) Composition for tungsten CMP
US9303189B2 (en) Composition for tungsten CMP
EP3161098A1 (en) Tungsten chemical-mechanical polishing composition
WO2017074801A1 (en) Tungsten-processing slurry with cationic surfactant
US10676647B1 (en) Composition for tungsten CMP

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15769819

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016558618

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20167029078

Country of ref document: KR

Kind code of ref document: A

REEP Request for entry into the european phase

Ref document number: 2015769819

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2015769819

Country of ref document: EP