WO2014138492A1 - Methods for fabricating graphite-based structures and devices made therefrom - Google Patents

Methods for fabricating graphite-based structures and devices made therefrom Download PDF

Info

Publication number
WO2014138492A1
WO2014138492A1 PCT/US2014/021431 US2014021431W WO2014138492A1 WO 2014138492 A1 WO2014138492 A1 WO 2014138492A1 US 2014021431 W US2014021431 W US 2014021431W WO 2014138492 A1 WO2014138492 A1 WO 2014138492A1
Authority
WO
WIPO (PCT)
Prior art keywords
graphene
layer
sub
layers
graphite
Prior art date
Application number
PCT/US2014/021431
Other languages
French (fr)
Inventor
Mark Alan Davis
Original Assignee
Solan, LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solan, LLC filed Critical Solan, LLC
Publication of WO2014138492A1 publication Critical patent/WO2014138492A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene

Definitions

  • the present disclosure is generally related to methods for forming graphite- based devices with a profile and the graphite -based devices made therefrom.
  • Graphite -based structures or devices e.g. graphene quantum dots, graphene nanoribbons (GNRs), graphene nanonetworks, graphene plasmonics and graphene super- lattices, exhibit many exceptional chemical, mechanical, electronic and optical properties, and are very desirable for use in electronic devices, composite materials, and energy generation and storage.
  • Such graphite-based structures in general comprise a graphene layer, typically nanometers thick and having a characteristic dimension also in nanometers range.
  • GNRs are required to have a width within a few nanometers due to the inverse relationship between the band gap and the width of the GNRs.
  • graphene layers having different characteristic dimensions and thus different bandgaps and/or multiple levels, different pitch and duty cycle combinations are very desirable because they allow for the design of graphene devices with multiple functions, enhanced efficiency, and/or higher packing density.
  • the present disclosure advantageously provides methods for fabricating graphite -based devices with a profile, particularly in the nanoscale range, and graphite -based devices formed without any requirement for patterning graphene.
  • one aspect of the present disclosure provides a method for fabricating a graphite -based structure with a profile on a substrate comprising a plurality of zones.
  • a first zone in the plurality of zones comprises a first plurality of sub-zones.
  • the method includes doping the first zone with carbon in accordance with a first plurality of dopant profiles.
  • Each respective dopant profile in the first plurality of dopant profiles characterizes the doping for a corresponding sub-zone in the first plurality of sub-zones and at least one dopant profile is different than another dopant profile in the first plurality of dopant profiles.
  • the method also includes generating a first graphene layer on the substrate.
  • the first graphene layer comprises a first plurality of graphene sub-layers that collectively defines a first predetermined cross-sectional graphene profile of the first graphene layer.
  • the first plurality of graphene sub-layers comprises at least one graphene sub-layer with a different characteristic dimension than an adjacent graphene sub-layer in the first plurality of graphene sub-layers, thereby producing the first graphene layer having multiple bandgaps and the graphite-based structure.
  • the substrate is a non-patterned substrate, and the first graphene layer is generated on a surface of the substrate.
  • the substrate is a patterned substrate with initial features such as elements or trenches. In such
  • a zone can comprise one or more initially patterned features and the first layer can be generated on the initially patterned features such as on an element, on a trench or on an element or a trench.
  • the first plurality of dopant profiles comprises 2, 3 or more different profiles in different sub-zones.
  • the first graphene layer comprises a graphene sub-layer overlaying on a surface or a portion of the surface of first zone.
  • the first graphene layer comprises a substantial flat graphene sub-layer, a curved graphene sub-layer or a combination of flat and curved graphene sublayers.
  • the first graphene layer comprises a graphene sub-layer overlaying on a portion of an underneath sub-layer or having a portion that overhangs, butts or joins another adjacent graphene sub-layer.
  • an outermost graphene sub-layer covers or encapsulates the inner graphene sub-layers, thus providing protections to the inner sub-layers.
  • the method further includes doping a second, a third or more zones and generating a graphene layer in each doped zones.
  • each graphene layer generated in a zone is separated from other graphene layers generated in its adjacent or neighboring zones.
  • graphene layers generated in adjacent zones form physically contact to each other but are functionally separated from each other by boundary conditions at the interface or intersection.
  • the doping is conducted such that a plurality of graphene layers are generated in one zone, which can be either isolated or form physically contact to each other but are functionally separated from each other.
  • Another aspect of the present disclosure provides a method for fabricating a graphite -based structure or device with a profile, utilizing a barrier layer to control dopant profiles.
  • the method includes creating at least one barrier layer before doping the substrate.
  • a first barrier layer in the at least one barrier layer overlays the first zone for controlling the first plurality of dopant profiles, and is characterized by a first cross- sectional barrier profile that is correlated to the first predetermined cross-sectional graphene profile.
  • the method also includes removing the at least one barrier layer, thereby allowing subsequent generation of the first graphene layer on the substrate.
  • the first barrier layer comprises a first plurality of barrier sub-layers that collectively defines the first cross-sectional barrier profile.
  • the first cross-sectional barrier profile can be smooth, slanted, stepwise, symmetric, asymmetric, regular or irregular.
  • more than one barrier layers are created in one zone.
  • the exemplary graphite-based structures or devices include a substrate comprising one or more zones and one or more graphene layers overlaying on the one or more zones, where at least one graphene layer is formed with a profile. That is, at least one graphene layer comprises a plurality of graphene sub-layers with different characteristic dimensions and hence different bandgaps, consequently providing the graphite -based structures or devices with multiple functionalities.
  • the characteristic dimensions in general range from 2 nm to 100 nm, and a graphene layer or a graphene sub-layer can comprise 1-500 graphene sheets.
  • the exemplary graphite-based structures or devices comprises 1 graphene layer, between 2 and 10 graphene layers, 10 and 100 graphene layers, between 100 and 1000 graphene layers, or more than 1000 graphene layers.
  • each graphene layer overlays a corresponding zone in the one or more zones.
  • a plurality of graphene layers overlays one zone.
  • a graphene layer has a smooth shape, a stepwise shape, a concave shape, or a convex shape. In some embodiments, a graphene layer has a cup-like shape, a conical-like shape, or a dome-like shape. In some embodiments, a graphite -based structure or device comprises a graphene layer with a cross-sectional graphene profile that is substantially the same as another graphene layer in the same device; and in some other embodiments, a graphite -based structure or device comprises a graphene layer with a cross- sectional graphene profile different than another graphene layer in the same device. In some embodiments, a graphene layer has multiple characteristic dimensions along one lateral direction but has a constant characteristic dimension along another lateral direction. In some embodiments, a graphene layer has multiple characteristic dimensions along two lateral directions.
  • a graphene layer comprises a plurality of graphene sublayers with a first graphene sub-layer and a second first graphene sub-layer, where the first graphene sub-layer has a characteristic dimension or width between 25 nm and 35 nm for absorbing or emitting a blue frequency or a blue frequency range and the second graphene sub-layer has a characteristic dimension or width between 65 nm and 75 nm for absorbing or emitting a green frequency or a green frequency range.
  • a graphene layer comprises a plurality of graphene sub-layers with a first graphene sub-layer having a characteristic dimension between 1 nm and 20 nm, a second graphene sub-layer having a characteristic dimension between 20 nm and 50 nm and a third graphene sub-layer having a characteristic dimension between 50 nm and 100 nm.
  • FIGS. 1A-1E provide a detailed graphical representation of an exemplary method for forming graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure.
  • FIGS. 2A-2D provides a detailed graphical representation of another exemplary method for forming graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure.
  • FIGS. 3A-3K provide graphical representations of exemplary methods with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
  • FIGS. 4A-4D provide graphical representations of exemplary graphene devices with graphene layers separated by boundary conditions, in accordance with some embodiments of the present disclosure.
  • FIGS. 5A-5B provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
  • FIGS. 6A-6E provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
  • FIGS. 7A-7C provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
  • Embodiments of the present disclosure are described in the context of methods for fabricating graphene devices with a profile and in the context of graphene structures or devices made therefrom. In some embodiments, various methods are provided for fabricating graphite -based structures while achieving desired size, specified geometries, and
  • Exemplary methods include doping a substrate (either patterned or non-patterned) with carbon, and producing a plurality of dopant profiles in a selected zone or zones. The methods then include generating a graphene layer in the selected zone or zones, resulting in at least one graphene layer comprising a plurality of graphene sub-layers with different characteristic dimensions. Generally, the profile of a graphene layer is characterized or defined by the plurality of dopant profiles. In some embodiments, exemplary methods further include creating a barrier layer on the selected zone or zones of the substrate for controlling the dopant profiles.
  • exemplary methods further include various additional, optional, or alternative processes if desired, for instance, an annealing process after the doping to further adjust the dopant profiles or a passivation process to inhibit graphene growth on an undesired surface.
  • exemplary methods of the present application can be used to fabricate a wide variety of graphite-based structures or devices, including diodes, transistors, LEDs, solar cells, photodetectors, or other devices, or any combination thereof.
  • a graphene layer includes a plurality of graphene sub-layers that collectively form a smooth profile, with each sub-layer having a different characteristic dimension or comprising a different number of graphene sheets than an adjacent graphene sub-layer.
  • a graphene layer includes a plurality of graphene sub-layers that collectively form a stepwise profile, with one sub-layer having a different characteristic dimension or comprising a different number of graphene sheets than another graphene sub-layer.
  • a graphene layer generated in one zone has a different profile than a graphene layer generated in another zone of the substrate.
  • graphene layers generated in each zone of the substrate have substantially the same profile.
  • This wide variety of configurations advantageously provides for the ability to generate a wide array of devices, include devices in which a graphene sub-layer of a graphene layer performs one function while another graphene sub-layer performs another function.
  • This diversity gives rise to the ability to design a wide variety of graphene devices as disclosed herein. Moreover, this is all accomplished without any requirement to post process graphene once the graphene has been formed.
  • the term "substrate” refers to a solid substance generally in a form of a thin slice.
  • the substrate can be planar or flexible, and can comprise dielectric, semiconducting or metallic materials, such as glass, Si, Si0 2 , SiC, Cu, Ni, or other materials. It can include one layer or multiple layers.
  • a substrate comprises multiple layers, each with a different material.
  • a layer of another substance is applied onto the substrate.
  • the substrate has
  • the substrate is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA).
  • PMMA poly methyl methacrylate
  • PET polyethylene terephthalate
  • PVA polyvinyl alcohol
  • CA cellulose acetate
  • the term "sheet” refers to a substantially two-dimensional or one-atom thick substance.
  • a “graphene sheet” refers to one-atom-thick substance with carbon atoms arranged in a hexagonal lattice (i.e., a single sheet composed of sp -hybridized carbon).
  • a “graphene sheet” also refers to a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
  • graphene layer refers to one or more graphene sheets (e.g., one, a few, several, several tens, several hundreds or several thousands of graphene sheets).
  • the collective thickness of a graphene layer can therefore range between a nanometer to several micrometers, or to several tens of micrometers depending upon the number of graphene sheets in the graphene layer.
  • Final graphene layers produced by the processes disclosed in this application can have a thickness in nanometers, and preferably less than fifty nanometers.
  • the terms “graphene layer” and “graphite layer” are interchangeable in the present disclosure.
  • graphene device refers to any structure incorporating a graphene layer. Examples of such structures or devices include, but are not limited to, graphene nanoribbons, graphene nanonetworks, graphene poles/pillars, and graphene based nanohole superlattices.
  • graphite -based structure refers to any structure incorporating a graphene layer. Examples of such structures or devices include, but are not limited to, graphene nanoribbons, graphene nanonetworks, graphene poles/pillars, and graphene based nanohole superlattices.
  • graphite -based structure refers to any structure incorporating a graphene layer. Examples of such structures or devices include, but are not limited to, graphene nanoribbons, graphene nanonetworks, graphene poles/pillars, and graphene based nanohole superlattices.
  • graphite -based structure refers to any structure incorporating a graphene layer. Examples of such structures or devices include, but are not limited to,
  • graphene device and “graphene device topography” are interchangeable in the present disclosure.
  • the term "element” refers to a feature configured or generated on a substrate. In general, at least a portion of the element is on or above the substrate.
  • the element can be of any shape, size, or orientation. Exemplary elements include ribs, ribbons, pillars, mesas, and geometries that produce or promote plasmonic effects or other
  • rib or “ribbon” herein refers to a feature having a width that is less than the length. In some embodiments, “rib” and “ribbon” are interchangeable.
  • Pillar herein refers to substantially circular, ovoid, regular or irregular features.
  • Mesa herein refers to an island isolated from other features on the substrate or a plateau on the substrate. In general, a mesa has at least one dimension that is relatively large and thus can be used as a base for further processing of more complex structures. In some embodiments, a mesa has a topographical height feature, providing a capability for vertical isolation and/or size for desired functionality.
  • the term "trench” refers to a space that separates two adjacent elements. It can be a recess formed in the substrate such as by etching, a space on or above the substrate formed for example by depositing two adjacent elements on or above the substrate, or a combination thereof.
  • a trench can be of any shape or size as long as it separates two adjacent elements. In some embodiments, it is deep and/or has a width that is smaller than a characteristic length.
  • the term "width" refers to a characteristic dimension, generally along a substantially horizontal direction, of a feature.
  • characteristic dimensions include a width of a rib or a ribbon and a diameter of a circular pillar.
  • the width may be defined by the square root of the horizontal cross-sectional surface area of such geometries. It will be understood that a fair amount of process variation occurs in the width of the features described herein.
  • a rib may have a width that deviates within a certain process variation.
  • the width of such features is an average width or characteristic width, taking into account this process variation.
  • the term "height” refers to a characteristic dimension, generally along a substantially vertical direction, of a feature. Examples of such
  • characteristic dimensions include the height of an element, which may be defined by the vertical distance between the top surface of the element and the bottom surface of the corresponding trench. It will be understood that a fair amount of process variation occurs in the height of the features described herein. Thus, an element may have a height that deviates within a certain process variation. Thus, in such instances, the height of such features is an average height or characteristic height, taking into account this process variation.
  • the term "average” refers to the arithmetic mean value, or some other measure of central tendency, of a characteristic dimension.
  • the top surface of an element or the bottom surface of a trench has a slope or an arcuate property.
  • the average width is the circumferential mean diameter of the circular pillar-like element and the average height is the circumferential mean height of the circular pillar-like element.
  • dielectric and “dielectric materials” refers to a materials that are poor conductors of electricity.
  • a dielectric material is a material that has a dielectric constant of 50 or less, 40 or less, 30 or less, 20 or less, or 10 or less.
  • the term "zone" refers to a portion of a substrate, and the portion can be of any size or shape.
  • One zone of a given substrate can have a shape or size substantially the same as another zone of the same substrate or different than another zone of the same substrate.
  • a zone is spatially separated from adjacent zones of a given substrate; in some other embodiments, a zone partially overlaps with one or more adjacent zones of the given substrate.
  • a zone includes one or more initial features, such as elements or trenches, that have been patterned in the substrate.
  • graphene profile or the term “profile” used in association with a graphene layer refers to an outmost shape or contour of a given graphene layer.
  • the profile or the graphene profile is represented or defined by the contour of the outmost graphene sub-layer.
  • the profile or graphene profile is defined collectively by the plurality of graphene sub-layers that constitutes the given graphene layer.
  • the terms “graphene profile” and “cross-sectional graphene profile” are interchangeable.
  • barrier profile or the term “profile” used in association with a barrier layer refers to an outmost shape or contour of a given barrier layer.
  • the term "dopant profile” or the term “profile” used in association with doping refers to the distribution of dopants within a selected zone in a given substrate.
  • the distribution of dopants is expressed as a dopant concentration along a line, over a surface or in a region.
  • the dopant concentration is a time-average or spatial-average concentration.
  • FIGS. 1A-1E provide a detailed graphical representation of an exemplary method 100 for fabricating graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure.
  • the method 100 starts with doping one or more selected zones (e.g., 102-1 , 102-2) of a substrate 102 with a dopant such as carbon.
  • the doping is conducted or controlled such that the dopant is not uniformly distributed within a selected zone or zones in the substrate. Instead, the doping is conducted or controlled to produce a plurality of dopant profiles in the selected zone or zones.
  • FIG. IB shows an enlarged zone 102-1 in which the doping has taken place and reference number 104-1 indicates the doped region.
  • FIG. 1C shows three different dopant profiles produced at three different sub-zones or locations (e.g., A, B, C of Figure IB).
  • dopant profiles A, B, C shown in FIGS. IB and 1C differ from each other in both concentration distribution and dopant penetration depth, such differences are not definite requirements.
  • a dopant profile can differ from another profile in dopant concentration but have substantially the same penetration depth, or vice versa.
  • a dopant profile can differ from another profile in other physical or chemical parameters such as crystallographic differences.
  • Various methods can be used for doping the substrate with carbon. Examples include solid source deposition (e.g, spin on glass with dopants as a dopant source, diffusion bubblers for hydrocarbons), gaseous deposition at temperature (usually by an injector), chemical vapor deposition, vacuum sublimation, diffusion cycles to drive surface dopants, and ion implantation.
  • solid source deposition e.g, spin on glass with dopants as a dopant source, diffusion bubblers for hydrocarbons
  • gaseous deposition at temperature usually by an injector
  • chemical vapor deposition usually by an injector
  • vacuum sublimation usually by an injector
  • diffusion cycles to drive surface dopants usually by an injector
  • ion implantation is preferable, because it has an ability to more precisely control the number of implanted dopant atoms into a substrate and in some cases to inject dopant atoms into a substrate through a thin barrier layer.
  • Ion implantation is a materials engineering process that can be used to change the physical, chemical, or electrical properties of the target such as the substrate 102.
  • Ions e.g., charged atoms or molecules
  • Ions are created via an enormous electric field stripping away an electron, and then filtered and accelerated toward the target.
  • energetic ions penetrate the target, they lose energy due to collision with atomic nuclei and electrons in the target and eventually come to rest.
  • some ions undergo fewer collisions, thereby penetrating deeply into the target; some ions experience more collisions, thereby resting more closely to the surface of the target.
  • the trajectory that an ion travels in the target is not a straight line because of the collision (e.g., scattering) and diffusion.
  • implanted ions distribute in the target, forming concentration or implantation profiles. More information on ion implantation and concentration profiles can be found, for example, in Sze, Physics of Semiconductor Devices, 1985 and in Wolf et ah, Silicon Processing for the VLSI Era, vol.1 : Process Technology, Provisional Patent Application No. 61/745,464, each of which is hereby incorporated by reference herein in their entirety.
  • the final penetration depth in the direction parallel to the incident ion beam and concentration profile either lateral or parallel to the incident ion beam depends on many physical, chemical and process parameters, including target materials, ions, implantation doses and energies.
  • target materials, ions, implantation doses, energies, or other parameters desired ion penetration depths and concentration profiles can be achieved, which in turn can be used to control the graphene profiles of subsequently generated graphene layers to achieve desired multiple functionalities.
  • additional or optional processes are conducted to further adjust the ion penetration depths and concentration profiles. For example, annealing or heat cycle can be used to drive the dopants in the target and thus adjusting the dopant profiles.
  • the ion implantation is performed with a dose between 10 5 ions/cm 2 and 1021 ions/cm 2 , and an energy between 5 KeV and 400 KeV.
  • the ion implantation controls the carbon penetration and the carbon concentration profile in the selected zones.
  • the ion implantation provides accurate control of the profile of the subsequently generated graphene layers.
  • the ion implantation provides for a plurality of dopant profiles with a maximum dopant concentration between 10 5 ions/cm 2 and 1021 ions/cm .
  • the ion implantation provides for a plurality of dopant profiles with a first dopant profile having a maximum dopant concentration between 10 11 ions/cm 2 and 1021 ions/cm 2 and a second dopant profile having a maximum dopant concentration between 10 5 ions/cm 2 and 1018 ions/cm 2.
  • the ion implantation provides for a plurality of dopant profiles, with a first dopant profile having a maximum dopant concentration between 10 ions/cm and 10 ions/cm , a second dopant
  • a dopant profile has a penetration depth that is different than another dopant profile. In some embodiments, a dopant penetration depth that is between 1 nm and 5 ⁇ . In some embodiments, a dopant profile has a penetration depth that is between 20 nm and 5 ⁇ and another dopant profile has a penetration depth between 2 nm and 3 ⁇ . In some embodiments, first, second or third dopant profile has a penetration depth between 20 nm and 10 ⁇ , between 10 nm and 5 ⁇ or between 2 nm and 3 ⁇ , respectively.
  • the method 100 proceeds to generating one or more graphene layers in the selected zone or zones of the substrate.
  • FIG. ID shows graphene layers such as 106-1 , 106-2, and 106-3 are generated, each on top of a selected zone 102-1 , 102-2 or 102-3.
  • FIG. IE illustrates the enlarged zone 102-1 along with the generated graphene layer 106-1.
  • the generated graphene layer 106- 1 comprises a plurality of graphene sub-layers (e.g, 106-1-i, 106-1-j, 106-k, 106-1-h), and a graphene sub-layer (e.g, 106-1-j) has a characteristic dimension (e.g, width) that is different than its adjacent graphene sub-layer (e.g, 106-1-k).
  • a characteristic dimension e.g, width
  • the graphene sub-layers 106- 1-j and 106-1-k have different bandgaps due to the inverse relationship between the band gap and the graphene width, and consequently provide the capability of achieving multiple functionalities within one graphene layer.
  • the foregoing described method 100 can be used to fabricate graphene devices or structures with a profile or profiles, in which a graphene layer has varied characteristic dimensions in a range from a nanometer to several micrometers or to several tens of micrometers.
  • the disclosed methods of the present application are advantageous in the fabrication of graphene devices or structures having multiple functionalities with characteristic dimensions in nanometers, e.g., graphene quantum dots, graphene nanoribbons (GNRs), graphene nanonetworks, graphene plasmonics and graphene super-lattices.
  • GNRs typically have a width within a few nanometers due to the inverse relationship between the band gap and the width of the GNRs.
  • graphene generation can be formed by any of three general mechanisms (i) catalytic or precipitation from a metal, (ii) reverse epitaxial sublimation of silicon leaving carbon, and (iii) nucleation growth, typically on a non-metallic material.
  • the substrate 102 or the selected zones e.g, 102-1, 102-2
  • the substrate 102 or the selected zones comprises silicon or silicon carbide before the doping, and comprises silicon carbide with dopant profiles after the doping.
  • graphene is formed by epitaxial growth on the silicon carbide. That is, the graphene is actually grown by heating the silicon and leaving graphene.
  • the graphene is grown on either the silicon-face or the carbon-face of the silicon-carbon processed elements after an optional hydrogen etching. See Van Mil et al., Materials Science Forum 615, Trans Tech Publ. 2009, pp. 211-214, which is hereby incorporated by reference in its entirety.
  • the graphene is grown epitaxially using near-atmospheric pressure with argon gas suppression. See Sutter, 2009, Nature Materials 8, 171-172, which is hereby incorporated by reference in its entirety. Information on graphene generation can also be found in, for example, U.S. Provisional Application No.
  • the substrate used in the present disclosure can also be made of materials other than silicon or silicon carbide.
  • the substrate used in the present disclosure is glass, Si0 2 , or SiC/Si.
  • the substrate is a solid substance in a form of a thin slice.
  • the substrate is planar.
  • the substrate is flexible.
  • the substrate is rigid.
  • the substrate is made of a dielectric material, a semiconducting material, a metallic material, or a combination of such materials. Exemplary dielectric materials include, but are not limited to, glass, silicon dioxide, neoceram, and sapphire.
  • Exemplary semiconducting materials include silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), and molybdenum sulfide (MoS).
  • Exemplary metallic materials comprise copper (Cu), nickel (Ni), platinum (Pt), gold (Au), cobalt (Co), ruthenium (Ru), palladium (Pd), titanium (Ti), silver (Ag), aluminum (Al), cadmium (Cd), iridium (Ir), combinations thereof, and alloys thereof.
  • the substrate comprises Si, Si0 2 , SiC, Cu, Ni, or other materials.
  • the substrate substantially comprises neoceram, borosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof.
  • the substrate comprises a metal foil or a metal slug.
  • the substrate substantially comprises S1O 2 glass, soda lime glass, lead glass, doped Si0 2 , aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, soda lime glass, quartz or chalcogenide/sulphide glass, fluoride glass, a glass-based phenolic, flint glass, or cereated glass.
  • the substrate is made of poly methyl methacrylate
  • the substrate is made of a urethane polymer, an acrylic polymer, a fluoropolymer, polybenzamidazole, polymide, polytetrafluoroethylene, polyetheretherketone, polyamide-imide, glass-based phenolic, polystyrene, cross-linked polystyrene, polyester, polycarbonate, polyethylene, polyethylene, acrylonitrile-butadiene- styrene, polytetrafluoro-ethylene, polymethacrylate, nylon 6,6, cellulose acetate butyrate, cellulose acetate, rigid vinyl, plasticized vinyl, or polypropylene.
  • a urethane polymer an acrylic polymer, a fluoropolymer, polybenzamidazole, polymide, polytetrafluoroethylene, polyetheretherketone, polyamide-imide, glass-based phenolic, polystyrene, cross-linked polystyrene, polyester, polycarbonate, polyethylene, polyethylene,
  • the substrate includes one layer. In alternative embodiments, the substrate includes a plurality of layers. In some embodiments, a substrate comprises a plurality of layers, each with a different material. In some embodiments, a layer of another substance is applied onto the substrate. In some embodiments, the substrate has crystallographic symmetry.
  • the methods of the present disclosure comprise additional or optional processes.
  • the methods of the present disclosure comprise an additional process before doping a substrate with carbon and creating a barrier layer to further control the dopant profiles.
  • FIGS. 2A-2D illustrate a detailed graphical representation of an exemplary method 200 including such an additional process.
  • the exemplary method 200 creates a barrier layer in a selected zone or in each of a plurality of selected zones, such as a barrier layer 202-1 in the zone 102-1 and a barrier layer 202-2 in the zone 102-2.
  • a barrier layer in a selected zone has a barrier profile that correlates with the desired or predetermined graphene profile of the graphene layer subsequently generated in the same zone. For example, if a concave cone-like graphene layer (e.g, 206-1) is desired in the zone 102-1 , a dome-like carrier (e.g, 202-1) is created in the zone 102-1 before doping the zone 102-1 with carbon.
  • a concave cone-like graphene layer e.g, 206-1
  • a dome-like carrier e.g, 202-1
  • Barrier layers can be created by any standard lithographic methods, some of which are described in Sections 7 and 8.
  • a barrier layer can be created by deposing a material overlaying a selected zone or oxidizing the substrate to form an oxidized layer at a predetermined thickness.
  • lithographic processes such as etching are used to remove an undesired portion of the barrier layer or to tailor the barrier layer or edge of the barrier layers so that the barrier layer has a cross-sectional profile correlated to the predetermined or desired graphene profile.
  • a mask is used for the creation of the barrier layer.
  • Various materials can be used for the barrier layer, including photoresist, Si0 2 , S1 3 N 4 , SiN, plysilicon, metals, and polyimide.
  • the method 200 proceeds to doping the substrate 102 with carbon, as illustrated in FIG. 2B, using the same or similar doping processes discussed above in the context of the method 100. Controlling parameters such as doses or energies can vary depending on the desired dopant profiles.
  • the method 200 proceeds to removing the barrier layers, for example, by selective or anisotropic etching the substrate, as illustrated in FIG. 2C. Then, the method 200 continues to generating a graphene layer or layers in the selected zone or zones of the substrate, using the same or similar graphene generation processes discussed above in the context of the method 100. Removing the barrier layers is an additional or optional process. In some embodiments, one or more barrier layers are not removed. In some embodiments, one or more barrier layers are partially removed, leaving a portion of the barrier layers in one or more zones. The remained barrier layers or the remaining portions of the barrier layers can serve as an additional controlling means for adjusting the final graphene profiles to achieve desired functionalities.
  • barrier layers can be symmetric, asymmetric, regular or irregular. Examples of barrier layers are illustrated in FIGS. 3A-3G.
  • a barrier layer has a smooth cross-sectional profile or contour such as the barrier layer 302 illustrated in FIG. 3A.
  • a barrier sub-layer is a portion of the barrier layer can be considered as a barrier sub-layer.
  • FIG. 3A shows the barrier layer 302 comprising three sub-layers divided by the dash lines and indicated by reference numerals 302-1 , 302-2, 302-3.
  • a barrier layer has a bevel-like cross-sectional profile such as the barrier layer 304 illustrated in FIG. 3B, forming a slope with respect to the selected zone (e.g, 102-1).
  • a barrier layer has an asymmetric profile such as the barrier layer 306 illustrated in FIG. 3C.
  • a selected zone (e.g. 102-1) of the substrate comprises two sub-zones (e.g, A and B) and a barrier layer has only one sublayer such as a barrier layer 318 overlaying the sub-zone A.
  • a barrier layer has only one sublayer such as a barrier layer 318 overlaying the sub-zone A.
  • Doping through such a barrier layer produces a non-uniform dopant distribution in the zone 102-1 with a dopant profile at A location and a different profile at the B location, and an intersection in between as a result of scattering or diffusion effects. Consequently, the subsequently generated graphene layer (e.g, 320) has a plurality of graphene sub-layers some of which have different characteristic dimensions (e.g., different widths) as illustrated in FIG. 31.
  • the side wall of the barrier layer 318 is tailored to form a slope or curvature so that dopant distribution extends in a lateral direction more gradually or smoothly.
  • FIGS. 3 J and 3K illustrate the barrier layer 324 having a slope and the resultant graphene layer 328.
  • a barrier layer comprises a plurality of barrier sublayers with each sub-layer on top of another sub-layer forming a multi-level or stepwise cross-sectional profile.
  • a selected zone comprises three, four or more sub-zones
  • a barrier layer comprises two, three, four or more barrier sub-layers.
  • FIG. 3D illustrates a selected zone 102-1 comprising four sub-zones (e.g, A, B, C, and D) and a barrier layer 308 in the selected zone 102-1 comprising three sub-layers 308-1 , 308-2 and 308-3.
  • Doping the selected zone 102-1 through the barrier layer 308 provides dopant penetration in the zone that in general also comprises three-levels (e.g, 310-1 , 310-2, 310-3), and at least three different dopant profiles with each at one of the three sub-zones or locations (e.g, B, C, D).
  • the doped region has a relatively smoother edge due to the scattering or diffusion effects.
  • the subsequently generated graphene layer in general comprises at least three graphene sub-layers (e.g, 312-1 , 312-2, 312-3), each of these three graphene sub-layers having a different characteristic dimension (e.g., width) than the other two.
  • a graphene layer with multiple characteristic dimensions is very desirable, particularly in electronic and photonic devices, because a single graphene layer can perform multiple functions.
  • a graphene layer can be fabricated using the methods of the present disclosure to have different sub-layers absorbing or emitting photons in different wavelength ranges.
  • a selected zone comprises more than one barrier layer.
  • each of the barrier layers in the same zone can be of any suitable shape or size and they do not need to be identical or similar to each other.
  • each of the barrier layers in the same zone can be positioned in any suitable sub-zones or locations.
  • a barrier layer can be positioned along the boundary of two adjacent selected zones so that the subsequently generated graphene layers in these two adjacent selected zones are spatially isolated from each other.
  • FIG. 3F illustrates two barrier layers 308, 314 created in the selected zone 102-1 , with the barrier layer 314 substantially mirroring the barrier layer 308.
  • FIG. 3G illustrates the generated graphene layer 316 formed in the zone 102-1.
  • a graphite-based structure fabricated using the methods of the present disclosure comprises one or more graphene layers, and at least one of such graphene layers comprises a plurality of graphene sub-layers.
  • a graphene sub-layer in the plurality of graphene sublayers has a characteristic dimension different than an overlying or underlying graphene sublayer in the plurality of graphene sub-layers and thus performs a different function than the overlying or underlying graphene sub -layer.
  • a graphene layer (e.g, 106-1) comprises a graphene sub-layer (e.g, 106-1-h) overlaying a surface or a portion of the surface of a selected zone (e.g, 102-1).
  • a graphene layer (e.g, 106-1) comprises a substantially flat graphene sub-layer (e.g, 106-1-h), a curved graphene sub-layer (e.g, 106-1-i, 106-1-j) or combination of flat and curved graphene sub-layers.
  • a graphene layer (e.g, 106-1) comprises a graphene sub-layer overlaying a portion of an underlying sub-layer or having a portion that overhangs, abuts or joins another adjacent graphene sub-layer (e.g, 106-1-k).
  • an overlying graphene sub-layer (e.g, 106-1-i) covers or encapsulates underlying graphene sub-layers and hence provides protection to the underlying sub-layers.
  • a graphene structure or device comprises a substrate with one or more zones. Each zone in the one or more zones has a surface and the surface of a first zone in the one or more zones comprises a first sub-surface and an adjacent second sub-surface.
  • the graphene structure or device further comprises one or more graphene layers, with each respective graphene layer in the one or more graphene layers formed on the surface of a corresponding zone in the one or more zones.
  • a first graphene layer (e.g, 106-1) is formed on the surface of the first zone (e.g, 102-1) in the one or more zones (e.g, 102-1 , 102-2).
  • the first graphene layer comprises a first plurality of graphene sub-layers (e.g, 106-1-i, 106-1-j, 106-1-k, 106-h) that collectively define a first predetermined cross-sectional profile.
  • a first graphene sub-layer (e.g, 106-1 -h) in the first plurality of graphene sub-layers is formed on the first sub-surface of the surface of the first zone.
  • a second graphene sub-layer (e.g, 106-1-k) in the first plurality of graphene sub-layers comprises a first portion formed on a top surface of the first graphene sub-layer, a second portion formed on the second sub-surface of the surface of the first zone and a first intermediate portion connecting the first portion and the second portion of the second graphene sub-layer.
  • the first and second graphene sub-layers e.g, 106-1-h, 106-k
  • have different characteristic dimensions e.g. width, width and/or length
  • the surface of the first zone further comprises a third sub-surface adjacent to the first sub-surface and a fourth sub-surface adjacent to the second sub-surface, and a third graphene sub-layer (e.g, 106-1-j) in the first plurality of graphene sub-layers.
  • a third graphene sub-layer e.g, 106-1-j
  • the third graphene sub-layer (e.g, 106-1-j) comprises a first portion formed on a top surface of the second graphene sub-layer (e.g, 106-1-k), a second portion formed on the fourth sub-surface, a third portion formed on the third sub-surface, a first intermediate portion connecting the first portion and the second portion of the third graphene sub-layer, and a second intermediate portion connecting the first portion and the third portion of the third graphene sub-layer.
  • a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with one graphene sub-layer having a characteristic dimension (e.g, width) between 1 nm and 20 nm, between 20 nm and 50 nm, between 50 nm and 100 nm, or between 100 nm and 500 nm.
  • a characteristic dimension e.g, width
  • a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with a first graphene sub-layer having a characteristic dimension between 1 nm and 20 nm and a second graphene sub-layer having a characteristic dimension between 20 nm and 50 nm.
  • a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with a first graphene sublayer having a characteristic dimension between 1 nm and 20 nm, a second graphene sublayer having a characteristic dimension between 20 nm and 50 nm and a third graphene sublayer having a characteristic dimension between 50 nm and 100 nm.
  • the first graphene sub-layer has a characteristic dimension or width that is between 25 nm and 35 nm for absorbing or emitting a blue frequency or a blue frequency range
  • the second graphene sub-layer has a characteristic dimension or width that is between 65 nm and 75 nm for absorbing or emitting a green frequency or a green frequency range.
  • a graphene sub-layer has a thickness that is
  • a graphene sub-layer has a thickness that is different than another graphene sub-layer, thus comprising a different number of graphene sheets.
  • at least two graphene sub-layers are substantially the same in terms of characteristic dimension (e.g, width) or thickness but differs from other graphene sub-layers in the same graphene layer.
  • a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with one graphene sub-layer having 1 graphene sheet, between 2 and 10 graphene sheets, between 10 and 30 graphene sheets, between 30 and 50 graphene sheets, between 50 and 100 graphene sheets, or over 100 graphene sheets.
  • a graphite-based structure or device comprises a plurality of graphene layers generated in a plurality of selected zones, with one graphene layer generated in one selected zone. Generation of the plurality of graphene layers can occur either concurrently or sequentially.
  • a graphene layer (e.g, 106-1) generated in one zone has a different profile than a graphene layer (e.g, 106-2) generated in another zone of the substrate, thus providing different functionalities.
  • a graphene layer (e.g, 106-1) generated in one zone has a profile substantially the same as a graphene layer (e.g, 106-4) generated in another zone of the substrate.
  • Such graphene layers function substantially the same, with each graphene layer providing multiple functionalities.
  • each graphene layer in the plurality of graphene layers has substantially the same profile.
  • a graphene layer (e.g, 106-1) generated in one zone is spatially separated from a graphene layer (e.g, 106-2) generated in an adjacent zone of the substrate.
  • each graphene layer generated in a zone is separated from other graphene layers generated in adjacent or neighboring zones.
  • some graphene layers (e.g, 106-2, 106-3) generated in adjacent zones physically contact each other but are functionally separated from each other by boundary conditions at the interface or intersection. Interfaces and boundary conditions are formed because of differences between the graphene layers, such as the thicknesses of the graphene layers or orientation of graphene sheets that constitute the graphene layers.
  • FIGS. 4A-4D Examples of interfaces or intersections are illustrated in FIGS. 4A-4D. As shown, an interface or an intersection is formed between the graphene layer 106-2 and the graphene layer 106-3 as a result of different orientations between the graphene sheets (e.g, 106-2-1) of the graphene layer 106-2 and the graphene sheets (e.g, 106-3-1) of the graphene layer 106-3.
  • the graphene layer 106-2 is generated before the graphene layer 106-3, resulting in the graphene layer 106-2 abutting the graphene layer 106-3 as illustrated in FIG. 4B.
  • the graphene layer 106-3 can abut the graphene layer 106-2 as illustrated in FIG. 4C.
  • FIG. 4C Examples of interfaces or intersections are illustrated in FIGS. 4A-4D.
  • an interface or an intersection is formed between the graphene layer 106-2 and the graphene layer 106-3 as a result of different orientations between the graphene sheets (e.g, 106-2-
  • the substrate 102 is a patterned substrate with initial features, such as elements 502-1 , 502-2 and trenches 504-1 , 504-2.
  • a selected zone can include one or more initial features. Creation of barrier layers and doping the substrate with carbons and subsequent generation of graphene layers can be performed on the initial features.
  • FIGS. 5A-5B illustrate the zone 102-1 comprising the element 502-1 and the trench 504-1 and the zone 102-2 comprising the element 502-2 and the trench 504-2.
  • Doping, with or without barrier layers, is conducted on the element 502-1 , the trench 504-1 and the element 502-2, but not on the trench 504-2. Accordingly, graphene layers are generated on each of these three initial features as indicated by 506-1 , 506-2, 506- 3. In some embodiments, doping is also conducted on the trench 504-2 where a graphene layer is generated.
  • a graphene layer generated on an element or a trench has a graphene profile that is substantially the same as a graphene layer generated on another element or another trench.
  • a graphene layer generated on an element or a trench has a graphene profile that differs from a graphene layer generated on another element or another trench.
  • a graphene layer is generated on a top of an element, on a sidewall of an element, or on a top and a side wall of an element (e.g., 506-2).
  • a graphene layer has a smooth shape, a stepwise shape, a concave shape, or a convex shape.
  • a graphene layer has a shape like a ribbon, a pillar, a dot, a cup, a cone, or a dome.
  • a graphene layer comprises a plurality of graphene sub-layers with different characteristic dimensions along one lateral direction but with substantially a same characteristic dimension along another lateral direction. Examples of such graphene layers (e.g., 602-1 , 602-3) are illustrated in FIGS. 6A-6E, where FIG. 6 A is a top view of a graphical representation of an embodiment and FIGS.
  • a graphene layer (e.g., 602-2) comprises a plurality of graphene sub-layers with different characteristic dimensions along two lateral directions (e.g., x, y directions).
  • a graphite-based structure or device comprises 1 , 2, between 2 and 10, between 10 and 100, between 100 and 1000, or over 1000 graphene layers.
  • each selected zone comprises 1 graphene layer.
  • each selected zone comprises between 2 and 10, between 10 and 100, between 100 and 1000, or over 1000 graphene layers.
  • each graphene layer is substantially the same as other graphene layers generated in the same selected zone or in the same substrate.
  • FIG.7A illustrates a graphical representation of an embodiment that comprises a plurality of graphene layers (e.g, 702-1) with substantially the same ribbonlike shape.
  • each graphene layer generated in one zone is different than other graphene layers generated in other zones, such as graphene layers 702-3, 702-4, 702-5, 702-6, illustrated in FIG. 7C.
  • a first plurality of graphene layers (e.g, first set) generated in one or more zones is different than a second plurality of graphene layers (e.g, second set) generated in another zone or zones and thus the first set performs different functionalities than the second set.
  • FIG. 7B illustrates a first plurality of graphene layers 702-1 generated in zones 102-1, 102-4 with a ribbon- like shape and a second plurality of graphene layers 702-2 generated in zone 102-2, 102-3 with a dot- like or domelike shape.
  • a graphene layer or each graphene layer in the first set or in the second set comprises a plurality of graphene sub-layers with varied characteristic dimensions or has any cross-sectional graphene profile disclosed in the present disclosure.
  • the single graphene layer itself performs multiple functionalities.
  • the present disclosure provides the ability to fabricate a wide variety of graphene devices.
  • One advantage of the present disclosure lies in the elimination of the post graphene process, which is difficult particularly in the nanoscale dimensions, once the graphene has been formed.
  • the present disclosure instead of patterning the graphene, the present disclosure generate graphene in desired topography through the control of dopant profiles or other physical, chemical, processing parameters. Accordingly, the present disclosure can fabricate nanoscale graphene structures or devices with ease, precision and consistency.
  • Another advantage of the present disclosure is the ability to fabricate a graphene device with multiple functions in the same device, and in some cases, multiple functions are achieved by a single graphene layer.
  • one set of graphene layers performs one function while another set of graphene layers performs a different function.
  • a sub-layer in a graphene layer performs one function while another sub-layer in the same graphene layer performs a different function.
  • Numerous physical properties that may be shared or may be varied between different sets include, but not limited to, graphene profiles, characteristic dimensions, or number of graphene sheets. This diversity gives rise to the ability to fabricate a wide variety of composite devices with desired functionalities as disclosed herein.
  • Still another advantage of the present disclosure is the ability to produce graphene structures and devices with high packing densities and enhanced efficiency.
  • the present disclosure reduces the loss of the workable surface areas.
  • the present disclosure has the additional capability of providing additional workable surfaces areas for enhancing electronic or photonic functions.
  • the present disclosure can produce multi-level structures, providing additional workable surfaces areas for enhancing functionality of devices such as efficiency of solar cells or photodetectors.
  • one or more layers of the deposit materials are deposited by chemical vapor deposition.
  • CVD chemical vapor deposition
  • the constituents of a vapor phase often diluted with an inert carrier gas, react at a hot surface (typically higher than 190°C) to deposit a solid film.
  • a hot surface typically higher than 190°C
  • chemical vapor deposition reactions require the addition of energy to the system, such as heating the chamber or the wafer.
  • exemplary devices used to perform chemical vapor deposition, and process conditions are used to perform chemical vapor deposition of silicon nitride, see Van Zant, Microchip Fabrication, Fourth Edition, McGraw-Hill, New York, 2000, pp. 363-393; and Madou, Fundamentals of Micro fabrication, Second Edition, 2002, pp. 144-154, CRC Press, each of which are hereby incorporated by reference herein in their entireties.
  • one or more layers of the deposit materials are deposited by reduced pressure chemical vapor deposition (RPCVD).
  • RPCVD is typically performed at below 10 Pa and at temperatures in the range of (550°C - 600°C).
  • the low pressure used in RPCVD results in a large diffusion coefficient, which leads to growth of a layer that is limited by the rate of surface reactions rather than the rate of mass transfer to the substrate.
  • reactants can typically be used without dilution.
  • RPCVD is performed, for example, in some embodiments, in a horizontal tube hot wall reactor.
  • one or more layers of the deposit materials are deposited by low pressure chemical vapor deposition (LPCVD) or very low pressure CVD.
  • LPCVD low pressure chemical vapor deposition
  • very low pressure CVD is typically performed at below 1 Pa.
  • one or more layers of the deposit materials are deposited by atmospheric to slightly reduced pressure chemical vapor deposition.
  • Atmospheric pressure to slightly reduced pressure CVD is used, for example, to grow APCVD is a relatively simplistic process that has the advantage of producing layers at high deposition rates and low temperatures (350°C - 400°C).
  • one or more layers of the deposit materials are deposited by plasma enhanced (plasma assisted) chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD systems feature a parallel plate chamber operated at a low pressure (e.g., 2-5 Torr) and low temperature (300°C - 400°C).
  • a radio-frequency-induced glow discharge, or other plasma source is used to induce a plasma field in the deposition gas.
  • PECVD systems that are used include, but are not limited to, horizontal vertical flow PECVD, barrel radiant-heated PECVD, and horizontal-tube PECVD.
  • RPCVD Remote plasma CVD
  • Remote plasma CVD is described, for example, in United States Patent No. 6,458,715 to Sano et al, which is hereby incorporated by reference in its entirety.
  • one or more layers of the deposit materials are deposited by anodization.
  • Anodization is an oxidation process performed in an electrolytic cell.
  • the material to be anodized becomes the anode (+) while a noble metal is the cathode (-).
  • an insoluble layer e.g., an oxide
  • the primary oxidizing agent is water, the resulting oxides generally are porous, whereas organic electrolytes lead to very dense oxides providing excellent passivation. See, e.g., Madou et al, 1982, J. Electrochem. Soc. 129, pp. 2749-2752, which is hereby incorporated by reference in its entirety.
  • one or more layers of the deposit materials are deposited by a sol-gel process.
  • a sol-gel process solid particles, chemical precursors, in a colloidal suspension in a liquid (a sol) forms a gelatinous network (a gel).
  • a gel gelatinous network
  • TEOS tetraethylsiloxane
  • water a liquid
  • TEOS tetraethylsiloxane
  • the sol is then brought to its gel-point, that is, the point in the phase diagram where the sol abruptly changes from a viscous liquid to a gelatinous, polymerized network.
  • the material is shaped (e.g., a fiber or a lens) or applied onto a substrate by spinning, dipping, or spraying.
  • a silica gel is formed by hydrolysis and condensation using hydrochloric acid as the catalyst. Drying and sintering at temperatures between 200°C to 600°C transforms the gel into a glass and ultimately into silicon dioxide.
  • SOG Spin-On Glass
  • SOGs are in general Si-0 network polymers in organic solvents, and prepared through the hydrolysis- condensation reaction that implied the sol-gel technology.
  • SOG materials can be divided into three groups: 1) silicate based compounds, 2) organosilicon compounds and 3) dopant- organic compounds. More information on SOG can be found, for example, in Nguyen Nhu Toan, Spin-On Glass Materials and Applications in Advanced IC Technologies, 1999, which is hereby incorporated herein by reference in its entirety.
  • one or more layers of the deposit materials are deposited by a plasma spraying process.
  • plasma spraying almost any material can be coated on many types of substrates.
  • Plasma spraying is a particle deposition method.
  • Particles a few microns to 100 microns in diameter, are transported from source to substrate.
  • plasma spraying a high-intensity plasma arc is operated between a sticktype cathode and a nozzle-shaped water-cooled anode.
  • Plasma gas pneumatically fed along the cathode, is heated by the arc to plasma temperatures, leaving the anode nozzle as a plasma jet or plasma flame.
  • Argon and mixtures of argon with other noble (He) or molecular gases (H 2 , N 2 , 0 2 , etc.) are frequently used for plasma spraying. Fine powder suspended in a carrier gas is injected into the plasma jet where the particles are accelerated and heated.
  • the plasma jet reaches temperatures of 20,000 K and velocities up to 1000 ms "1 in some embodiments.
  • the temperature of the particle surface is lower than the plasma temperature, and the dwelling time in the plasma gas is very short.
  • the lower surface temperature and short duration prevent the spray particles from being vaporized in the gas plasma.
  • the particles in the plasma assume a negative charge, owing to the different thermal velocities of electrons and ions.
  • Plasma spraying equipment is available from Sulzer Metco (Winterthur
  • one or more layers of the deposit materials are deposited by ink-jet printing.
  • Ink-jet printing is based on the same principles of commercial ink-jet printing.
  • the ink-jet nozzle is connected to a reservoir filled with the chemical solution and placed above a computer-controlled x-y stage.
  • the target object is placed on the x-y stage and, under computer control, liquid drops (e.g., 50 microns in diameter) are expelled through the nozzle onto a well-defined place on the object.
  • liquid drops e.g., 50 microns in diameter
  • Different nozzles print different spots in parallel.
  • a bubble jet with drops as small as a few picoliters, is used to form a layer of a deposit material.
  • a thermal ink jet (Hewlett Packard, Palo Alto, California) is used to form a layer of a deposit material.
  • resistors are used to rapidly heat a thin layer of liquid ink.
  • a superheated vapor explosion vaporizes a tiny fraction of the ink to form an expanding bubble that ejects a drop of ink from the ink cartridge onto the substrate.
  • a piezoelectric ink-jet head is used for ink-jet printing.
  • a piezoelectric ink-jet head includes a reservoir with an inlet port and a nozzle at the other end.
  • One wall of the reservoir consists of a thin diaphragm with an attached piezoelectric crystal.
  • an epoxy delivery system is used to deposit a layer of a device.
  • An example of an epoxy delivery system is the Ivek Digispense 2000 (Ivek Corporation, North Springfield, Vermont). For more information on jet spraying, see, for example, Madou, Fundamentals of Micro fabrication, Second Edition, 2002, pp. 164-167, CRC Press, which is hereby incorporated by reference herein in its entirety.
  • one or more layers of the deposit materials are deposited by vacuum evaporation.
  • Vacuum evaporation takes place inside an evacuated chamber.
  • the chamber can be, for example, a quartz bell jar or a stainless steel enclosure. Inside the chamber is a mechanism that evaporates the metal source, a wafer holder, a shutter, thickness and rate monitors, and heaters.
  • the chamber is connected to a vacuum pump.
  • filament evaporation e.g., E-beam gun evaporation, and hot plate evaporation. See, for example, Van Zant, Microchip Fabrication, Fourth Edition, McGraw- Hill, New York, 2000, pp. 407-411, which is hereby incorporated by reference herein in its entirety.
  • one or more layers of the deposit materials are deposited by sputtering.
  • Sputtering like evaporation, takes place in a vacuum. However, it is a physical not a chemical process (evaporation is a chemical process), and is referred to as physical vapor deposition.
  • a slab Inside the vacuum chamber is a slab, called a target, of the desired film material. The target is electrically grounded.
  • An inert gas such as argon is introduced into the chamber and is ionized to a positive charge. The positively charged argon atoms are attracted to the grounded target and accelerate toward it.
  • argon atoms "knock off atoms and molecules from the target into the chamber.
  • a principal feature of a sputtering process is that the target material is deposited on the wafer with chemical or compositional change.
  • direct current (DC) diode sputtering, radio frequency (RF) diode sputtering, triode sputtering, DC magnetron sputtering or RF magnetron sputtering is used.
  • RF diode sputtering is a vacuum coating process where an electrically isolated cathode is mounted in a chamber that can be evacuated and partially filled with an inert gas. If the cathode material is an electrical conductor, a direct-current high-voltage power supply is used to apply the high voltage potential. If the cathode is an electrical insulator, the polarity of the electrodes is reversed at very high frequencies to prevent the formation of a positive charge on the cathode that would stop the ion bombardment process. Since the electrode polarity is reversed at a radio frequency, this process is referred to as 133 sputtering. Magnetron sputtering is different form of sputtering.
  • Magnetron sputtering uses a magnetic field to trap electrons in a region near the target surface thus creating a higher probability of ionizing a gas atom.
  • the high density of ions created near the target surface causes material to be removed many times faster than in diode sputtering.
  • the magnetron effect is created by an array of permanent magnets included within the cathode assembly that produce a magnetic field normal to the electric field. [00112] 7.12 Collimated sputtering
  • one or more layers of the deposit materials are deposited by collimated sputtering.
  • Collimated sputtering is a sputtering process where the arrival of metal occurs at an angel normal to the wafer surface.
  • the metal is collimated by a thick honeycomb grid that effectively blocks off angle metal atoms in some embodiments. Alternatively, ionizing the metal atoms and attracting them towards the wafer collimates the metal. Collimated sputtering improves filling of high aspect ratio contacts.
  • one or more layers of the deposit materials are deposited by laser ablated deposition.
  • a rotating cylindrical target surface is provided for the laser ablation process.
  • the target is mounted in a vacuum chamber so that it is rotated about the longitudinal axis of the cylindrical surface target and simultaneously translated along the longitudinal axis.
  • a laser beam is focused by a cylindrical lens onto the target surface along a line that is at an angle with respect to the longitudinal axis to spread a plume of ablated material over a radial arc.
  • the plume is spread in the longitudinal direction by providing a concave or convex lateral target surface.
  • the angle of incidence of the focused laser beam is other than normal to the target surface to provide a glancing geometry in some embodiments. Simultaneous rotation about and translation along the longitudinal axis produce a smooth and even ablation of the entire cylindrical target surface and a steady evaporation plume. Maintaining a smooth target surface is useful in reducing undesirable splashing of particulates during the laser ablation process and thereby depositing high quality thin films. See, for example, United States Patent Number 5,049,405, which is hereby incorporated by reference herein in its entirety.
  • one or more layers of the deposit materials are deposited by molecular beam deposition.
  • Molecular beam deposition is a method of growing films, under vacuum conditions, by directing one or more molecular beams at a substrate.
  • molecular beam deposition involves epitaxial film growth on single crystal substrates by a process that typically involves either the reaction of one or more molecular beams with the substrate or the deposition on the substrate of the beam particles.
  • the term "molecular beam” refers to beams of monoatomic species as well as polyatomic species.
  • the term molecular beam deposition includes both epitaxial growth and nonepitaxial growth processes.
  • Molecular beam deposition is a variation of simple vacuum evaporation.
  • molecular beam deposition offers better control over the species incident on the substrate than does vacuum evaporation. Good control over the incident species, coupled with the slow growth rates that are possible, permits the growth of thin layers having compositions (including dopant concentrations) that are precisely defined. Compositional control is aided by the fact that growth is generally at relatively low substrate temperatures, as compared to other growth techniques such as liquid phase epitaxy or chemical vapor deposition, and diffusion processes are very slow.
  • one or more layers of the deposit materials are deposited by ionized physical vapor deposition (I-PVD), also known as ionized metal plasma (IMP).
  • I-PVD ionized physical vapor deposition
  • metal atoms are ionized in an intense plasma. Once ionized, the metal is directed by electric fields perpendicular to the wafer surface. Metal atoms are introduced into the plasma by sputtering from the target. A high density plasma is generated in the central volume of the reactor by an inductively coupled plasma (ICP) source. This electron density is sufficient to ionize approximately 80% of the metal atoms incident at the wafer surface.
  • ICP inductively coupled plasma
  • the ions from the plasma are accelerated and collimated at the surface of the wafer by a plasma sheath.
  • the sheath is a region of intense electric field that is directed toward the wafer surface.
  • the field strength is controlled by applying a radio frequency bias.
  • one or more layers of the deposit materials are deposited by ion beam deposition (IBD).
  • IBD uses an energetic, broad beam ion source carefully focused on a grounded metallic or dielectric sputtering target. Material sputtered from the target deposits on a nearby substrate to create a film.
  • IAD ion assist source
  • Most applications also use a second ion source, termed an ion assist source (IAD), that is directed at the substrate to deliver energetic noble or reactive ions at the surface of the growing film.
  • IAD ion assist source
  • the ion sources are "gridded" ion sources and are typically neutralized with an independent electron source. IBD processing yields excellent control and repeatability of film thickness and properties. Process pressures in IBD systems are approximately 10 ⁇ 4 Torr.
  • IBD indium tetrachloride
  • one or more layers of the deposit materials are deposited by atomic layer deposition.
  • Atomic layer deposition is also known as atomic layer epitaxy, sequential layer deposition, and pulsed-gas chemical vapor deposition.
  • Atomic layer deposition involves use of a precursor based on self-limiting surface reactions. Generally, an object is exposed to a first species that deposits as a monolayer on the object. Then, the monolayer is exposed to a second species to form a fully reacted layer plus gaseous byproducts. The process is typically repeated until a desired thickness is achieved.
  • Atomic layer deposition and various methods to carry out the same are described in United States Patent Number 4,058,430 to Suntola et al., entitled “Method for Producing Compound Thin Films," United States Patent Number 4,413,022 to Suntola et al., entitled “Method for Performing Growth of Compound Thin Films,” to Ylilammi, and George et al., 1996, J. Phys. Chem. 100, pp. 13121-13131, each of which is hereby incorporated by reference herein in its entirety.
  • Atomic layer deposition has also been described as a chemical vapor deposition operation performed under controlled conditions that cause the deposition to be self-limiting to yield deposition of, at most, a monolayer.
  • the deposition of a monolayer provides precise control of film thickness and improved compound material layer uniformity.
  • Atomic layer deposition is performed using equipment such as the Endura Integrated Cu Barrier/Seed system (Applied Materials, Santa Clara, California). [00125] 7.18 Hot filament chemical vapor deposition
  • one or more layers of the deposit materials are deposited by hot filament chemical vapor deposition (HFCVD).
  • HFCVD hot filament chemical vapor deposition
  • reactant gases are flowed over a heated filament to form precursor species that subsequently impinge on the substrate surface, resulting in the deposition of high quality films.
  • HFCVD has been used to grow a wide variety of films, including diamond, boron nitride, aluminum nitride, titanium nitride, boron carbide, as well as amorphous silicon nitride. See, for example, Deshpande et al., 1995, J. Appl. Phys. 77, pp. 6534-6541, which is hereby incorporated by reference herein in its entirety.
  • one or more layers of the deposit materials are deposited by a screen printing (also known as silk-screening) process.
  • a paste or ink is pressed onto portions of an underlying structure through openings in the emulsion on a screen. See, for example, Lambrechts and Sansen, Biosensors:
  • the paste consists of a mixture of the material of interest, an organic binder, and a solvent.
  • the organic binder determines the flow properties of the paste.
  • the bonding agent provides adhesion of particles to one another and to the substrate.
  • the active particles make the ink a conductor, a resistor, or an insulator.
  • the lithographic pattern in the screen emulsion is transferred onto portions of the underlying structure by forcing the paste through the mask openings with a squeegee. In a first step, paste is put down on the screen.
  • the squeegee lowers and pushes the screen onto the substrate, forcing the paste through openings in the screen during its horizontal motion.
  • the screen snaps back, the thick film paste that adheres between the screening frame and the substrate shears, and the printed pattern is formed on the substrate.
  • the resolution of the process depends on the openings in the screen and the nature of the paste. With a 325-mesh screen (i.e., 325 wires per inch or 40 ⁇ holes) and a typical paste, a lateral resolution of ⁇ can be obtained.
  • a shadow mask such as a thin metal foil with openings, complements the process.
  • the resolution of this method is inferior (>500 ⁇ ).
  • the wet films are allowed to settle for a period of time (e.g., fifteen minutes) to flatten the surface while drying. This removes the solvents from the paste.
  • the conductive pastes are based on metal particles, such as Ag, Pd, Au, or Pt, or a mixture of these combined with glass.
  • Resistive pastes are based on Ru0 2 or Bi 2 Ru 2 07 mixed with glass (e.g., 65% PBO, 25% Si0 2 , 10% Bi 2 0 3 ).
  • the resistivity is determined by the mixing ratio. Overglaze and dielectric pastes are based on glass mixtures. Different melting temperatures can be achieved by adjusting the paste composition. See, for example, Madou, Fundamentals of
  • one or more layers of the deposit materials are deposited by electroless metal deposition.
  • electroless plating a layer is built by chemical means without applying a voltage.
  • Electroless plating baths can be used to form Au, Co-P, Cu, Ni-Co, Ni-P, Pd, or Pt layers. See, for example, Madou,
  • one or more layers of the deposit materials are deposited by electroplating. Electroplating takes place in an electrolytic cell. The reactions that take place in electroplating involve current flow under an imposed bias. In some embodiments, a layer is deposited as part of a damascene process. See, for example, Madou, Fundamentals of Microfabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp. 346-357, which is hereby incorporated herein by reference in its entirety. 8. Lithographic Etching Methods
  • etching or patterning the substrate can be conducted using other methods including, but not limited to, direct write technologies, Block Copolymer techniques and frequency doubling techniques.
  • the fabrication methods begin with a cleaning process.
  • Substrate cleaning is an important step in a lithographic process if there is contamination in presence, as the contamination can severally compromise the adhesion of the resist to the substrate.
  • Substrate surfaces have four general types of contamination: particulates, organic residues, inorganic residues, and unwanted oxide layers.
  • cleaning techniques can be used. These methods include dry cleaning, wet cleanings, ultrasonic agitation, polishing with abrasive compounds, supercritical cleaning.
  • a wet cleaning is used to remove organic materials from the substrate and prepare for the adhesion of the resist to the substrate. It is carried out by submerging a substrate in a bath or by rinsing the substrate with DI water and/or a solvent rinse. After the wet cleaning, the substrate is dried to remove moistures. Several drying techniques can be used. For example, in some embodiments, the substrate after wet cleaning can be dried using dehydration bake method. In this method, the substrate is baked at a temperature for a period of time such as baked at 80°C for several minutes. In some embodiments, the substrate may be dried by N 2 flow or spinning.
  • an adhesion promoter can be applied to the substrate before the application of the resist.
  • various adhesion promoters can be used.
  • Bis(trimethylsilyl)amine also known as hexamethyldisilazane, or HMDS
  • HMDS hexamethyldisilazane
  • HMDS is an organosilicon compound with the molecular formula
  • HMDS is often used as an adhesion promotor for photoresist, and can be applied using any suitable conventional methods.
  • HMDS can be applied by vapor chemical deposition.
  • good adhesions are obtained by applying HMDS from the gas phase on heated substrates.
  • a bottom anti-reflective coating may be applied to help reduce image distortions associated with light reflections during lithography.
  • BARCs are critical and highly desirable.
  • the substrate or a layer on the substrate is highly reflective, as in metal and polysilicon layers, light reflections can destroy the pattern resolution by three mechanisms: a) off-normal incident light can be reflected back through the resist that is intended to be masked; b) incident light can be reflected off device features and expose "notches" in the resist; and c) thin-film interference effects can lead to linewidth variations when resist thickness changes are caused by substrate or wafer topology or nonflatness.
  • BARCs can be either organic or inorganic, and can be applied either before or after the photoresist. Conventional methods, such as spinning, sputtering or chemical vapor deposition, can be used to apply the BARCs. By reducing standing waves, thin- film interference, or specular reflections, a BARC helps shrink line widths and improves the pattern resolution. In some cases, a BARC can absorb the radiation and dissipates the energy as heat. Such a BARC is generally suitable to be applied to a substrate before the resist. This BARC lowers reflectance back into the photoresist that has passed through the photoresist.
  • One form of photolithographic processing in accordance with the present disclosure begins with the coating of a resist layer over the layer of material to be patterned.
  • Another form of photolithographic processing in accordance with the present disclosure applys the resist coating after at least one of the steps described in the previous sections, i.e., cleaning and dehydration baking, adhesion promotion coating or BARC.
  • Resists used to form this resist layer are typically comprised of organic polymers applied from a solution.
  • the thickness of the resist is determined using Bossung Curve analysis. Bossung Curve analysis is one of the most commonly used tools in lithography. It maps a control surface for critical dimensions as a function of the variables of focus and exposure (dose). A detailed discussion of the Bossung Curve analysis can be found in Zavecz, Metrology, Inspection and Process Control edited by C. Archie, Proceeding of SPIE (2006) Vol. 6152 -109.
  • this resist layer has a thickness in the range of 0.1 ⁇ to 2.0 um. Furthermore, in some embodiments, the resist layer has a uniformity of plus or minus 0.01 ⁇ .
  • the resist layer is applied using a spin technique such as a static spin process or a dynamic dispense process. In some embodiments, the resist layer is applied using a manual spinner, a moving-arm resist dispenser, or an automatic spinner. See, for example, Van Zant, Microchip Fabrication, Forth Edition, McGraw-Hill, New York, 2000, pp. 217-222, which is hereby incorporated by reference herein in its entirety.
  • the resist layer is an optical resist that is designed to react with ultraviolet or laser sources.
  • the resist layer is a negative resist in which polymers in the resist form a cross-linked material that is etch resistant upon exposure to light. Examples of negative resists that can be used to make the resist layer include, but are not limited to, azidelisoprene negative resists,
  • PMMA polymethylmethacrylate
  • PMIPK polymethylisopropyl ketone
  • PBS poly-butene-1- sulfone
  • COP copolymer-(V-cyano ethyl acrylate-V-amido ethyl acrylate)
  • PMPS poly-(2 -methyl pentene-l-sulfone)
  • the resist layer (e.g. , positive resist layer of Fig. 2A) is a positive resist.
  • the positive resist is relatively insoluble. After exposure to the proper light energy, the resist converts to a more soluble state. This reaction is called photosobulization.
  • One positive photoresist in accordance with the present disclosure is the phenol-formaldehyde polymer, also called phenol-formaldehyde novolak resin. See, for example, DeForest, Photoresist: Materials and Processes, McGraw-Hill, New York, 1975, which is hereby incorporated by reference herein in its entirety.
  • the resist layer is LOR OSA, LOR 5 0.7A, LOR 1A, LOR 3A, or LOR 5A (MICROCHEM, Newton, Massachusetts). LOR lift-off resists use polydimethylglutarimide.
  • a bake is used to densify the resist layer and drive off residual solvent or excess carrier solvent from the resist layer. After the bake, the resist becomes less tacky and the thickness of the resist layer is reduced slightly. This bake is referred to as a softbake, prebake, or post-apply bake.
  • Several methods of baking the resist layer are contemplated by the present disclosure including, but not limited to, convection ovens, infrared ovens, microwave ovens, or hot plates. See, e.g, Levinson, Principles of Lithography, SPIE Press, Bellingham, Washington, 2001, pp. 68-70, which is hereby incorporated by reference herein in its entirety.
  • the next step is alignment and exposure of the resist layer.
  • Alignment and exposure is, as the name implies, a two- purpose photomasking step.
  • the first part of the alignment and exposure step is the positioning or alignment of the required image on the material surface. The image is found on a mask.
  • the second part is the encoding of the image in the resist layer from an exposing light or radiation source.
  • any conventional alignment system can be used to align the mask with the resist layer, including but not limited to, contact aligners, proximity aligners, scanning projection aligners, steppers, step and scan aligners, x-ray aligners, and electron beam aligners.
  • Masks can be negative or positive.
  • a positive mask (not shown) used to develop a positive resist would have the opposite pattern of a negative mask. Both negative masks and positive masks used in the methods of the present disclosure are fabricated with techniques similar to those used in wafer processing.
  • a photomask blank consisting of an opaque film (usually chromium) deposited on glass substrates, is covered with resist.
  • Mask patterning is accomplished primarily by means of beam writers, which are tools that expose mask blanks according to suitably formatted biosensor electrode patterns.
  • electron or optical beam writers are used to pattern negative masks or positive masks. See, e.g., Levison, Principles of Lithography, SPIE Press, Bellingham, Washington, 200 1, pp. 229- 256, which is hereby incorporated by reference herein in its entirety.
  • the final image matches the desired pattern from the mask or interference pattern.
  • attention is focused on providing uniformity of the light intensity and/or controlling the exposure rate.
  • the tool used to project the pattern of a mask onto a device is a wafer stepper.
  • Wafer steppers exist in two
  • step-and-repeat In a step-and-repeat system, the entire area of the mask to be exposed is illuminated when a shutter is opened. In a step-and scan system, only part of the mask, and therefore only part of the exposure field on the device unit, is exposed when a shutter is opened. The entire field is exposed by scanning mask and the device being patterned synchronously. See, e.g. , Levison, Principles of Lithography, SPIE Press, Bellingham, Washington, 200 1, pp. 1 33- 174, which is hereby incorporated by reference herein in its entirety.
  • PEB post exposure bake
  • PEB can be applied above the softening point of the resist without destroying the structures to be developed.
  • a PEB can be performed at 110°C, for 1-2 min on a hotplate.
  • a PEB performed near the softening point of the photo resist can reduce mechanical stress formed during softbake and exposure.
  • a PEB can also promote the thermally activated diffusion of carboxylic acid formed during exposure from the photo active compound. This diffusion step smoothes the spatial periodic pattern of carboxylic acid, which in turn will help to improve the image or pattern resolution.
  • the pattern is coded as a latent image in resist as regions of exposed and unexposed resist.
  • the pattern is developed in the resist by chemical dissolution of the unpolymerized resist regions.
  • a number of development techniques can be used to develop the resist. Development techniques are designed to leave in the resist layer an exact copy of the pattern that was on the mask or reticle. The successful development of the image coded in resist is dependent on the nature of the resist's exposure mechanisms.
  • the development step is done with a chemical developer followed by a rinse.
  • the rinse chemical is n-butyl acetate in some embodiments.
  • Positive resists present a different developing condition.
  • Use of developers that are too aggressive or that have overly long developing times result in an unacceptable thinning of the resist.
  • Two types of chemical developers used with positive resists in accordance with the present disclosure are alkaline-water solutions and nonionic solutions.
  • the alkaline-water solutions can be sodium hydroxide or potassium hydroxide.
  • Typical nonionic solutions include, but are not limited to, tetramethylamrnonimurn hydroxide (TMAH).
  • the rinse chemical for positive-resist developers is water. A rinse is used for both positive and negative resists.
  • This rinse is used to rapidly dilute the developer chemical to stop the developing action.
  • a developer is applied to resist in order to develop the latent image.
  • Such methods include, but are not limited to, immersion, spray development, and puddle development.
  • wet development methods are not used. Rather, a dry (or plasma) development is used. In such dry processes, a plasma etcher uses energized ions to chemically dissolve away either exposed or unexposed portions of the resist layer without first developing the resist layer using wet chemical techniques.
  • the chemical reaction in the resist layer needs to be controlled to ensure the image fidelity. This can be achieved by controlling the exposure time, the development time, or other processing parameters.
  • Image fidelity herein refers to the ability of a lithographic process to render an image accurately, without any visible distortion or information loss.
  • resist is hard baked after it has been developed.
  • the purpose of the hard bake is to achieve good adhesion of the resist layer to the underlying layer to be patterned.
  • a hard bake is accomplished using a convection oven, in-line or manual hot plates, infrared tunneling ovens, moving-belt convection ovens, vacuum ovens and the like.
  • General baking temperature and baking times are provided by the resist manufacture. Therefore, specific baking temperatures and times is application dependent.
  • the hard baking temperature is the hottest or highest temperature among all of the processes. Nominal hard bake temperatures are from 130°C to 200°C for thirty minutes in a convection oven.
  • the hard baking sets the resist and enhances mechanical stability of the resist for the subsequent etch or implant process. At this point, the image fidelity is usually measured and fed back to the preceding lithographic steps.
  • an etching step is used for patterning.
  • a number of etching methods are available. Etching can be divided into dry and wet etching. The following disclosure provides examples of such etching. It will be understood by one of skill in the art that the disclosed etching methods can be used independently of the preceding lithographic steps in accordance with some embodiments. It will be further understood by one of skill in the art that the disclosed etching methods can be used with the preceding lithographic steps in accordance with some embodiments. Wet etching is the use of acidic or basic solutions to solvate away a specific reacted species.
  • Examples are silicon dioxide being etched in hydrofluoric acid, or Si3N4 in hot phosphoric acid, or mono-crystalline silicon in potassium hydroxide (KOH)). Photoresist materials are removed by acid or base materials (depending on polarity and resist chemistry).
  • ICP inductive coupled plasma
  • TCP transformer coupled plasma
  • etchant is introduced either as a liquid bath with submersion or a surface spray/mist. Material is removed as a function of solvation of the etch intermediate or byproduct.
  • a limitation of wet etching is the wetting function of the chemical. Some etchants are two step reactions such as oxidation of a material then solvation of the oxide.
  • Wet etches can also be used in combination with the dry etches as a preparatory step for surface cleaning or contaminate removal.
  • An example is organic material removal prior to a reactive ion etch.
  • Wet etches are typically isotropic or follow crystal lattices.
  • the structure to be patterned is immersed in a tank of an etchant for a specific time. Then the structure is transferred to a rinse station for acid removal, and transferred to a station for final rinse and a spin dry step.
  • wet spray etching or vapor etching is used for patterning.
  • Wet spray etching offers several advantages over immersion etching including the added definition gained from the mechanical pressure of the spray.
  • vapor etching the wafer is exposed to etchant vapors such as hydrofloric acid vapors.
  • Dry etching encompasses other methods outside the wet etch environment.
  • Basic mechanics includes excitation of a chemical to an ionic state and then reaction with the substrate and films. Material is removed either by physical/mechanical methods or chemical conversion and solvation into the gas stream.
  • Sputter physical / mechanical.
  • ions or elements are accelerated to a high energy and directed toward a surface. Surfaces are removed due to the collisions of these highly charged ions, much like a nanoscale sandblasting method.
  • Sputter etching is facilitated by charging the ion and then establishing a high bias towards to the substrate. Removal is line of sight from the target in the direction of the bias.
  • Sputter etching is a method to achieve anisotropic etch profiles. Sputtering can also be accomplished by directional ion bombardment by 'ion guns'. Examples include focused ion beam (FIB) or other direct write approaches.
  • FIB focused ion beam
  • Chemical enhanced etching exploits generation of intermediate species that can be solvated in the solution or vaporized in the low pressure chamber. Chemical etching is tuned to generate the solvated states due to the chemicals included in the reaction mixture. For example, chlorine is used for most metals.
  • a fluorine based chemical such as carbontetrafluoride (CF 4 ) or sulfurfluoride (SF 6 ) is used for etching silicon or silicon oxide. Oxide etches with CF 4 or SF 6 follows the same reaction mechanism as the wet etch with HF acid.
  • Ion beam etching Another type of etcher that is used to perform etching in accordance with various aspects of the present disclosure is ion beam etching.
  • ion beam etching is a physical process. The structure to be etched is placed on a holder in a vacuum chamber and a stream of argon is introduced into the chamber. Upon entering the chamber, the argon is subjected to a stream of high-energy electrons from a set of cathode (-)-anode (+) electrodes. The electrons ionize the argon atoms to a high-energy state with a positive charge.
  • the wafers are held on a negatively grounded holder that attracts the ionized argon atoms. As the argon atoms travel to the wafer holder they accelerate, picking up energy. At the wafer surface, they crash into the exposed wafer layer and blast small amounts from the wafer surface. No chemical reaction takes place between the argon atoms and the wafer material.
  • the material removal (etching) is highly directional (anisotropic), resulting in good definition in small openings.
  • Plasma etching is a method for ionization in the dry etch process. Plasmas can be tuned and controlled for the different gases used. Plasma can be struck with one gas and maintained by another. Relative location of the plasma can increase etch rate or impact resultant damage. Some systems apply remote plasma generation sources while others control the confinement and immersion in the plasma. Generally there is a dilution or carrier gas that maintains the plasma and then a small volume of reactive gas is introduced. Vacuum levels define the type of plasma etching and complexity for control. Power of the generator is a control factor as well as the frequency.
  • plasma etching is performed using a plasma etcher.
  • a plasma etcher comprises a chamber, vacuum system, gas supply, and a power supply.
  • the structure to be etched is loaded into the chamber and the pressure inside is reduced by the vacuum system.
  • the chamber is filled with the reactive gas.
  • the gas is usually CF4 that is mixed with oxygen.
  • a power supply creates a radio frequency (RF) field through electrodes in the chamber. The field energizes the gas mixture to a plasma state. In the energized state, the fluorine attacks the silicon dioxide, converting it into volatile components that are removed from the system by the vacuum system.
  • RF radio frequency
  • any of a wide variety of plasma etchers is used to perform etching, in accordance with various embodiments of the present disclosure.
  • Such etchers include, but are not limited to, barrel etchers, plasma planar systems, electron cyclotron resonance sources, high density reflected electron sources, helicon wave sources, inductively coupled plasma sources, and transformer coupled plasma sources.
  • a reactive ion etcher system combines plasma etching and ion beam etching principles.
  • the systems are similar in construction to the plasma systems but have a capability of ion milling. The combination brings the benefits of chemical plasma etching along with the benefits of directional ion milling.
  • the etch process generates an artifact or signature of the processing employed.
  • Isotropic etching implies equal etching in all directions. The two references are vertical and horizontal directions. An isotropic etch 'undercuts' the mask at a ratio to the vertical depth etched. Impact is that a circular opening of 1 micron when etched to a 0.5 micron depth would have a bowl like shape that is 2 microns at the top of the bowl, 1 micron at the bottom, with rounded side walls. An important consideration here is that if a conformal film were deposited over a topography/structure, an isotropic etch would remove the horizontal material as well as the side wall/vertical material. There is no shadowing or off line of sight protection from an isotropic etch (excluding rate limiting or aspect ratio physical diffusivity barriers). This characteristic is used in cleans and sacrificial film removals. One trick is to use isotopic etching to consume the side walls, thereby reducing the critical dimension.
  • Anisotropic etching Anisotropic etching is preferential etching in one direction over the other. Hence the term anisotropic: not isotropic. Ability to produce anisotropic etch chemistries allows for denser packing of devices. Anisotropy is limited by the bias and directionality of the tool utilized.
  • the mask image is transferred into the substrate with fidelity: a 1 micron circular opening etched to 0.5 micron depth is 1 micron by 0.5 micron feature in the substrate.
  • An application for the disclosed technology is considering the etching of a conformal coating. If the deposited film is .25 microns over a .8 micron step, an anisotropic etch removes the .25 microns on the surface (horizontal surface) but leaves the side wall (vertical surface) material. Thereby an anisotropic etch results in a new structure of the deposited material where an isotropic etch would remove all material. Limitations on anisotropic etches are physical limitations that inhibit reactive species reaching the bottom surface of the etch location. These topics are defined in 'aspect ratio', 'poisoning', 'etch stops' and other terms below.
  • Etch rate A function of the process recipe which quantifies how fast a material is removed. Units are expressed in removed thickness per time, e.g. Angstroms per second. Etch rate includes lateral calculation as well as the vertical component. Etch rate can be reduced by addition of diluents or carrier gases that do no enhance the etch reaction. Etch rate is modified to compensate for reaction chamber design where the etch rate in the center may be higher than on the outer edge. Etch rate is sacrificed for uniformity and repeatability. High etch rates are desirable for manufacturability. However, etch rate is only one part of the grand compromise for a final etch process.
  • a desired etch profile could be a perfect transfer of the mask image into the substrate material with vertical sidewalls.
  • the most common etch artifact is an oblique angle slope where the top is wider than the bottom. This can be caused from various etch conditions. A primary mechanism for this is that the etch reaction is hindered by diffusivity of the etchant, by-product interference, loss of ionization states, or competitive nonproductive reactions.
  • both vertical side wall, oblique and reentry angled structures are contemplated through the disclosed etching techniques.
  • Re-entrant side wall The opposite of the oblique angle side wall is the reentrant side wall angle. Here the bottom is wider than the top masked surface.
  • This profile can be obtained by segmented etching with increasingly isotropic etch recipes. The isotropic undercuts by the lateral etch nature. The resultant structure resembles the dove tail joint in wood working.
  • Another method for reentrant side walls is the enhancement of the etch rate in the trench as a function of dopant materials.
  • Erosion A method to increase the oblique slope of a side wall during an etch process is to erode the masking material at the edges. This is usually a function of heating the mask material during the etch process. This has the impact that the edge acuity of the resist is lost, resulting in the feature size being gradually reduced with etch time. Resist erosion reduces the critical dimension at the top of the feature. Examples of extreme erosion would produce teepee or pyramid like structures
  • Etch stop is a material that has a very low etch rate that is built as a sandwich structure in a device. As the target material is etched the structure is defined. However when the etchant hits the etch stop material the maximum depth is reached. This is a method to control the depth of an etch material with high precision.
  • Deposition sandwich can be controlled uniformly across the substrate regardless of the etch reactor design or non uniformity.
  • a second artifact is that the amount of over etch time can be extended and only the lateral etch will continue. Lateral etch results in re-entrant slopes or critical dimension reduction.
  • An etch recipe can be designed in which there is a limitation of the etchant material. Then due to physical constraint such as aspect ratio, dilution, power or bias, the effective etch is restricted. Evidence of this artifact is in deep trench, 10 to 15 microns, where there is tapering and closure. Regardless of the additional time provided the etch depth does not progress. Poisoning of the reaction has the same results but can be observed by additions to the gas stream that inhibits conversion to the desired species, or a competitive reaction that consumes the reactive species in a
  • etchants can be used to highlight and accentuate the lattice structure.
  • iodine based wet etches are used for defect analysis due to different etch rates on the crystal lattice.
  • crystalline specific etches for special substrate enhancements and for specific devices are used.
  • metallic etches can improve the surface area for the graphene growth.
  • nucleation approaches make use of faceting etch for graphene growth.
  • Polish A light etch step to change the profile slightly or remove unwanted residuals. For segmented film deposition a slight polish removes undesired side wall material and fine tunes by reduction of material the final film thickness.
  • Deep trench and isolation of structures are advanced to do deep trench etches with aspect ratios of 20: 1 to greater.
  • One of the benefits of trench formation is the ability to isolate structures on the same substrate.
  • the isolation can be by air or a back fill material (dielectric or oxides.
  • the etch process defines the isolation and the resultant critical dimension of the rib or pillar. Polarity is important because the device functionality can be buried in the bottom of the trench and the wall portions can act as thermal radiators, wave guides, or particulate traps.
  • Aspect ratio is defined by the height of the structure over the width. In the etch process this impacts the diffusivity of the etchant in a narrow trench (10: 1) which will slow the etchant reaction.
  • a secondary concern is the physical stability of a tall feature on a narrow base. Such features are impacted by the microfluidic forces of subsequent processing resulting in toppling or cleavage of the structure.
  • Etch passivation Slight differentiation, or subset of side wall re-deposition.
  • etch step and oxidizing ambient may be used as the etchant chemistry. If there are other layers exposed such as metals (aluminum) a thin oxide will be formed which passivates the secondary surface. Other etch byproducts passivates other materials.
  • etch tool configurations include, but are not limited to, Applied materials, Lam Research, Tegal, Hitachi, Oxford, Plasma Therm, and Branson to name a few. Each company has improvements or enhancements over the competitors.
  • the following list highlights some of the designs and types of reactors on the market: barrel etchers, parallel plate, downstream etchers, ICP, TCP, sinks, spray dispense, oxidation, EBEAM oxidation, and direct write systems.
  • wet etch wet sinks
  • wet sinks there are numbers of designs for recirculation, purity, automation of multiple baths and inclusion of spin rinse dryers. Note also in the literature some tools are refined to the substrate or material designed to be etched: metal etchers, oxide etchers, etc.
  • the result of the etching process described above is the formation of grooves.
  • the residual layer is removed in a process known as resist stripping in order to yield the patterned structure.
  • the resist is stripped off with a strong acid such as H 2 SO 4 or an acid oxidant combination, such as H 2 S0 4 -Cr 2 0 3 , attacking the resist but not the groove to yield the fully patterned structure.
  • Other liquid strippers include organic solvent strippers (e.g., phenolic organic strippers and solventlamine strippers) and alkaline strippers (with or without oxidants).
  • a dry plasma process is applied to remove a resist.
  • the device is placed in a chamber and oxygen is introduced.
  • the plasma field energizes the oxygen to a high energy state, which, in turn, oxidizes the resist components to gases that are removed from the chamber by the vacuum pump.
  • the plasma is generated by microwave, radio frequency, or ultraviolet-ozone sources. More information on photolithographic processes that can be used to pattern devices is found in Madou, Fundamentals of Micro fabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp.
  • Such methods include the use of a positive photoresist rather than a negative photoresist as well as extreme ultraviolet lithography, x-ray lithography, charged-particle-beam lithography, scanning probe lithography, soft lithography, and three-dimensional lithographic methods.

Abstract

A method for forming a graphite -based structure on a substrate is provided. The substrate comprises a plurality of zones, a first zone of which comprises sub-zones. The graphite -based structure comprises a graphene layer overlying the first zone. The graphene layer comprises a plurality of graphene sub-layers that collectively defines a predetermined cross-sectional graphene profile. In the method the first zone is doped with carbon in accordance with a plurality of dopant profiles. Each dopant profile in the plurality of dopant profiles characterizes the doping for a sub-zone in the plurality of sub-zones. At least one dopant profile is different than another dopant profile in the dopant profiles. The plurality of graphene sub-layers is generated on the substrate by heating. At least one graphene sublayer in the plurality of graphene sub-layers has a characteristic dimension that is different than that of another graphene sub-layer in the plurality of graphene sub-layers.

Description

METHODS FOR FABRICATING GRAPHITE-BASED STRUCTURES AND
DEVICES MADE THEREFROM
CROSS REFERENCE TO RELATED APPLICATION
[0001] This application claims priority to United States Provisional Application No.
61/775,479, entitled "Method for Fabricating Profiled Graphite-Based Structures and Devices Made Therefrom," filed March 8, 2014, which is hereby incorporated by reference herein in its entirety.
1. FIELD OF THE DISCLOSURE
[0002] The present disclosure is generally related to methods for forming graphite- based devices with a profile and the graphite -based devices made therefrom.
2. BACKGROUND
[0003] Graphite -based structures or devices, e.g. graphene quantum dots, graphene nanoribbons (GNRs), graphene nanonetworks, graphene plasmonics and graphene super- lattices, exhibit many exceptional chemical, mechanical, electronic and optical properties, and are very desirable for use in electronic devices, composite materials, and energy generation and storage. Such graphite-based structures in general comprise a graphene layer, typically nanometers thick and having a characteristic dimension also in nanometers range. For example, in order to obtain adequate band gaps for operation at room temperature, GNRs are required to have a width within a few nanometers due to the inverse relationship between the band gap and the width of the GNRs. Moreover, graphene layers having different characteristic dimensions and thus different bandgaps and/or multiple levels, different pitch and duty cycle combinations are very desirable because they allow for the design of graphene devices with multiple functions, enhanced efficiency, and/or higher packing density.
[0004] Current methods for fabricating such graphite -based structures are
complicated, expensive, inefficient and highly inconsistent, and are mainly limited to laboratories. These methods can be broadly classified as epitaxial growth, chemical vapor deposition (CVD) growth, colloidal suspension, unconventional methods and exfoliation (See, e.g., Jayasen and Subbiah, 2011, Nanoscale Research Letter, 6:95; Parrish, "Graphene Growth Techniques for Use in Nanoelectronics).
[0005] Current fabrication methods generally involve patterning graphene, after graphene generation, into desired shapes and sizes. Patterning graphene, however, is very difficult because maintaining selectivity when etching carbon based materials is difficult in relation to other materials. It is in particular a notoriously difficult process in the nanoscale dimensions. As a result, current methods have several drawbacks. For example, the required etching for patterning graphene sheets into desired shapes often produce graphite-based structures with unpredictable geometries and erratic edge structures, yielding unsatisfactory functionalities of the graphene devices. Also, current methods generally use horizontal isolation, resulting in less usable surface area, lower packing density and accordingly lower efficiency of the graphene devices.
[0006] Given the above background, there is a need in the art for fabrication methods that can produce controllable, reliable and precise graphite-based structures without patterning the graphene layers, and in some cases, with multiple or enhanced functionality and/or higher packing density.
3. SUMMARY
[0007] The present disclosure advantageously provides methods for fabricating graphite -based devices with a profile, particularly in the nanoscale range, and graphite -based devices formed without any requirement for patterning graphene. For instance, one aspect of the present disclosure provides a method for fabricating a graphite -based structure with a profile on a substrate comprising a plurality of zones. A first zone in the plurality of zones comprises a first plurality of sub-zones. The method includes doping the first zone with carbon in accordance with a first plurality of dopant profiles. Each respective dopant profile in the first plurality of dopant profiles characterizes the doping for a corresponding sub-zone in the first plurality of sub-zones and at least one dopant profile is different than another dopant profile in the first plurality of dopant profiles. The method also includes generating a first graphene layer on the substrate. The first graphene layer comprises a first plurality of graphene sub-layers that collectively defines a first predetermined cross-sectional graphene profile of the first graphene layer. The first plurality of graphene sub-layers comprises at least one graphene sub-layer with a different characteristic dimension than an adjacent graphene sub-layer in the first plurality of graphene sub-layers, thereby producing the first graphene layer having multiple bandgaps and the graphite-based structure.
[0008] In some embodiments, the substrate is a non-patterned substrate, and the first graphene layer is generated on a surface of the substrate. In some embodiments, the substrate is a patterned substrate with initial features such as elements or trenches. In such
embodiments, a zone can comprise one or more initially patterned features and the first layer can be generated on the initially patterned features such as on an element, on a trench or on an element or a trench.
[0009] In some embodiments, the first plurality of dopant profiles comprises 2, 3 or more different profiles in different sub-zones. In some embodiments, the first graphene layer comprises a graphene sub-layer overlaying on a surface or a portion of the surface of first zone. In some embodiments, the first graphene layer comprises a substantial flat graphene sub-layer, a curved graphene sub-layer or a combination of flat and curved graphene sublayers. In some embodiments, the first graphene layer comprises a graphene sub-layer overlaying on a portion of an underneath sub-layer or having a portion that overhangs, butts or joins another adjacent graphene sub-layer. In some embodiments, an outermost graphene sub-layer covers or encapsulates the inner graphene sub-layers, thus providing protections to the inner sub-layers.
[0010] In some embodiments, the method further includes doping a second, a third or more zones and generating a graphene layer in each doped zones. In some embodiments, each graphene layer generated in a zone is separated from other graphene layers generated in its adjacent or neighboring zones. In some embodiments, graphene layers generated in adjacent zones form physically contact to each other but are functionally separated from each other by boundary conditions at the interface or intersection. In some embodiments, the doping is conducted such that a plurality of graphene layers are generated in one zone, which can be either isolated or form physically contact to each other but are functionally separated from each other.
[0011] Another aspect of the present disclosure provides a method for fabricating a graphite -based structure or device with a profile, utilizing a barrier layer to control dopant profiles. The method includes creating at least one barrier layer before doping the substrate. In some embodiments, a first barrier layer in the at least one barrier layer overlays the first zone for controlling the first plurality of dopant profiles, and is characterized by a first cross- sectional barrier profile that is correlated to the first predetermined cross-sectional graphene profile. After doping the substrate through the at least one barrier layer, in some
embodiments, the method also includes removing the at least one barrier layer, thereby allowing subsequent generation of the first graphene layer on the substrate.
[0012] In some embodiments, the first barrier layer comprises a first plurality of barrier sub-layers that collectively defines the first cross-sectional barrier profile. The first cross-sectional barrier profile can be smooth, slanted, stepwise, symmetric, asymmetric, regular or irregular. In some embodiments, more than one barrier layers are created in one zone.
[0013] Various other aspects of the present disclosure provide a variety of exemplary graphite -based structures or devices formed by the disclosed exemplary methods. In some embodiments, the exemplary graphite-based structures or devices include a substrate comprising one or more zones and one or more graphene layers overlaying on the one or more zones, where at least one graphene layer is formed with a profile. That is, at least one graphene layer comprises a plurality of graphene sub-layers with different characteristic dimensions and hence different bandgaps, consequently providing the graphite -based structures or devices with multiple functionalities. The characteristic dimensions in general range from 2 nm to 100 nm, and a graphene layer or a graphene sub-layer can comprise 1-500 graphene sheets.
[0014] In some embodiments, the exemplary graphite-based structures or devices comprises 1 graphene layer, between 2 and 10 graphene layers, 10 and 100 graphene layers, between 100 and 1000 graphene layers, or more than 1000 graphene layers. In some embodiments, each graphene layer overlays a corresponding zone in the one or more zones. In some embodiments, a plurality of graphene layers overlays one zone.
[0015] In some embodiments, a graphene layer has a smooth shape, a stepwise shape, a concave shape, or a convex shape. In some embodiments, a graphene layer has a cup-like shape, a conical-like shape, or a dome-like shape. In some embodiments, a graphite -based structure or device comprises a graphene layer with a cross-sectional graphene profile that is substantially the same as another graphene layer in the same device; and in some other embodiments, a graphite -based structure or device comprises a graphene layer with a cross- sectional graphene profile different than another graphene layer in the same device. In some embodiments, a graphene layer has multiple characteristic dimensions along one lateral direction but has a constant characteristic dimension along another lateral direction. In some embodiments, a graphene layer has multiple characteristic dimensions along two lateral directions.
[0016] In some embodiments, a graphene layer comprises a plurality of graphene sublayers with a first graphene sub-layer and a second first graphene sub-layer, where the first graphene sub-layer has a characteristic dimension or width between 25 nm and 35 nm for absorbing or emitting a blue frequency or a blue frequency range and the second graphene sub-layer has a characteristic dimension or width between 65 nm and 75 nm for absorbing or emitting a green frequency or a green frequency range. In some embodiments, a graphene layer comprises a plurality of graphene sub-layers with a first graphene sub-layer having a characteristic dimension between 1 nm and 20 nm, a second graphene sub-layer having a characteristic dimension between 20 nm and 50 nm and a third graphene sub-layer having a characteristic dimension between 50 nm and 100 nm.
4. BRIEF DESCRIPTION OF THE DRAWINGS
[0017] The accompanying drawings, which are incorporated into and constitute a part of this specification, illustrate one or more embodiments of the present application and, together with the detailed description, serve to explain the principles and implementations of the application.
[0018] FIGS. 1A-1E provide a detailed graphical representation of an exemplary method for forming graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure.
[0019] FIGS. 2A-2D provides a detailed graphical representation of another exemplary method for forming graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure.
[0020] FIGS. 3A-3K provide graphical representations of exemplary methods with variable configurations on a substrate, in accordance with some embodiments of the present disclosure. [0021] FIGS. 4A-4D provide graphical representations of exemplary graphene devices with graphene layers separated by boundary conditions, in accordance with some embodiments of the present disclosure.
[0022] FIGS. 5A-5B provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
[0023] FIGS. 6A-6E provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
[0024] FIGS. 7A-7C provide graphical representations of exemplary graphene devices with variable configurations on a substrate, in accordance with some embodiments of the present disclosure.
5. DETAILED DESCRIPTION
[0025] Embodiments of the present disclosure are described in the context of methods for fabricating graphene devices with a profile and in the context of graphene structures or devices made therefrom. In some embodiments, various methods are provided for fabricating graphite -based structures while achieving desired size, specified geometries, and
characterized electronic/photonic properties of the graphite-based structures. Exemplary methods include doping a substrate (either patterned or non-patterned) with carbon, and producing a plurality of dopant profiles in a selected zone or zones. The methods then include generating a graphene layer in the selected zone or zones, resulting in at least one graphene layer comprising a plurality of graphene sub-layers with different characteristic dimensions. Generally, the profile of a graphene layer is characterized or defined by the plurality of dopant profiles. In some embodiments, exemplary methods further include creating a barrier layer on the selected zone or zones of the substrate for controlling the dopant profiles. In some embodiments, exemplary methods further include various additional, optional, or alternative processes if desired, for instance, an annealing process after the doping to further adjust the dopant profiles or a passivation process to inhibit graphene growth on an undesired surface. Exemplary methods of the present application can be used to fabricate a wide variety of graphite-based structures or devices, including diodes, transistors, LEDs, solar cells, photodetectors, or other devices, or any combination thereof.
[0026] The present disclosure provides for the fabrication of graphene devices in various configurations with any number of graphene layers, each of which can comprise any number of graphene sub-layers. In some instances, a graphene layer includes a plurality of graphene sub-layers that collectively form a smooth profile, with each sub-layer having a different characteristic dimension or comprising a different number of graphene sheets than an adjacent graphene sub-layer. In some instances, a graphene layer includes a plurality of graphene sub-layers that collectively form a stepwise profile, with one sub-layer having a different characteristic dimension or comprising a different number of graphene sheets than another graphene sub-layer. In some instances, a graphene layer generated in one zone has a different profile than a graphene layer generated in another zone of the substrate. In some other instances, graphene layers generated in each zone of the substrate have substantially the same profile.
[0027] This wide variety of configurations advantageously provides for the ability to generate a wide array of devices, include devices in which a graphene sub-layer of a graphene layer performs one function while another graphene sub-layer performs another function. This diversity gives rise to the ability to design a wide variety of graphene devices as disclosed herein. Moreover, this is all accomplished without any requirement to post process graphene once the graphene has been formed.
[0028] The ability to provide multiple functions in the same device, and in some cases in a single graphene layer, allows for more versatile and efficient devices (such as solar devices), integration of broadband devices (EUV through IR), increased efficiency by the design of elements to capture maximum peak wavelength energy, generation of neighboring effects of different functionality of graphene (single and multiple layers), reduced resistivity by use of more sheets, band gap tune ability, work function definition, denser packing of device, shorter mean free paths, better capture of photons, cascade devices (sometimes called stair case devices) where photons or wavelengths are stripped from top to bottom, advantageous optical properties and electrical interactions (e.g., sensing and response to specific wavelength at each level). [0029] Exemplary methods of the present disclosure have many other advantages, which are described throughout the present disclosure and in advantages section 6.
[0030] Those of ordinary skill in the art will realize that the following detailed description of the present application is illustrative only and is not intended to be in any way limiting. Other embodiments of the present application will readily suggest themselves to such skilled persons having benefit of this disclosure. Reference will now be made in detail to implementations of the present application as illustrated in the accompanying drawings. The same reference indicators will be used throughout the drawings and the following detailed description to refer to the same or like parts.
[0031] In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It will, of course, be appreciated that in the development of any such actual implementation, numerous implementation-specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business -related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.
[0032] 5.1 Definitions
[0033] As used herein, the term "substrate" refers to a solid substance generally in a form of a thin slice. The substrate can be planar or flexible, and can comprise dielectric, semiconducting or metallic materials, such as glass, Si, Si02, SiC, Cu, Ni, or other materials. It can include one layer or multiple layers. In some embodiments, a substrate comprises multiple layers, each with a different material. In some embodiments, a layer of another substance is applied onto the substrate. In some embodiments, the substrate has
crystallographic symmetry. In some embodiments, the substrate is made of poly methyl methacrylate (PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA). When referring to multiple layers, the term "substrate" is equivalent to and interchangeable with the term "substrate stack."
[0034] As used herein, the term "sheet" refers to a substantially two-dimensional or one-atom thick substance. For example, a "graphene sheet" refers to one-atom-thick substance with carbon atoms arranged in a hexagonal lattice (i.e., a single sheet composed of sp -hybridized carbon). A "graphene sheet" also refers to a carbon-based sheet which comprises additional materials such as boron, oxides, dopants and/or edge atomic substitutes.
[0035] As used herein, the term "graphene layer" or "graphite layer" refers to one or more graphene sheets (e.g., one, a few, several, several tens, several hundreds or several thousands of graphene sheets). The collective thickness of a graphene layer can therefore range between a nanometer to several micrometers, or to several tens of micrometers depending upon the number of graphene sheets in the graphene layer. Final graphene layers produced by the processes disclosed in this application can have a thickness in nanometers, and preferably less than fifty nanometers. The terms "graphene layer" and "graphite layer" are interchangeable in the present disclosure.
[0036] As used herein, the term "graphite -based structure," "graphene structure,"
"graphene device," "graphene device topography" refers to any structure incorporating a graphene layer. Examples of such structures or devices include, but are not limited to, graphene nanoribbons, graphene nanonetworks, graphene poles/pillars, and graphene based nanohole superlattices. The terms "graphite -based structure," "graphene structure,"
"graphene device," and "graphene device topography" are interchangeable in the present disclosure.
[0037] As used herein, the term "element" refers to a feature configured or generated on a substrate. In general, at least a portion of the element is on or above the substrate. The element can be of any shape, size, or orientation. Exemplary elements include ribs, ribbons, pillars, mesas, and geometries that produce or promote plasmonic effects or other
configurations. "Rib" or "ribbon" herein refers to a feature having a width that is less than the length. In some embodiments, "rib" and "ribbon" are interchangeable. "Pillar" herein refers to substantially circular, ovoid, regular or irregular features. "Mesa" herein refers to an island isolated from other features on the substrate or a plateau on the substrate. In general, a mesa has at least one dimension that is relatively large and thus can be used as a base for further processing of more complex structures. In some embodiments, a mesa has a topographical height feature, providing a capability for vertical isolation and/or size for desired functionality. [0038] As used herein, the term "trench" refers to a space that separates two adjacent elements. It can be a recess formed in the substrate such as by etching, a space on or above the substrate formed for example by depositing two adjacent elements on or above the substrate, or a combination thereof. A trench can be of any shape or size as long as it separates two adjacent elements. In some embodiments, it is deep and/or has a width that is smaller than a characteristic length.
[0039] As used herein, the terms "left" or "right", "top" or "bottom", "horizontal" or
"vertical", "upper" or "lower", "lateral" etc., are used to describe features of the exemplary embodiments with reference to the positions of such features as displayed in the figures. They are used for convenience in explanation, and do not limit features in such positions.
[0040] As used herein, the term "width" refers to a characteristic dimension, generally along a substantially horizontal direction, of a feature. Examples of such characteristic dimensions include a width of a rib or a ribbon and a diameter of a circular pillar. For irregular or complex geometries, the width may be defined by the square root of the horizontal cross-sectional surface area of such geometries. It will be understood that a fair amount of process variation occurs in the width of the features described herein. Thus, a rib may have a width that deviates within a certain process variation. Thus, in such instances, the width of such features is an average width or characteristic width, taking into account this process variation.
[0041] As used herein, the term "height" refers to a characteristic dimension, generally along a substantially vertical direction, of a feature. Examples of such
characteristic dimensions include the height of an element, which may be defined by the vertical distance between the top surface of the element and the bottom surface of the corresponding trench. It will be understood that a fair amount of process variation occurs in the height of the features described herein. Thus, an element may have a height that deviates within a certain process variation. Thus, in such instances, the height of such features is an average height or characteristic height, taking into account this process variation.
[0042] As used herein, the term "average" refers to the arithmetic mean value, or some other measure of central tendency, of a characteristic dimension. In some
embodiments, the top surface of an element or the bottom surface of a trench has a slope or an arcuate property. For instance, in a case of a circular pillar-like element having an arcuate top surface, the average width is the circumferential mean diameter of the circular pillar-like element and the average height is the circumferential mean height of the circular pillar-like element.
[0043] As used herein, the terms "dielectric" and "dielectric materials" refers to a materials that are poor conductors of electricity. As such, in various embodiments in accordance with the present disclosure, a dielectric material is a material that has a dielectric constant of 50 or less, 40 or less, 30 or less, 20 or less, or 10 or less.
[0044] As used herein, the term "zone" refers to a portion of a substrate, and the portion can be of any size or shape. One zone of a given substrate can have a shape or size substantially the same as another zone of the same substrate or different than another zone of the same substrate. In some embodiments, a zone is spatially separated from adjacent zones of a given substrate; in some other embodiments, a zone partially overlaps with one or more adjacent zones of the given substrate. In some embodiments, a zone includes one or more initial features, such as elements or trenches, that have been patterned in the substrate.
[0045] As used herein, the term "graphene profile" or the term "profile" used in association with a graphene layer refers to an outmost shape or contour of a given graphene layer. In some embodiments, in which the given graphene layer comprises a plurality of graphene sub-layers and the outmost graphene sub-layer covers or encapsulates the inner graphene sub-layers, the profile or the graphene profile is represented or defined by the contour of the outmost graphene sub-layer. In some embodiments, in which the outmost graphene sub-layer does not cover or encapsulate all the inner graphene sub-layers, the profile or graphene profile is defined collectively by the plurality of graphene sub-layers that constitutes the given graphene layer. In some embodiments, the terms "graphene profile" and "cross-sectional graphene profile" are interchangeable.
[0046] Likewise, as used herein, the term "barrier profile" or the term "profile" used in association with a barrier layer refers to an outmost shape or contour of a given barrier layer.
[0047] As used herein, the term "dopant profile" or the term "profile" used in association with doping refers to the distribution of dopants within a selected zone in a given substrate. In some embodiments, the distribution of dopants is expressed as a dopant concentration along a line, over a surface or in a region. In some embodiments, the dopant concentration is a time-average or spatial-average concentration.
[0048] 5.2 Methods and devices
[0049] FIGS. 1A-1E provide a detailed graphical representation of an exemplary method 100 for fabricating graphene devices with a profile on a substrate, in accordance with some embodiments of the present disclosure. As illustrated in FIG. 1A, the method 100 starts with doping one or more selected zones (e.g., 102-1 , 102-2) of a substrate 102 with a dopant such as carbon. The doping is conducted or controlled such that the dopant is not uniformly distributed within a selected zone or zones in the substrate. Instead, the doping is conducted or controlled to produce a plurality of dopant profiles in the selected zone or zones. For instance, FIG. IB shows an enlarged zone 102-1 in which the doping has taken place and reference number 104-1 indicates the doped region. FIG. 1C shows three different dopant profiles produced at three different sub-zones or locations (e.g., A, B, C of Figure IB).
Although dopant profiles A, B, C shown in FIGS. IB and 1C differ from each other in both concentration distribution and dopant penetration depth, such differences are not definite requirements. In some embodiments, a dopant profile can differ from another profile in dopant concentration but have substantially the same penetration depth, or vice versa. In some embodiments, a dopant profile can differ from another profile in other physical or chemical parameters such as crystallographic differences.
[0050] Various methods can be used for doping the substrate with carbon. Examples include solid source deposition (e.g, spin on glass with dopants as a dopant source, diffusion bubblers for hydrocarbons), gaseous deposition at temperature (usually by an injector), chemical vapor deposition, vacuum sublimation, diffusion cycles to drive surface dopants, and ion implantation. In some embodiments, ion implantation is preferable, because it has an ability to more precisely control the number of implanted dopant atoms into a substrate and in some cases to inject dopant atoms into a substrate through a thin barrier layer.
[0051] Ion implantation is a materials engineering process that can be used to change the physical, chemical, or electrical properties of the target such as the substrate 102. Ions (e.g., charged atoms or molecules) are created via an enormous electric field stripping away an electron, and then filtered and accelerated toward the target. As energetic ions penetrate the target, they lose energy due to collision with atomic nuclei and electrons in the target and eventually come to rest. During this process, some ions undergo fewer collisions, thereby penetrating deeply into the target; some ions experience more collisions, thereby resting more closely to the surface of the target. In addition, the trajectory that an ion travels in the target is not a straight line because of the collision (e.g., scattering) and diffusion. As a result, implanted ions distribute in the target, forming concentration or implantation profiles. More information on ion implantation and concentration profiles can be found, for example, in Sze, Physics of Semiconductor Devices, 1985 and in Wolf et ah, Silicon Processing for the VLSI Era, vol.1 : Process Technology, Provisional Patent Application No. 61/745,464, each of which is hereby incorporated by reference herein in their entirety.
[0052] The final penetration depth in the direction parallel to the incident ion beam and concentration profile either lateral or parallel to the incident ion beam depends on many physical, chemical and process parameters, including target materials, ions, implantation doses and energies. By selecting appropriate target materials, ions, implantation doses, energies, or other parameters, desired ion penetration depths and concentration profiles can be achieved, which in turn can be used to control the graphene profiles of subsequently generated graphene layers to achieve desired multiple functionalities. In some instances, additional or optional processes are conducted to further adjust the ion penetration depths and concentration profiles. For example, annealing or heat cycle can be used to drive the dopants in the target and thus adjusting the dopant profiles.
[0053] In various embodiments, the ion implantation is performed with a dose between 10 5 ions/cm 2 and 1021 ions/cm 2 , and an energy between 5 KeV and 400 KeV. By controlling the implantation dose or energy, the ion implantation controls the carbon penetration and the carbon concentration profile in the selected zones. As a result, the ion implantation provides accurate control of the profile of the subsequently generated graphene layers. For example, in some embodiments, the ion implantation provides for a plurality of dopant profiles with a maximum dopant concentration between 10 5 ions/cm 2 and 1021 ions/cm . In some embodiments, the ion implantation provides for a plurality of dopant profiles with a first dopant profile having a maximum dopant concentration between 1011 ions/cm 2 and 1021 ions/cm 2 and a second dopant profile having a maximum dopant concentration between 10 5 ions/cm 2 and 1018 ions/cm 2. In some embodiments, the ion implantation provides for a plurality of dopant profiles, with a first dopant profile having a maximum dopant concentration between 10 ions/cm and 10 ions/cm , a second dopant
10 2 19 2 profile having a maximum dopant concentration between 10 ions/cm and 10 ions/cm and
5 2 a third dopant profile having a maximum dopant concentration between 10 ions/cm and
14 2
10 ions/cm . In some embodiments, a dopant profile has a penetration depth that is different than another dopant profile. In some embodiments, a dopant penetration depth that is between 1 nm and 5 μιη. In some embodiments, a dopant profile has a penetration depth that is between 20 nm and 5 μιη and another dopant profile has a penetration depth between 2 nm and 3 μιη. In some embodiments, first, second or third dopant profile has a penetration depth between 20 nm and 10 μιη, between 10 nm and 5 μιη or between 2 nm and 3 μιη, respectively.
[0054] After the doping, in some embodiments, the method 100 proceeds to generating one or more graphene layers in the selected zone or zones of the substrate. For instance, FIG. ID shows graphene layers such as 106-1 , 106-2, and 106-3 are generated, each on top of a selected zone 102-1 , 102-2 or 102-3. FIG. IE illustrates the enlarged zone 102-1 along with the generated graphene layer 106-1. As shown, the generated graphene layer 106- 1 comprises a plurality of graphene sub-layers (e.g, 106-1-i, 106-1-j, 106-k, 106-1-h), and a graphene sub-layer (e.g, 106-1-j) has a characteristic dimension (e.g, width) that is different than its adjacent graphene sub-layer (e.g, 106-1-k). As a result, the graphene sub-layers 106- 1-j and 106-1-k have different bandgaps due to the inverse relationship between the band gap and the graphene width, and consequently provide the capability of achieving multiple functionalities within one graphene layer.
[0055] The foregoing described method 100, and all of other methods disclosed in the present application, can be used to fabricate graphene devices or structures with a profile or profiles, in which a graphene layer has varied characteristic dimensions in a range from a nanometer to several micrometers or to several tens of micrometers. In particular, the disclosed methods of the present application are advantageous in the fabrication of graphene devices or structures having multiple functionalities with characteristic dimensions in nanometers, e.g., graphene quantum dots, graphene nanoribbons (GNRs), graphene nanonetworks, graphene plasmonics and graphene super-lattices. Graphene devices or structures with such varied characteristic dimensions are suitable for use in electronic devices, composite materials, and energy generation and storage because they exhibit suitable chemical, mechanical, electronic and optical properties. For example, in order to obtain adequate band gaps for operation at room temperature, GNRs typically have a width within a few nanometers due to the inverse relationship between the band gap and the width of the GNRs.
[0056] Generally speaking, graphene generation can be formed by any of three general mechanisms (i) catalytic or precipitation from a metal, (ii) reverse epitaxial sublimation of silicon leaving carbon, and (iii) nucleation growth, typically on a non-metallic material. As an example, in some embodiments, the substrate 102 or the selected zones (e.g, 102-1, 102-2) comprises silicon or silicon carbide before the doping, and comprises silicon carbide with dopant profiles after the doping. In such embodiments, graphene is formed by epitaxial growth on the silicon carbide. That is, the graphene is actually grown by heating the silicon and leaving graphene. See Sutter, 2009, Nature Materials 8, 171-172, which is hereby incorporated by reference in its entirety. In such embodiments, the graphene is grown on either the silicon-face or the carbon-face of the silicon-carbon processed elements after an optional hydrogen etching. See Van Mil et al., Materials Science Forum 615, Trans Tech Publ. 2009, pp. 211-214, which is hereby incorporated by reference in its entirety. In some embodiments, the graphene is grown epitaxially using near-atmospheric pressure with argon gas suppression. See Sutter, 2009, Nature Materials 8, 171-172, which is hereby incorporated by reference in its entirety. Information on graphene generation can also be found in, for example, U.S. Provisional Application No. 61/745,464, U.S. Provisional Application No. 61/752,961, U.S. Provisional Application No. 61/762,776, U.S. Provisional Application No. 61/751,774, U.S. Provisional Application No. 61/769,170, the entire contents of each of which are hereby incorporated by reference herein in their entireties.
[0057] The substrate used in the present disclosure can also be made of materials other than silicon or silicon carbide. For example, in some implementations, the substrate used in the present disclosure is glass, Si02, or SiC/Si. In some embodiments, the substrate is a solid substance in a form of a thin slice. In some embodiments, the substrate is planar. In some embodiments the substrate is flexible. In some embodiments the substrate is rigid. In various embodiments, the substrate is made of a dielectric material, a semiconducting material, a metallic material, or a combination of such materials. Exemplary dielectric materials include, but are not limited to, glass, silicon dioxide, neoceram, and sapphire.
Exemplary semiconducting materials include silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), and molybdenum sulfide (MoS). Exemplary metallic materials comprise copper (Cu), nickel (Ni), platinum (Pt), gold (Au), cobalt (Co), ruthenium (Ru), palladium (Pd), titanium (Ti), silver (Ag), aluminum (Al), cadmium (Cd), iridium (Ir), combinations thereof, and alloys thereof. In some embodiments the substrate comprises Si, Si02, SiC, Cu, Ni, or other materials. In some embodiments, the substrate substantially comprises neoceram, borosilicate glass, germanium arsenide, a IV-V semiconductor material, a substantially metallic material, a high temperature glass, or a combination thereof. In some embodiments the substrate comprises a metal foil or a metal slug.
[0058] In some embodiments, the substrate substantially comprises S1O2 glass, soda lime glass, lead glass, doped Si02, aluminosilicate glass, borosilicate glass, dichroic glass, germanium/semiconductor glass, glass ceramic, silicate/fused silica, soda lime glass, quartz or chalcogenide/sulphide glass, fluoride glass, a glass-based phenolic, flint glass, or cereated glass.
[0059] In some embodiments, the substrate is made of poly methyl methacrylate
(PMMA), polyethylene terephthalate (PET), polyvinyl alcohol (PVA), or cellulose acetate (CA). In some embodiments, the substrate is made of a urethane polymer, an acrylic polymer, a fluoropolymer, polybenzamidazole, polymide, polytetrafluoroethylene, polyetheretherketone, polyamide-imide, glass-based phenolic, polystyrene, cross-linked polystyrene, polyester, polycarbonate, polyethylene, polyethylene, acrylonitrile-butadiene- styrene, polytetrafluoro-ethylene, polymethacrylate, nylon 6,6, cellulose acetate butyrate, cellulose acetate, rigid vinyl, plasticized vinyl, or polypropylene.
[0060] In some embodiments, the substrate includes one layer. In alternative embodiments, the substrate includes a plurality of layers. In some embodiments, a substrate comprises a plurality of layers, each with a different material. In some embodiments, a layer of another substance is applied onto the substrate. In some embodiments, the substrate has crystallographic symmetry.
[0061] In some embodiments, the methods of the present disclosure comprise additional or optional processes. For instance, in some embodiments, the methods of the present disclosure comprise an additional process before doping a substrate with carbon and creating a barrier layer to further control the dopant profiles. FIGS. 2A-2D illustrate a detailed graphical representation of an exemplary method 200 including such an additional process. As illustrated in FIG. 2A, prior to doping the substrate with carbon, the exemplary method 200 creates a barrier layer in a selected zone or in each of a plurality of selected zones, such as a barrier layer 202-1 in the zone 102-1 and a barrier layer 202-2 in the zone 102-2. Generally, a barrier layer in a selected zone has a barrier profile that correlates with the desired or predetermined graphene profile of the graphene layer subsequently generated in the same zone. For example, if a concave cone-like graphene layer (e.g, 206-1) is desired in the zone 102-1 , a dome-like carrier (e.g, 202-1) is created in the zone 102-1 before doping the zone 102-1 with carbon.
[0062] Barrier layers can be created by any standard lithographic methods, some of which are described in Sections 7 and 8. For example, a barrier layer can be created by deposing a material overlaying a selected zone or oxidizing the substrate to form an oxidized layer at a predetermined thickness. In some embodiments, lithographic processes such as etching are used to remove an undesired portion of the barrier layer or to tailor the barrier layer or edge of the barrier layers so that the barrier layer has a cross-sectional profile correlated to the predetermined or desired graphene profile. In some embodiments, a mask is used for the creation of the barrier layer. Various materials can be used for the barrier layer, including photoresist, Si02, S13N4, SiN, plysilicon, metals, and polyimide.
[0063] After a barrier layer or layers are created, the method 200 proceeds to doping the substrate 102 with carbon, as illustrated in FIG. 2B, using the same or similar doping processes discussed above in the context of the method 100. Controlling parameters such as doses or energies can vary depending on the desired dopant profiles.
[0064] Subsequent to the doping, in some embodiments, the method 200 proceeds to removing the barrier layers, for example, by selective or anisotropic etching the substrate, as illustrated in FIG. 2C. Then, the method 200 continues to generating a graphene layer or layers in the selected zone or zones of the substrate, using the same or similar graphene generation processes discussed above in the context of the method 100. Removing the barrier layers is an additional or optional process. In some embodiments, one or more barrier layers are not removed. In some embodiments, one or more barrier layers are partially removed, leaving a portion of the barrier layers in one or more zones. The remained barrier layers or the remaining portions of the barrier layers can serve as an additional controlling means for adjusting the final graphene profiles to achieve desired functionalities. [0065] The methods of the present disclosure can fabricate barrier layers in various shapes and sizes, producing the subsequently generated graphene layers with various configurations to achieve desired functionalities. Barrier layers can be symmetric, asymmetric, regular or irregular. Examples of barrier layers are illustrated in FIGS. 3A-3G. In some embodiments, a barrier layer has a smooth cross-sectional profile or contour such as the barrier layer 302 illustrated in FIG. 3A. In such embodiments, a barrier sub-layer is a portion of the barrier layer can be considered as a barrier sub-layer. By way of illustration, FIG. 3A shows the barrier layer 302 comprising three sub-layers divided by the dash lines and indicated by reference numerals 302-1 , 302-2, 302-3. In some embodiments, a barrier layer has a bevel-like cross-sectional profile such as the barrier layer 304 illustrated in FIG. 3B, forming a slope with respect to the selected zone (e.g, 102-1). In some embodiments, a barrier layer has an asymmetric profile such as the barrier layer 306 illustrated in FIG. 3C.
[0066] In some embodiments, as illustrated in FIG. 3H, a selected zone (e.g. 102-1) of the substrate comprises two sub-zones (e.g, A and B) and a barrier layer has only one sublayer such as a barrier layer 318 overlaying the sub-zone A. Doping through such a barrier layer produces a non-uniform dopant distribution in the zone 102-1 with a dopant profile at A location and a different profile at the B location, and an intersection in between as a result of scattering or diffusion effects. Consequently, the subsequently generated graphene layer (e.g, 320) has a plurality of graphene sub-layers some of which have different characteristic dimensions (e.g., different widths) as illustrated in FIG. 31. If desired, in some embodiments, the side wall of the barrier layer 318 is tailored to form a slope or curvature so that dopant distribution extends in a lateral direction more gradually or smoothly. As an example, FIGS. 3 J and 3K illustrate the barrier layer 324 having a slope and the resultant graphene layer 328.
[0067] In some embodiments, a barrier layer comprises a plurality of barrier sublayers with each sub-layer on top of another sub-layer forming a multi-level or stepwise cross-sectional profile. In some embodiments, a selected zone comprises three, four or more sub-zones, and a barrier layer comprises two, three, four or more barrier sub-layers. As an example, FIG. 3D illustrates a selected zone 102-1 comprising four sub-zones (e.g, A, B, C, and D) and a barrier layer 308 in the selected zone 102-1 comprising three sub-layers 308-1 , 308-2 and 308-3. Doping the selected zone 102-1 through the barrier layer 308 provides dopant penetration in the zone that in general also comprises three-levels (e.g, 310-1 , 310-2, 310-3), and at least three different dopant profiles with each at one of the three sub-zones or locations (e.g, B, C, D). As compared to the stepwise barrier layer, the doped region has a relatively smoother edge due to the scattering or diffusion effects. Accordingly, the subsequently generated graphene layer (e.g, 312) in general comprises at least three graphene sub-layers (e.g, 312-1 , 312-2, 312-3), each of these three graphene sub-layers having a different characteristic dimension (e.g., width) than the other two.
[0068] Because of the inverse relationship between the characteristic dimension and the bandgap, a graphene layer with multiple characteristic dimensions is very desirable, particularly in electronic and photonic devices, because a single graphene layer can perform multiple functions. For example, in solar cells or photodetectors, a graphene layer can be fabricated using the methods of the present disclosure to have different sub-layers absorbing or emitting photons in different wavelength ranges.
[0069] In some embodiments, a selected zone comprises more than one barrier layer.
Depending on the desired functionalities for the subsequently generated graphene layers, each of the barrier layers in the same zone can be of any suitable shape or size and they do not need to be identical or similar to each other. In addition, each of the barrier layers in the same zone can be positioned in any suitable sub-zones or locations. If desired, a barrier layer can be positioned along the boundary of two adjacent selected zones so that the subsequently generated graphene layers in these two adjacent selected zones are spatially isolated from each other. As an example, FIG. 3F illustrates two barrier layers 308, 314 created in the selected zone 102-1 , with the barrier layer 314 substantially mirroring the barrier layer 308. FIG. 3G illustrates the generated graphene layer 316 formed in the zone 102-1.
[0070] A wide variety of graphite-based structures or devices can be fabricated using the methods of the present disclosure. For example, in some embodiments, a graphite-based structure fabricated using the methods of the present disclosure comprises one or more graphene layers, and at least one of such graphene layers comprises a plurality of graphene sub-layers. In various embodiments, a graphene sub-layer in the plurality of graphene sublayers has a characteristic dimension different than an overlying or underlying graphene sublayer in the plurality of graphene sub-layers and thus performs a different function than the overlying or underlying graphene sub -layer.
[0071] Referring back to FIG IE, in some embodiments, a graphene layer (e.g, 106-1) comprises a graphene sub-layer (e.g, 106-1-h) overlaying a surface or a portion of the surface of a selected zone (e.g, 102-1). In some embodiments, a graphene layer (e.g, 106-1) comprises a substantially flat graphene sub-layer (e.g, 106-1-h), a curved graphene sub-layer (e.g, 106-1-i, 106-1-j) or combination of flat and curved graphene sub-layers. In some embodiments, a graphene layer (e.g, 106-1) comprises a graphene sub-layer overlaying a portion of an underlying sub-layer or having a portion that overhangs, abuts or joins another adjacent graphene sub-layer (e.g, 106-1-k). In some embodiments, an overlying graphene sub-layer (e.g, 106-1-i) covers or encapsulates underlying graphene sub-layers and hence provides protection to the underlying sub-layers.
[0072] In some embodiments, a graphene structure or device comprises a substrate with one or more zones. Each zone in the one or more zones has a surface and the surface of a first zone in the one or more zones comprises a first sub-surface and an adjacent second sub-surface. The graphene structure or device further comprises one or more graphene layers, with each respective graphene layer in the one or more graphene layers formed on the surface of a corresponding zone in the one or more zones. For example, a first graphene layer (e.g, 106-1) is formed on the surface of the first zone (e.g, 102-1) in the one or more zones (e.g, 102-1 , 102-2). The first graphene layer comprises a first plurality of graphene sub-layers (e.g, 106-1-i, 106-1-j, 106-1-k, 106-h) that collectively define a first predetermined cross-sectional profile. A first graphene sub-layer (e.g, 106-1 -h) in the first plurality of graphene sub-layers is formed on the first sub-surface of the surface of the first zone. A second graphene sub-layer (e.g, 106-1-k) in the first plurality of graphene sub-layers comprises a first portion formed on a top surface of the first graphene sub-layer, a second portion formed on the second sub-surface of the surface of the first zone and a first intermediate portion connecting the first portion and the second portion of the second graphene sub-layer. The first and second graphene sub-layers (e.g, 106-1-h, 106-k) have different characteristic dimensions (e.g. width, width and/or length) and hence different bandgaps.
[0073] In some embodiments, the surface of the first zone further comprises a third sub-surface adjacent to the first sub-surface and a fourth sub-surface adjacent to the second sub-surface, and a third graphene sub-layer (e.g, 106-1-j) in the first plurality of graphene sub-layers. The third graphene sub-layer (e.g, 106-1-j) comprises a first portion formed on a top surface of the second graphene sub-layer (e.g, 106-1-k), a second portion formed on the fourth sub-surface, a third portion formed on the third sub-surface, a first intermediate portion connecting the first portion and the second portion of the third graphene sub-layer, and a second intermediate portion connecting the first portion and the third portion of the third graphene sub-layer.
[0074] In some embodiments, a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with one graphene sub-layer having a characteristic dimension (e.g, width) between 1 nm and 20 nm, between 20 nm and 50 nm, between 50 nm and 100 nm, or between 100 nm and 500 nm. In some embodiments, a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with a first graphene sub-layer having a characteristic dimension between 1 nm and 20 nm and a second graphene sub-layer having a characteristic dimension between 20 nm and 50 nm. In some embodiments, a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with a first graphene sublayer having a characteristic dimension between 1 nm and 20 nm, a second graphene sublayer having a characteristic dimension between 20 nm and 50 nm and a third graphene sublayer having a characteristic dimension between 50 nm and 100 nm. In some embodiments, the first graphene sub-layer has a characteristic dimension or width that is between 25 nm and 35 nm for absorbing or emitting a blue frequency or a blue frequency range, and the second graphene sub-layer has a characteristic dimension or width that is between 65 nm and 75 nm for absorbing or emitting a green frequency or a green frequency range.
[0075] In some embodiments, a graphene sub-layer has a thickness that is
substantially the same as another graphene sub-layer in the same graphene layer, thus comprising substantially the same number of graphene sheets. In some embodiments, a graphene sub-layer has a thickness that is different than another graphene sub-layer, thus comprising a different number of graphene sheets. In some embodiments, at least two graphene sub-layers are substantially the same in terms of characteristic dimension (e.g, width) or thickness but differs from other graphene sub-layers in the same graphene layer. In some embodiments, a graphene layer (e.g, 106-1) produced by the present methods comprises a plurality of graphene sub-layers with one graphene sub-layer having 1 graphene sheet, between 2 and 10 graphene sheets, between 10 and 30 graphene sheets, between 30 and 50 graphene sheets, between 50 and 100 graphene sheets, or over 100 graphene sheets. [0076] In some embodiments, a graphite-based structure or device comprises a plurality of graphene layers generated in a plurality of selected zones, with one graphene layer generated in one selected zone. Generation of the plurality of graphene layers can occur either concurrently or sequentially. In some embodiments, a graphene layer (e.g, 106-1) generated in one zone has a different profile than a graphene layer (e.g, 106-2) generated in another zone of the substrate, thus providing different functionalities. In some embodiments, a graphene layer (e.g, 106-1) generated in one zone has a profile substantially the same as a graphene layer (e.g, 106-4) generated in another zone of the substrate. Such graphene layers function substantially the same, with each graphene layer providing multiple functionalities. In some other embodiments, each graphene layer in the plurality of graphene layers has substantially the same profile.
[0077] In some embodiments, a graphene layer (e.g, 106-1) generated in one zone is spatially separated from a graphene layer (e.g, 106-2) generated in an adjacent zone of the substrate. In some embodiments, each graphene layer generated in a zone is separated from other graphene layers generated in adjacent or neighboring zones. In some embodiments, some graphene layers (e.g, 106-2, 106-3) generated in adjacent zones physically contact each other but are functionally separated from each other by boundary conditions at the interface or intersection. Interfaces and boundary conditions are formed because of differences between the graphene layers, such as the thicknesses of the graphene layers or orientation of graphene sheets that constitute the graphene layers.
[0078] Examples of interfaces or intersections are illustrated in FIGS. 4A-4D. As shown, an interface or an intersection is formed between the graphene layer 106-2 and the graphene layer 106-3 as a result of different orientations between the graphene sheets (e.g, 106-2-1) of the graphene layer 106-2 and the graphene sheets (e.g, 106-3-1) of the graphene layer 106-3. In some embodiments, the graphene layer 106-2 is generated before the graphene layer 106-3, resulting in the graphene layer 106-2 abutting the graphene layer 106-3 as illustrated in FIG. 4B. By varying processes or other factors, for example, by generating the graphene layer 106-2 after the graphene layer 106-3 has been generated, the graphene layer 106-3 can abut the graphene layer 106-2 as illustrated in FIG. 4C. In some
embodiments, for example when the graphene layer 106-2 and the graphene layer 106-3 are concurrently generated, they can form a joint at the interface as illustrated in FIG. 4D as a result of diffusion during the graphene generation process. [0079] In some embodiments, the substrate 102 is a patterned substrate with initial features, such as elements 502-1 , 502-2 and trenches 504-1 , 504-2. In such embodiments, a selected zone can include one or more initial features. Creation of barrier layers and doping the substrate with carbons and subsequent generation of graphene layers can be performed on the initial features. As an example, FIGS. 5A-5B illustrate the zone 102-1 comprising the element 502-1 and the trench 504-1 and the zone 102-2 comprising the element 502-2 and the trench 504-2. Doping, with or without barrier layers, is conducted on the element 502-1 , the trench 504-1 and the element 502-2, but not on the trench 504-2. Accordingly, graphene layers are generated on each of these three initial features as indicated by 506-1 , 506-2, 506- 3. In some embodiments, doping is also conducted on the trench 504-2 where a graphene layer is generated. In some embodiments, a graphene layer generated on an element or a trench has a graphene profile that is substantially the same as a graphene layer generated on another element or another trench. In some embodiments, a graphene layer generated on an element or a trench has a graphene profile that differs from a graphene layer generated on another element or another trench. In some embodiments, a graphene layer is generated on a top of an element, on a sidewall of an element, or on a top and a side wall of an element (e.g., 506-2).
[0080] In some embodiments, a graphene layer has a smooth shape, a stepwise shape, a concave shape, or a convex shape. In some embodiments, a graphene layer has a shape like a ribbon, a pillar, a dot, a cup, a cone, or a dome. In some embodiments, a graphene layer comprises a plurality of graphene sub-layers with different characteristic dimensions along one lateral direction but with substantially a same characteristic dimension along another lateral direction. Examples of such graphene layers (e.g., 602-1 , 602-3) are illustrated in FIGS. 6A-6E, where FIG. 6 A is a top view of a graphical representation of an embodiment and FIGS. 6B-6E are corresponding cross-sectional views of the embodiment along line B- B', C-C, D-D' and E-E', respectively. In general, such graphene layers (e.g., 602-1 , 602-3) have a ribbon-like shape. In some embodiments, a graphene layer (e.g., 602-2) comprises a plurality of graphene sub-layers with different characteristic dimensions along two lateral directions (e.g., x, y directions).
[0081] In some embodiments, a graphite-based structure or device comprises 1 , 2, between 2 and 10, between 10 and 100, between 100 and 1000, or over 1000 graphene layers. In some embodiments, each selected zone comprises 1 graphene layer. In various embodiments, each selected zone comprises between 2 and 10, between 10 and 100, between 100 and 1000, or over 1000 graphene layers. In some embodiments, each graphene layer is substantially the same as other graphene layers generated in the same selected zone or in the same substrate. For example, FIG.7A illustrates a graphical representation of an embodiment that comprises a plurality of graphene layers (e.g, 702-1) with substantially the same ribbonlike shape. In some embodiments, each graphene layer generated in one zone is different than other graphene layers generated in other zones, such as graphene layers 702-3, 702-4, 702-5, 702-6, illustrated in FIG. 7C.
[0082] In some embodiments, a first plurality of graphene layers (e.g, first set) generated in one or more zones is different than a second plurality of graphene layers (e.g, second set) generated in another zone or zones and thus the first set performs different functionalities than the second set. For instance, FIG. 7B illustrates a first plurality of graphene layers 702-1 generated in zones 102-1, 102-4 with a ribbon- like shape and a second plurality of graphene layers 702-2 generated in zone 102-2, 102-3 with a dot- like or domelike shape. Moreover, in some embodiments, a graphene layer or each graphene layer in the first set or in the second set comprises a plurality of graphene sub-layers with varied characteristic dimensions or has any cross-sectional graphene profile disclosed in the present disclosure. In such embodiments, the single graphene layer itself performs multiple functionalities.
6. Advantages
[0083] The present disclosure provides the ability to fabricate a wide variety of graphene devices. One advantage of the present disclosure lies in the elimination of the post graphene process, which is difficult particularly in the nanoscale dimensions, once the graphene has been formed. Instead of patterning the graphene, the present disclosure generate graphene in desired topography through the control of dopant profiles or other physical, chemical, processing parameters. Accordingly, the present disclosure can fabricate nanoscale graphene structures or devices with ease, precision and consistency.
[0084] Another advantage of the present disclosure is the ability to fabricate a graphene device with multiple functions in the same device, and in some cases, multiple functions are achieved by a single graphene layer. For example, in some embodiments, one set of graphene layers performs one function while another set of graphene layers performs a different function. In some embodiments, a sub-layer in a graphene layer performs one function while another sub-layer in the same graphene layer performs a different function. Numerous physical properties that may be shared or may be varied between different sets include, but not limited to, graphene profiles, characteristic dimensions, or number of graphene sheets. This diversity gives rise to the ability to fabricate a wide variety of composite devices with desired functionalities as disclosed herein.
[0085] Still another advantage of the present disclosure is the ability to produce graphene structures and devices with high packing densities and enhanced efficiency. By the elimination of the post graphene process, the present disclosure reduces the loss of the workable surface areas. In some embodiments, the present disclosure has the additional capability of providing additional workable surfaces areas for enhancing electronic or photonic functions. In some embodiments, the present disclosure can produce multi-level structures, providing additional workable surfaces areas for enhancing functionality of devices such as efficiency of solar cells or photodetectors.
7. Deposition Methods
[0086] The following subsections describe individual fabrication techniques that can be used to deposit layers of material, e.g. thin film 108, foundation layer 408, hereinafter referred to collectively as "deposit materials," in accordance with embodiments of the present disclosure.
[0087] 7.1 Chemical vapor deposition
[0088] In some embodiments, one or more layers of the deposit materials are deposited by chemical vapor deposition. In chemical vapor deposition (CVD), the constituents of a vapor phase, often diluted with an inert carrier gas, react at a hot surface (typically higher than 190°C) to deposit a solid film. Generally, chemical vapor deposition reactions require the addition of energy to the system, such as heating the chamber or the wafer. For more information on chemical vapor deposition, exemplary devices used to perform chemical vapor deposition, and process conditions are used to perform chemical vapor deposition of silicon nitride, see Van Zant, Microchip Fabrication, Fourth Edition, McGraw-Hill, New York, 2000, pp. 363-393; and Madou, Fundamentals of Micro fabrication, Second Edition, 2002, pp. 144-154, CRC Press, each of which are hereby incorporated by reference herein in their entireties.
[0089] 7.2 Reduced pressure chemical vapor deposition
[0090] In some embodiments, one or more layers of the deposit materials are deposited by reduced pressure chemical vapor deposition (RPCVD). RPCVD is typically performed at below 10 Pa and at temperatures in the range of (550°C - 600°C). The low pressure used in RPCVD results in a large diffusion coefficient, which leads to growth of a layer that is limited by the rate of surface reactions rather than the rate of mass transfer to the substrate. In RPCVD, reactants can typically be used without dilution. RPCVD is performed, for example, in some embodiments, in a horizontal tube hot wall reactor.
[0091] 7.3 Low pressure chemical vapor deposition
[0092] In some embodiments, one or more layers of the deposit materials are deposited by low pressure chemical vapor deposition (LPCVD) or very low pressure CVD. LPCVD is typically performed at below 1 Pa.
[0093] 7.4 Atmospheric chemical vapor deposition
[0094] In some embodiments, one or more layers of the deposit materials are deposited by atmospheric to slightly reduced pressure chemical vapor deposition.
Atmospheric pressure to slightly reduced pressure CVD (APCVD) is used, for example, to grow APCVD is a relatively simplistic process that has the advantage of producing layers at high deposition rates and low temperatures (350°C - 400°C).
[0095] 7.5 Plasma enhanced chemical vapor deposition
[0096] In some embodiments, one or more layers of the deposit materials are deposited by plasma enhanced (plasma assisted) chemical vapor deposition (PECVD).
PECVD systems feature a parallel plate chamber operated at a low pressure (e.g., 2-5 Torr) and low temperature (300°C - 400°C). A radio-frequency-induced glow discharge, or other plasma source is used to induce a plasma field in the deposition gas. PECVD systems that are used include, but are not limited to, horizontal vertical flow PECVD, barrel radiant-heated PECVD, and horizontal-tube PECVD. In some embodiments, remote plasma CVD
(RPCVD) is used. Remote plasma CVD is described, for example, in United States Patent No. 6,458,715 to Sano et al, which is hereby incorporated by reference in its entirety. [0097] 7.6 Anodization
[0098] In some embodiments, one or more layers of the deposit materials are deposited by anodization. Anodization is an oxidation process performed in an electrolytic cell. The material to be anodized becomes the anode (+) while a noble metal is the cathode (-). Depending on the solubility of the anodic reaction products, an insoluble layer (e.g., an oxide) results. If the primary oxidizing agent is water, the resulting oxides generally are porous, whereas organic electrolytes lead to very dense oxides providing excellent passivation. See, e.g., Madou et al, 1982, J. Electrochem. Soc. 129, pp. 2749-2752, which is hereby incorporated by reference in its entirety.
[0099] 7.7 Sol-gel deposition techniques
[00100] In some embodiments, one or more layers of the deposit materials are deposited by a sol-gel process. In a sol-gel process solid particles, chemical precursors, in a colloidal suspension in a liquid (a sol) forms a gelatinous network (a gel). Upon removal of the solvent by heating a glass or ceramic layer. Both sol and gel formation are
low-temperature processes. For sol formation, an appropriate chemical precursor is dissolved in a liquid, for example, tetraethylsiloxane (TEOS) in water. The sol is then brought to its gel-point, that is, the point in the phase diagram where the sol abruptly changes from a viscous liquid to a gelatinous, polymerized network. In the gel state the material is shaped (e.g., a fiber or a lens) or applied onto a substrate by spinning, dipping, or spraying. In the case of TEOS, a silica gel is formed by hydrolysis and condensation using hydrochloric acid as the catalyst. Drying and sintering at temperatures between 200°C to 600°C transforms the gel into a glass and ultimately into silicon dioxide.
[00101] In the semiconductor industry the sol-gel method described is often used to deposit silicon dioxide. The method is known as the Spin-On Glass method (SOG). Spin-On Glass materials have been widely used as a diffusion source or a planarizing dielectric or multilevel metalization schemes in the fabrication of nowadays integrated circuits. SOGs are in general Si-0 network polymers in organic solvents, and prepared through the hydrolysis- condensation reaction that implied the sol-gel technology. SOG materials can be divided into three groups: 1) silicate based compounds, 2) organosilicon compounds and 3) dopant- organic compounds. More information on SOG can be found, for example, in Nguyen Nhu Toan, Spin-On Glass Materials and Applications in Advanced IC Technologies, 1999, which is hereby incorporated herein by reference in its entirety.
[00102] 7.8 Plasma spraying techniques
[00103] In some embodiments, one or more layers of the deposit materials are deposited by a plasma spraying process. With plasma spraying, almost any material can be coated on many types of substrates. Plasma spraying is a particle deposition method.
Particles, a few microns to 100 microns in diameter, are transported from source to substrate. In plasma spraying, a high-intensity plasma arc is operated between a sticktype cathode and a nozzle-shaped water-cooled anode. Plasma gas, pneumatically fed along the cathode, is heated by the arc to plasma temperatures, leaving the anode nozzle as a plasma jet or plasma flame. Argon and mixtures of argon with other noble (He) or molecular gases (H2, N2, 02, etc.) are frequently used for plasma spraying. Fine powder suspended in a carrier gas is injected into the plasma jet where the particles are accelerated and heated. The plasma jet reaches temperatures of 20,000 K and velocities up to 1000 ms"1 in some embodiments. The temperature of the particle surface is lower than the plasma temperature, and the dwelling time in the plasma gas is very short. The lower surface temperature and short duration prevent the spray particles from being vaporized in the gas plasma. The particles in the plasma assume a negative charge, owing to the different thermal velocities of electrons and ions. As the molten particles splatter with high velocities onto a substrate, they spread, freeze, and form a more or less dense coating, typically forming a good bond with the substrate. Plasma spraying equipment is available from Sulzer Metco (Winterthur
Switzerland). For more information on plasma spraying, see, for example, Madou,
Fundamentals of Microfabrication, Second Edition, 2002, pp. 157-159, CRC Press, which is hereby incorporated by reference in its entirety.
[00104] 7.9 Ink jet printing
[00105] In some embodiments, one or more layers of the deposit materials are deposited by ink-jet printing. Ink-jet printing is based on the same principles of commercial ink-jet printing. The ink-jet nozzle is connected to a reservoir filled with the chemical solution and placed above a computer-controlled x-y stage. The target object is placed on the x-y stage and, under computer control, liquid drops (e.g., 50 microns in diameter) are expelled through the nozzle onto a well-defined place on the object. Different nozzles print different spots in parallel. In one embodiment of the present disclosure, a bubble jet, with drops as small as a few picoliters, is used to form a layer of a deposit material. In another embodiment, a thermal ink jet (Hewlett Packard, Palo Alto, California) is used to form a layer of a deposit material. In a thermal ink jet, resistors are used to rapidly heat a thin layer of liquid ink. A superheated vapor explosion vaporizes a tiny fraction of the ink to form an expanding bubble that ejects a drop of ink from the ink cartridge onto the substrate. In still another embodiment of the present disclosure, a piezoelectric ink-jet head is used for ink-jet printing. A piezoelectric ink-jet head includes a reservoir with an inlet port and a nozzle at the other end. One wall of the reservoir consists of a thin diaphragm with an attached piezoelectric crystal. When voltage is applied to the crystal, it contracts laterally, thus deflecting the diaphragm and ejecting a small drop of fluid from the nozzle. The reservoir then refills via capillary action through the inlet. One, and only one, drop is ejected for each voltage pulse applied to the crystal, thus allowing complete control over the when a drop is ejected. In yet another embodiment of the present disclosure, an epoxy delivery system is used to deposit a layer of a device. An example of an epoxy delivery system is the Ivek Digispense 2000 (Ivek Corporation, North Springfield, Vermont). For more information on jet spraying, see, for example, Madou, Fundamentals of Micro fabrication, Second Edition, 2002, pp. 164-167, CRC Press, which is hereby incorporated by reference herein in its entirety.
[00106] 7.10 Vacuum evaporation
[00107] In one embodiment of the present disclosure, one or more layers of the deposit materials are deposited by vacuum evaporation. Vacuum evaporation takes place inside an evacuated chamber. The chamber can be, for example, a quartz bell jar or a stainless steel enclosure. Inside the chamber is a mechanism that evaporates the metal source, a wafer holder, a shutter, thickness and rate monitors, and heaters. The chamber is connected to a vacuum pump. There are any number of different ways in which the metal is evaporated within the chamber, including filament evaporation, E-beam gun evaporation, and hot plate evaporation. See, for example, Van Zant, Microchip Fabrication, Fourth Edition, McGraw- Hill, New York, 2000, pp. 407-411, which is hereby incorporated by reference herein in its entirety.
[00108] 7.11 Sputter deposition / physical vapor deposition [00109] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by sputtering. Sputtering, like evaporation, takes place in a vacuum. However, it is a physical not a chemical process (evaporation is a chemical process), and is referred to as physical vapor deposition. Inside the vacuum chamber is a slab, called a target, of the desired film material. The target is electrically grounded. An inert gas such as argon is introduced into the chamber and is ionized to a positive charge. The positively charged argon atoms are attracted to the grounded target and accelerate toward it.
[00110] During the acceleration they gain momentum, and strike the target, causing target atoms to scatter. That is, the argon atoms "knock off atoms and molecules from the target into the chamber. The sputtered atoms or molecules scatter in the chamber with some coming to rest on the wafer. A principal feature of a sputtering process is that the target material is deposited on the wafer with chemical or compositional change. In some embodiments of the present disclosure, direct current (DC) diode sputtering, radio frequency (RF) diode sputtering, triode sputtering, DC magnetron sputtering or RF magnetron sputtering is used. See, for example, Van Zant, Microchip Fabrication, Fourth Edition, McGraw-Hill, New York, 2000, pp. 411-415; United States Patent 5,203,977; United States Patent 5,486,277; and United States Patent 5,742,471, each of which is hereby incorporated by reference herein in its entirety.
[00111] RF diode sputtering is a vacuum coating process where an electrically isolated cathode is mounted in a chamber that can be evacuated and partially filled with an inert gas. If the cathode material is an electrical conductor, a direct-current high-voltage power supply is used to apply the high voltage potential. If the cathode is an electrical insulator, the polarity of the electrodes is reversed at very high frequencies to prevent the formation of a positive charge on the cathode that would stop the ion bombardment process. Since the electrode polarity is reversed at a radio frequency, this process is referred to as 133 sputtering. Magnetron sputtering is different form of sputtering. Magnetron sputtering uses a magnetic field to trap electrons in a region near the target surface thus creating a higher probability of ionizing a gas atom. The high density of ions created near the target surface causes material to be removed many times faster than in diode sputtering. The magnetron effect is created by an array of permanent magnets included within the cathode assembly that produce a magnetic field normal to the electric field. [00112] 7.12 Collimated sputtering
[00113] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by collimated sputtering. Collimated sputtering is a sputtering process where the arrival of metal occurs at an angel normal to the wafer surface. The metal is collimated by a thick honeycomb grid that effectively blocks off angle metal atoms in some embodiments. Alternatively, ionizing the metal atoms and attracting them towards the wafer collimates the metal. Collimated sputtering improves filling of high aspect ratio contacts.
[00114] 7.13 Laser Ablated deposition
[00115] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by laser ablated deposition. In one form of laser ablated deposition, a rotating cylindrical target surface is provided for the laser ablation process. The target is mounted in a vacuum chamber so that it is rotated about the longitudinal axis of the cylindrical surface target and simultaneously translated along the longitudinal axis. A laser beam is focused by a cylindrical lens onto the target surface along a line that is at an angle with respect to the longitudinal axis to spread a plume of ablated material over a radial arc. The plume is spread in the longitudinal direction by providing a concave or convex lateral target surface. The angle of incidence of the focused laser beam is other than normal to the target surface to provide a glancing geometry in some embodiments. Simultaneous rotation about and translation along the longitudinal axis produce a smooth and even ablation of the entire cylindrical target surface and a steady evaporation plume. Maintaining a smooth target surface is useful in reducing undesirable splashing of particulates during the laser ablation process and thereby depositing high quality thin films. See, for example, United States Patent Number 5,049,405, which is hereby incorporated by reference herein in its entirety.
[00116] 7.14 Molecular beam deposition
[00117] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by molecular beam deposition. Molecular beam deposition is a method of growing films, under vacuum conditions, by directing one or more molecular beams at a substrate. In some instances, molecular beam deposition involves epitaxial film growth on single crystal substrates by a process that typically involves either the reaction of one or more molecular beams with the substrate or the deposition on the substrate of the beam particles. The term "molecular beam" refers to beams of monoatomic species as well as polyatomic species. The term molecular beam deposition includes both epitaxial growth and nonepitaxial growth processes. Molecular beam deposition is a variation of simple vacuum evaporation. However, molecular beam deposition offers better control over the species incident on the substrate than does vacuum evaporation. Good control over the incident species, coupled with the slow growth rates that are possible, permits the growth of thin layers having compositions (including dopant concentrations) that are precisely defined. Compositional control is aided by the fact that growth is generally at relatively low substrate temperatures, as compared to other growth techniques such as liquid phase epitaxy or chemical vapor deposition, and diffusion processes are very slow.
[00118] Essentially arbitrary layer compositions and doping profiles are obtained with precisely controlled layer thickness. In fact, layers as thin as a monolayer are grown by MBE. Furthermore, the relatively low growth temperature permits growth of materials and use of substrate materials that could not be used with higher temperature growth techniques. See for example, United States Patent 4,681,773, which is hereby incorporated by reference herein in its entirety.
[00119] 7.15 Ionized physical vapor deposition
[00120] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by ionized physical vapor deposition (I-PVD), also known as ionized metal plasma (IMP). In I-PVD, metal atoms are ionized in an intense plasma. Once ionized, the metal is directed by electric fields perpendicular to the wafer surface. Metal atoms are introduced into the plasma by sputtering from the target. A high density plasma is generated in the central volume of the reactor by an inductively coupled plasma (ICP) source. This electron density is sufficient to ionize approximately 80% of the metal atoms incident at the wafer surface. The ions from the plasma are accelerated and collimated at the surface of the wafer by a plasma sheath. The sheath is a region of intense electric field that is directed toward the wafer surface. The field strength is controlled by applying a radio frequency bias.
[00121] 7.16 Ion beam deposition
[00122] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by ion beam deposition (IBD). IBD uses an energetic, broad beam ion source carefully focused on a grounded metallic or dielectric sputtering target. Material sputtered from the target deposits on a nearby substrate to create a film. Most applications also use a second ion source, termed an ion assist source (IAD), that is directed at the substrate to deliver energetic noble or reactive ions at the surface of the growing film. The ion sources are "gridded" ion sources and are typically neutralized with an independent electron source. IBD processing yields excellent control and repeatability of film thickness and properties. Process pressures in IBD systems are approximately 10~4 Torr. Hence, there is very little scattering of either ions delivered by the ion sources or material sputtered from the target of the surface. Compared to sputter deposition using magnetron or diode systems, sputter deposition by IBD is highly directional and more energetic. In combination with a substrate fixture that rotates and changes angle, IBD systems deliver a broad range of control over sidewall coatings, trench filling and liftoff profiles.
[00123] 7.17 Atomic layer deposition
[00124] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by atomic layer deposition. Atomic layer deposition is also known as atomic layer epitaxy, sequential layer deposition, and pulsed-gas chemical vapor deposition. Atomic layer deposition involves use of a precursor based on self-limiting surface reactions. Generally, an object is exposed to a first species that deposits as a monolayer on the object. Then, the monolayer is exposed to a second species to form a fully reacted layer plus gaseous byproducts. The process is typically repeated until a desired thickness is achieved. Atomic layer deposition and various methods to carry out the same are described in United States Patent Number 4,058,430 to Suntola et al., entitled "Method for Producing Compound Thin Films," United States Patent Number 4,413,022 to Suntola et al., entitled "Method for Performing Growth of Compound Thin Films," to Ylilammi, and George et al., 1996, J. Phys. Chem. 100, pp. 13121-13131, each of which is hereby incorporated by reference herein in its entirety. Atomic layer deposition has also been described as a chemical vapor deposition operation performed under controlled conditions that cause the deposition to be self-limiting to yield deposition of, at most, a monolayer. The deposition of a monolayer provides precise control of film thickness and improved compound material layer uniformity. Atomic layer deposition is performed using equipment such as the Endura Integrated Cu Barrier/Seed system (Applied Materials, Santa Clara, California). [00125] 7.18 Hot filament chemical vapor deposition
[00126] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by hot filament chemical vapor deposition (HFCVD). In HFCVD, reactant gases are flowed over a heated filament to form precursor species that subsequently impinge on the substrate surface, resulting in the deposition of high quality films. HFCVD has been used to grow a wide variety of films, including diamond, boron nitride, aluminum nitride, titanium nitride, boron carbide, as well as amorphous silicon nitride. See, for example, Deshpande et al., 1995, J. Appl. Phys. 77, pp. 6534-6541, which is hereby incorporated by reference herein in its entirety.
[00127] 7.19 Screen printing
[00128] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by a screen printing (also known as silk-screening) process. A paste or ink is pressed onto portions of an underlying structure through openings in the emulsion on a screen. See, for example, Lambrechts and Sansen, Biosensors:
Micro electro chemical Devices, The Institute of Physics Publishing, Philadelphia, 1992, which is hereby incorporated by reference in its entirety. The paste consists of a mixture of the material of interest, an organic binder, and a solvent. The organic binder determines the flow properties of the paste. The bonding agent provides adhesion of particles to one another and to the substrate. The active particles make the ink a conductor, a resistor, or an insulator. The lithographic pattern in the screen emulsion is transferred onto portions of the underlying structure by forcing the paste through the mask openings with a squeegee. In a first step, paste is put down on the screen. Then the squeegee lowers and pushes the screen onto the substrate, forcing the paste through openings in the screen during its horizontal motion. During the last step, the screen snaps back, the thick film paste that adheres between the screening frame and the substrate shears, and the printed pattern is formed on the substrate. The resolution of the process depends on the openings in the screen and the nature of the paste. With a 325-mesh screen (i.e., 325 wires per inch or 40 μΜ holes) and a typical paste, a lateral resolution of ΙΟΟμΜ can be obtained.
[00129] For difficult-to -print pastes, a shadow mask, such as a thin metal foil with openings, complements the process. However, the resolution of this method is inferior (>500 μΜ). After printing, the wet films are allowed to settle for a period of time (e.g., fifteen minutes) to flatten the surface while drying. This removes the solvents from the paste.
Subsequent firing burns off the organic binder, metallic particles are reduced or oxidized, and glass particles are sintered. Typical temperatures range from 500°C to 1000°C. After firing, the thickness of the resulting layer ranges from ΙΟμΜ to 50μΜ. One silk-screening setup is the DEK 4265 (Universal Instrument Corporation, Binghamton, New York). Commercially available inks (pastes) that can be used in the screen printing include conductive (e.g., Au, Pt, Ag/Pd, etc.), resistive (e.g., Ru02, Ir02), overglaze, and dielectric (e.g., A1203, Zr02). The conductive pastes are based on metal particles, such as Ag, Pd, Au, or Pt, or a mixture of these combined with glass. Resistive pastes are based on Ru02 or Bi2Ru207 mixed with glass (e.g., 65% PBO, 25% Si02, 10% Bi203).
[00130] The resistivity is determined by the mixing ratio. Overglaze and dielectric pastes are based on glass mixtures. Different melting temperatures can be achieved by adjusting the paste composition. See, for example, Madou, Fundamentals of
Microfabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp. 154-156, which is hereby incorporated by reference herein in its entirety.
[00131] 7.20 Electroless metal deposition
[00132] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by electroless metal deposition. In electroless plating a layer is built by chemical means without applying a voltage. Electroless plating baths can be used to form Au, Co-P, Cu, Ni-Co, Ni-P, Pd, or Pt layers. See, for example, Madou,
Fundamentals of Microfabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp. 344-345, which is hereby incorporated by reference herein in its entirety.
[00133] 7.21 Electroplating
[00134] In another embodiment of the present disclosure, one or more layers of the deposit materials are deposited by electroplating. Electroplating takes place in an electrolytic cell. The reactions that take place in electroplating involve current flow under an imposed bias. In some embodiments, a layer is deposited as part of a damascene process. See, for example, Madou, Fundamentals of Microfabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp. 346-357, which is hereby incorporated herein by reference in its entirety. 8. Lithographic Etching Methods
[00135] The following subsections describe lithographic etching techniques that can be used in the fabrication methods described above. One of skill in the art will appreciate that etching or patterning the substrate can be conducted using other methods including, but not limited to, direct write technologies, Block Copolymer techniques and frequency doubling techniques.
[00136] 8.1 Cleaning and dehydration baking
[00137] In some embodiments in accordance with the present disclosure, the fabrication methods begin with a cleaning process. Substrate cleaning is an important step in a lithographic process if there is contamination in presence, as the contamination can severally compromise the adhesion of the resist to the substrate. Substrate surfaces have four general types of contamination: particulates, organic residues, inorganic residues, and unwanted oxide layers. Depending on the substrate and the type of contaminants, several cleaning techniques can be used. These methods include dry cleaning, wet cleanings, ultrasonic agitation, polishing with abrasive compounds, supercritical cleaning.
[00138] In some embodiments, a wet cleaning is used to remove organic materials from the substrate and prepare for the adhesion of the resist to the substrate. It is carried out by submerging a substrate in a bath or by rinsing the substrate with DI water and/or a solvent rinse. After the wet cleaning, the substrate is dried to remove moistures. Several drying techniques can be used. For example, in some embodiments, the substrate after wet cleaning can be dried using dehydration bake method. In this method, the substrate is baked at a temperature for a period of time such as baked at 80°C for several minutes. In some embodiments, the substrate may be dried by N2 flow or spinning. More detailed information with regard to cleaning and drying of a substrate can be found, for example, in Van Zant, Microchip Fabrication, Forth Edition, McGraw-Hill, New York, 2000, pp. 87-131, which is hereby incorporated by reference herein in its entirety.
[00139] 8.2 Adhesion promotion coating
[00140] To further improve the adhesion of the resist to the substrate, an adhesion promoter can be applied to the substrate before the application of the resist. Depending on the substrate and the resist, various adhesion promoters can be used. In some embodiments, Bis(trimethylsilyl)amine (also known as hexamethyldisilazane, or HMDS) or other organic materials are chosen as the adhesion promoter for the fabrication processes described in the present application.
[00141] HMDS is an organosilicon compound with the molecular formula
[(CH3)3Si]2NH. The molecule is a derivative of ammonia with trimethylsilyl groups in place of two hydrogen atoms. This colorless liquid is a reagent and a precursor to bases that are popular in organic synthesis and organometallic chemistry. In photolithography, HMDS is often used as an adhesion promotor for photoresist, and can be applied using any suitable conventional methods. For example, HMDS can be applied by vapor chemical deposition. In general, good adhesions are obtained by applying HMDS from the gas phase on heated substrates.
[00142] 8.3 Bottom anti-reflective coating
[00143] Optionally, a bottom anti-reflective coating (BARC) may be applied to help reduce image distortions associated with light reflections during lithography. In some cases, BARCs are critical and highly desirable. For example, when the substrate or a layer on the substrate is highly reflective, as in metal and polysilicon layers, light reflections can destroy the pattern resolution by three mechanisms: a) off-normal incident light can be reflected back through the resist that is intended to be masked; b) incident light can be reflected off device features and expose "notches" in the resist; and c) thin-film interference effects can lead to linewidth variations when resist thickness changes are caused by substrate or wafer topology or nonflatness.
[00144] BARCs can be either organic or inorganic, and can be applied either before or after the photoresist. Conventional methods, such as spinning, sputtering or chemical vapor deposition, can be used to apply the BARCs. By reducing standing waves, thin- film interference, or specular reflections, a BARC helps shrink line widths and improves the pattern resolution. In some cases, a BARC can absorb the radiation and dissipates the energy as heat. Such a BARC is generally suitable to be applied to a substrate before the resist. This BARC lowers reflectance back into the photoresist that has passed through the photoresist.
[00145] 8.4 Resist properties [00146] One form of photolithographic processing in accordance with the present disclosure begins with the coating of a resist layer over the layer of material to be patterned. Another form of photolithographic processing in accordance with the present disclosure applys the resist coating after at least one of the steps described in the previous sections, i.e., cleaning and dehydration baking, adhesion promotion coating or BARC. Resists used to form this resist layer are typically comprised of organic polymers applied from a solution. In some embodiments, the thickness of the resist is determined using Bossung Curve analysis. Bossung Curve analysis is one of the most commonly used tools in lithography. It maps a control surface for critical dimensions as a function of the variables of focus and exposure (dose). A detailed discussion of the Bossung Curve analysis can be found in Zavecz, Metrology, Inspection and Process Control edited by C. Archie, Proceeding of SPIE (2006) Vol. 6152 -109.
[00147] In some embodiments, this resist layer has a thickness in the range of 0.1 μιη to 2.0 um. Furthermore, in some embodiments, the resist layer has a uniformity of plus or minus 0.01 μιη. In some embodiments, the resist layer is applied using a spin technique such as a static spin process or a dynamic dispense process. In some embodiments, the resist layer is applied using a manual spinner, a moving-arm resist dispenser, or an automatic spinner. See, for example, Van Zant, Microchip Fabrication, Forth Edition, McGraw-Hill, New York, 2000, pp. 217-222, which is hereby incorporated by reference herein in its entirety.
[00148] Negative resists. In some embodiments, the resist layer is an optical resist that is designed to react with ultraviolet or laser sources. In some embodiments, the resist layer is a negative resist in which polymers in the resist form a cross-linked material that is etch resistant upon exposure to light. Examples of negative resists that can be used to make the resist layer include, but are not limited to, azidelisoprene negative resists,
polymethylmethacrylate (PMMA), polymethylisopropyl ketone (PMIPK), poly-butene-1- sulfone (PBS), poly-(trifluoroethyl chloroacrylate) TFECA, copolymer-(V-cyano ethyl acrylate-V-amido ethyl acrylate) (COP), poly-(2 -methyl pentene-l-sulfone) (PMPS) and the like.
[00149] Positive resists. In other embodiments, the resist layer (e.g. , positive resist layer of Fig. 2A) is a positive resist. The positive resist is relatively insoluble. After exposure to the proper light energy, the resist converts to a more soluble state. This reaction is called photosobulization. One positive photoresist in accordance with the present disclosure is the phenol-formaldehyde polymer, also called phenol-formaldehyde novolak resin. See, for example, DeForest, Photoresist: Materials and Processes, McGraw-Hill, New York, 1975, which is hereby incorporated by reference herein in its entirety. In some embodiments, the resist layer is LOR OSA, LOR 5 0.7A, LOR 1A, LOR 3A, or LOR 5A (MICROCHEM, Newton, Massachusetts). LOR lift-off resists use polydimethylglutarimide.
[00150] 8.5 Soft baking
[00151] After the resist layer has been applied, the density is often insufficient to support later processing. And some solvent may inhibit the exposure curve. Accordingly, in some embodiments of the present disclosure, a bake is used to densify the resist layer and drive off residual solvent or excess carrier solvent from the resist layer. After the bake, the resist becomes less tacky and the thickness of the resist layer is reduced slightly. This bake is referred to as a softbake, prebake, or post-apply bake. Several methods of baking the resist layer are contemplated by the present disclosure including, but not limited to, convection ovens, infrared ovens, microwave ovens, or hot plates. See, e.g, Levinson, Principles of Lithography, SPIE Press, Bellingham, Washington, 2001, pp. 68-70, which is hereby incorporated by reference herein in its entirety.
[00152] 8.6 Alignment and exposure of the mask
[00153] After the spacer has been coated with a resist layer, the next step is alignment and exposure of the resist layer. Alignment and exposure is, as the name implies, a two- purpose photomasking step. The first part of the alignment and exposure step is the positioning or alignment of the required image on the material surface. The image is found on a mask. The second part is the encoding of the image in the resist layer from an exposing light or radiation source. In the present disclosure, any conventional alignment system can be used to align the mask with the resist layer, including but not limited to, contact aligners, proximity aligners, scanning projection aligners, steppers, step and scan aligners, x-ray aligners, and electron beam aligners. For a review of aligners that can be used in the present disclosure, see, e.g., Solid State Technology, April 1993, p. 26; and Van Zant, Microchip Fabrication, Fourth Edition, McGraw-Hill, New York, 2000, pp. 232-241, each of which in incorporated herein by reference in its entirety. Masks can be negative or positive. [00154] A positive mask (not shown) used to develop a positive resist would have the opposite pattern of a negative mask. Both negative masks and positive masks used in the methods of the present disclosure are fabricated with techniques similar to those used in wafer processing. A photomask blank, consisting of an opaque film (usually chromium) deposited on glass substrates, is covered with resist. The resist is exposed according to the desired pattern, is then developed, and the exposed opaque material etched. Mask patterning is accomplished primarily by means of beam writers, which are tools that expose mask blanks according to suitably formatted biosensor electrode patterns. In some embodiments, electron or optical beam writers are used to pattern negative masks or positive masks. See, e.g., Levison, Principles of Lithography, SPIE Press, Bellingham, Washington, 200 1, pp. 229- 256, which is hereby incorporated by reference herein in its entirety.
[00155] Exposing the resist to light breaks or forms chemical bonds in the resist layer.
The final image matches the desired pattern from the mask or interference pattern. In various embodiments, attention is focused on providing uniformity of the light intensity and/or controlling the exposure rate.
[00156] In one embodiment of the present disclosure, the tool used to project the pattern of a mask onto a device is a wafer stepper. Wafer steppers exist in two
configurations, step-and-repeat and step-and-scan. In a step-and-repeat system, the entire area of the mask to be exposed is illuminated when a shutter is opened. In a step-and scan system, only part of the mask, and therefore only part of the exposure field on the device unit, is exposed when a shutter is opened. The entire field is exposed by scanning mask and the device being patterned synchronously. See, e.g. , Levison, Principles of Lithography, SPIE Press, Bellingham, Washington, 200 1, pp. 1 33- 174, which is hereby incorporated by reference herein in its entirety.
[00157] 8.7 Post expose bake
[00158] Before developing the resist, a post exposure bake (PEB) is optionally performed after exposure. In some cases where high resolutions are not required, the PEB is not necessary. However, for certain resists or for high resolutions, a PEB is inevitable for the crosslinking induced by the exposure.
[00159] PEB can be applied above the softening point of the resist without destroying the structures to be developed. For example, a PEB can be performed at 110°C, for 1-2 min on a hotplate. A PEB performed near the softening point of the photo resist can reduce mechanical stress formed during softbake and exposure. A PEB can also promote the thermally activated diffusion of carboxylic acid formed during exposure from the photo active compound. This diffusion step smoothes the spatial periodic pattern of carboxylic acid, which in turn will help to improve the image or pattern resolution.
[00160] 8.8 Development
[00161] After exposure through a mask, the pattern is coded as a latent image in resist as regions of exposed and unexposed resist. The pattern is developed in the resist by chemical dissolution of the unpolymerized resist regions. A number of development techniques can be used to develop the resist. Development techniques are designed to leave in the resist layer an exact copy of the pattern that was on the mask or reticle. The successful development of the image coded in resist is dependent on the nature of the resist's exposure mechanisms.
[00162] Negative resist, upon exposure to light, goes through a process of
polymerization which renders the resist resistant to dissolution in the developer chemical. The dissolving rate between the two regions is high enough so that little of the layer is lost from the polymerized regions. The chemical preferred for many negative-resist-developing situations is xylene or Stoddart solvent. The development step is done with a chemical developer followed by a rinse. For negative resists, the rinse chemical is n-butyl acetate in some embodiments.
[00163] Positive resists present a different developing condition. The two regions, polymerized and unpolymerized, have a different dissolving rate. This means that during the developing step some resist is always lost from the polymerized region. Use of developers that are too aggressive or that have overly long developing times result in an unacceptable thinning of the resist. Two types of chemical developers used with positive resists in accordance with the present disclosure are alkaline-water solutions and nonionic solutions. The alkaline-water solutions can be sodium hydroxide or potassium hydroxide. Typical nonionic solutions include, but are not limited to, tetramethylamrnonimurn hydroxide (TMAH). The rinse chemical for positive-resist developers is water. A rinse is used for both positive and negative resists. This rinse is used to rapidly dilute the developer chemical to stop the developing action. [00164] There are several methods in which a developer is applied to resist in order to develop the latent image. Such methods include, but are not limited to, immersion, spray development, and puddle development. In some embodiments of the present disclosure, wet development methods are not used. Rather, a dry (or plasma) development is used. In such dry processes, a plasma etcher uses energized ions to chemically dissolve away either exposed or unexposed portions of the resist layer without first developing the resist layer using wet chemical techniques.
[00165] In some embodiments, in particular when an organic compound is used as the photoresist, the chemical reaction in the resist layer needs to be controlled to ensure the image fidelity. This can be achieved by controlling the exposure time, the development time, or other processing parameters. Image fidelity herein refers to the ability of a lithographic process to render an image accurately, without any visible distortion or information loss.
[00166] 8.9 Hard baking
In some embodiments of the present disclosure, resist is hard baked after it has been developed. The purpose of the hard bake is to achieve good adhesion of the resist layer to the underlying layer to be patterned. In some embodiments, a hard bake is accomplished using a convection oven, in-line or manual hot plates, infrared tunneling ovens, moving-belt convection ovens, vacuum ovens and the like. General baking temperature and baking times are provided by the resist manufacture. Therefore, specific baking temperatures and times is application dependent. Usually the hard baking temperature is the hottest or highest temperature among all of the processes. Nominal hard bake temperatures are from 130°C to 200°C for thirty minutes in a convection oven. The hard baking sets the resist and enhances mechanical stability of the resist for the subsequent etch or implant process. At this point, the image fidelity is usually measured and fed back to the preceding lithographic steps.
[00167] 8.10 Etching
[00168] After development, an etching step is used for patterning. A number of etching methods are available. Etching can be divided into dry and wet etching. The following disclosure provides examples of such etching. It will be understood by one of skill in the art that the disclosed etching methods can be used independently of the preceding lithographic steps in accordance with some embodiments. It will be further understood by one of skill in the art that the disclosed etching methods can be used with the preceding lithographic steps in accordance with some embodiments. Wet etching is the use of acidic or basic solutions to solvate away a specific reacted species. Examples are silicon dioxide being etched in hydrofluoric acid, or Si3N4 in hot phosphoric acid, or mono-crystalline silicon in potassium hydroxide (KOH)). Photoresist materials are removed by acid or base materials (depending on polarity and resist chemistry).
[00169] The following list is a generic categorization of the classifications of etch methods. Each etch method has specialized equipment for optimization of the process.
Complexity has evolved to the point where some of the terms and techniques are
interchangeable. For example, there are terms depending on the vendor, for inductive coupled plasma (ICP) etch or transformer coupled plasma (TCP), each which improves an ion etcher
[00170] Wet etching.
[00171] In wet etching, etchant is introduced either as a liquid bath with submersion or a surface spray/mist. Material is removed as a function of solvation of the etch intermediate or byproduct. A limitation of wet etching is the wetting function of the chemical. Some etchants are two step reactions such as oxidation of a material then solvation of the oxide.
[00172] Wet etches can also be used in combination with the dry etches as a preparatory step for surface cleaning or contaminate removal. An example is organic material removal prior to a reactive ion etch. Wet etches are typically isotropic or follow crystal lattices.
[00173] In one embodiment of the present disclosure, the structure to be patterned is immersed in a tank of an etchant for a specific time. Then the structure is transferred to a rinse station for acid removal, and transferred to a station for final rinse and a spin dry step.
[00174] Wet spray etching or vapor etching. In some embodiments of the present disclosure, wet spray etching or vapor etching is used for patterning. Wet spray etching offers several advantages over immersion etching including the added definition gained from the mechanical pressure of the spray. In vapor etching, the wafer is exposed to etchant vapors such as hydrofloric acid vapors.
[00175] Dry etching - Reactive-ion etching. [00176] Dry etching encompasses other methods outside the wet etch environment.
Basic mechanics includes excitation of a chemical to an ionic state and then reaction with the substrate and films. Material is removed either by physical/mechanical methods or chemical conversion and solvation into the gas stream.
[00177] Sputter (physical / mechanical). In sputter approaches, ions or elements are accelerated to a high energy and directed toward a surface. Surfaces are removed due to the collisions of these highly charged ions, much like a nanoscale sandblasting method. Sputter etching is facilitated by charging the ion and then establishing a high bias towards to the substrate. Removal is line of sight from the target in the direction of the bias. Sputter etching is a method to achieve anisotropic etch profiles. Sputtering can also be accomplished by directional ion bombardment by 'ion guns'. Examples include focused ion beam (FIB) or other direct write approaches.
[00178] Chemical (solvation - liquid or gas). Chemical enhanced etching exploits generation of intermediate species that can be solvated in the solution or vaporized in the low pressure chamber. Chemical etching is tuned to generate the solvated states due to the chemicals included in the reaction mixture. For example, chlorine is used for most metals. A fluorine based chemical such as carbontetrafluoride (CF4) or sulfurfluoride (SF6) is used for etching silicon or silicon oxide. Oxide etches with CF4 or SF6 follows the same reaction mechanism as the wet etch with HF acid.
[00179] Chemical etch is more isotropic in nature than the corresponding sputtering systems. A technique of alternating and combining the two methods can sculpt complex side wall profiles. The present disclose encompasses the use of such methods to generate the specific nodes for functionality. An example is the 'wine glass' structure is disclosed in Figure 7 of related application No. 61/802,006, filed March 15, 2013, which is hereby incorporated by reference herein for its disclosure of such structures.
[00180] Ion beam etching. Another type of etcher that is used to perform etching in accordance with various aspects of the present disclosure is ion beam etching. Unlike chemical plasma systems, ion beam etching is a physical process. The structure to be etched is placed on a holder in a vacuum chamber and a stream of argon is introduced into the chamber. Upon entering the chamber, the argon is subjected to a stream of high-energy electrons from a set of cathode (-)-anode (+) electrodes. The electrons ionize the argon atoms to a high-energy state with a positive charge. The wafers are held on a negatively grounded holder that attracts the ionized argon atoms. As the argon atoms travel to the wafer holder they accelerate, picking up energy. At the wafer surface, they crash into the exposed wafer layer and blast small amounts from the wafer surface. No chemical reaction takes place between the argon atoms and the wafer material. The material removal (etching) is highly directional (anisotropic), resulting in good definition in small openings.
[00181] Plasma etching. Plasma generation is a method for ionization in the dry etch process. Plasmas can be tuned and controlled for the different gases used. Plasma can be struck with one gas and maintained by another. Relative location of the plasma can increase etch rate or impact resultant damage. Some systems apply remote plasma generation sources while others control the confinement and immersion in the plasma. Generally there is a dilution or carrier gas that maintains the plasma and then a small volume of reactive gas is introduced. Vacuum levels define the type of plasma etching and complexity for control. Power of the generator is a control factor as well as the frequency.
[00182] In some embodiments, plasma etching is performed using a plasma etcher.
Physically, a plasma etcher comprises a chamber, vacuum system, gas supply, and a power supply. The structure to be etched is loaded into the chamber and the pressure inside is reduced by the vacuum system. After the vacuum is established, the chamber is filled with the reactive gas. For the etching of silicon dioxide, for example, the gas is usually CF4 that is mixed with oxygen. A power supply creates a radio frequency (RF) field through electrodes in the chamber. The field energizes the gas mixture to a plasma state. In the energized state, the fluorine attacks the silicon dioxide, converting it into volatile components that are removed from the system by the vacuum system.
[00183] Any of a wide variety of plasma etchers is used to perform etching, in accordance with various embodiments of the present disclosure. Such etchers include, but are not limited to, barrel etchers, plasma planar systems, electron cyclotron resonance sources, high density reflected electron sources, helicon wave sources, inductively coupled plasma sources, and transformer coupled plasma sources. In some embodiments, a reactive ion etcher system combines plasma etching and ion beam etching principles. The systems are similar in construction to the plasma systems but have a capability of ion milling. The combination brings the benefits of chemical plasma etching along with the benefits of directional ion milling. See, e.g., Van Zant, Microchip Fabrication, Fourth Edition, McGraw- Hill, New York, 2000, pp. 256-270, which is hereby incorporated herein by reference for more information on etching techniques and etching equipment that can be used in
accordance with the present disclosure.
[00184] 8.11 Characteristics of an Etch Process.
[00185] The etch process generates an artifact or signature of the processing employed.
Knowledge of how to apply etching methods determines the final profile of the structure. Definitions for the following terms are provided in order to convey an understanding of etch processing characteristics.
[00186] Isotropic etching. Isotropic implies equal etching in all directions. The two references are vertical and horizontal directions. An isotropic etch 'undercuts' the mask at a ratio to the vertical depth etched. Impact is that a circular opening of 1 micron when etched to a 0.5 micron depth would have a bowl like shape that is 2 microns at the top of the bowl, 1 micron at the bottom, with rounded side walls. An important consideration here is that if a conformal film were deposited over a topography/structure, an isotropic etch would remove the horizontal material as well as the side wall/vertical material. There is no shadowing or off line of sight protection from an isotropic etch (excluding rate limiting or aspect ratio physical diffusivity barriers). This characteristic is used in cleans and sacrificial film removals. One trick is to use isotopic etching to consume the side walls, thereby reducing the critical dimension.
[00187] Anisotropic etching. Anisotropic etching is preferential etching in one direction over the other. Hence the term anisotropic: not isotropic. Ability to produce anisotropic etch chemistries allows for denser packing of devices. Anisotropy is limited by the bias and directionality of the tool utilized. The mask image is transferred into the substrate with fidelity: a 1 micron circular opening etched to 0.5 micron depth is 1 micron by 0.5 micron feature in the substrate.
[00188] An application for the disclosed technology is considering the etching of a conformal coating. If the deposited film is .25 microns over a .8 micron step, an anisotropic etch removes the .25 microns on the surface (horizontal surface) but leaves the side wall (vertical surface) material. Thereby an anisotropic etch results in a new structure of the deposited material where an isotropic etch would remove all material. Limitations on anisotropic etches are physical limitations that inhibit reactive species reaching the bottom surface of the etch location. These topics are defined in 'aspect ratio', 'poisoning', 'etch stops' and other terms below.
[00189] Etching Definitions and Examples
[00190] Etch rate - A function of the process recipe which quantifies how fast a material is removed. Units are expressed in removed thickness per time, e.g. Angstroms per second. Etch rate includes lateral calculation as well as the vertical component. Etch rate can be reduced by addition of diluents or carrier gases that do no enhance the etch reaction. Etch rate is modified to compensate for reaction chamber design where the etch rate in the center may be higher than on the outer edge. Etch rate is sacrificed for uniformity and repeatability. High etch rates are desirable for manufacturability. However, etch rate is only one part of the grand compromise for a final etch process.
[00191] Selectivity - A comparative etch rate ratio of the desired material to be etched and the protective mask material (ratio of material etch rate over mask etch rate). A high selectivity is desired to maintain transfer of the lithography into the substrate. If the selectivity is low the differentiation between starting structure and final structure is compromised due to loss of mask. Etch chemistries are adjusted to achieve the highest selectivity possible without compromising process time for the material etch rate. High selectivity with an Angstrom per hour etch rate is not practical in typical embodiments.
[00192] Side wall slope - A desired etch profile could be a perfect transfer of the mask image into the substrate material with vertical sidewalls. The most common etch artifact is an oblique angle slope where the top is wider than the bottom. This can be caused from various etch conditions. A primary mechanism for this is that the etch reaction is hindered by diffusivity of the etchant, by-product interference, loss of ionization states, or competitive nonproductive reactions. In the instant disclosure, both vertical side wall, oblique and reentry angled structures are contemplated through the disclosed etching techniques.
[00193] Re-entrant side wall - The opposite of the oblique angle side wall is the reentrant side wall angle. Here the bottom is wider than the top masked surface. This profile can be obtained by segmented etching with increasingly isotropic etch recipes. The isotropic undercuts by the lateral etch nature. The resultant structure resembles the dove tail joint in wood working. Another method for reentrant side walls is the enhancement of the etch rate in the trench as a function of dopant materials.
[00194] Inhibitor sidewall protection due to byproduct re-deposition. Another control method for side wall profile is due to the nature of the etchant reaction. The etch reaction may generate a by-product that is not highly volatile. These compounds redeposit on the side wall during the reaction. In an isotropic etch it stops the lateral etch at the top but does not hinder at the bottom area. Re-deposition is viewed as both a positive and negative aspect of the process. Such re-deposition is advantageously used in the present disclosure.
[00195] Erosion. A method to increase the oblique slope of a side wall during an etch process is to erode the masking material at the edges. This is usually a function of heating the mask material during the etch process. This has the impact that the edge acuity of the resist is lost, resulting in the feature size being gradually reduced with etch time. Resist erosion reduces the critical dimension at the top of the feature. Examples of extreme erosion would produce teepee or pyramid like structures
[00196] Etch stop. An etch stop material is a material that has a very low etch rate that is built as a sandwich structure in a device. As the target material is etched the structure is defined. However when the etchant hits the etch stop material the maximum depth is reached. This is a method to control the depth of an etch material with high precision.
Deposition sandwich can be controlled uniformly across the substrate regardless of the etch reactor design or non uniformity. A second artifact is that the amount of over etch time can be extended and only the lateral etch will continue. Lateral etch results in re-entrant slopes or critical dimension reduction.
[00197] Self limiting or poisoning. An etch recipe can be designed in which there is a limitation of the etchant material. Then due to physical constraint such as aspect ratio, dilution, power or bias, the effective etch is restricted. Evidence of this artifact is in deep trench, 10 to 15 microns, where there is tapering and closure. Regardless of the additional time provided the etch depth does not progress. Poisoning of the reaction has the same results but can be observed by additions to the gas stream that inhibits conversion to the desired species, or a competitive reaction that consumes the reactive species in a
nonproductive reaction. [00198] Crystalline specific (lattice orientation). For mono crystalline or highly ordered lattice structures, etchants can be used to highlight and accentuate the lattice structure. For example, iodine based wet etches are used for defect analysis due to different etch rates on the crystal lattice. In some embodiments, crystalline specific etches for special substrate enhancements and for specific devices are used. In some embodiments, metallic etches can improve the surface area for the graphene growth. Moreover, nucleation approaches make use of faceting etch for graphene growth.
[00199] Secondary Etch Functions and Utilization
[00200] The following provides additional uses for the disclosed etching processes that are used in accordance with some embodiments of the present disclosure.
[00201] Cleaning. Etch removal of contaminates accumulated during previous processing steps can be performed. Examples of a standard etch clean process such as the RCA Clean is 1) application of distilled water, 2) application of buffered ammonium hydroxide, 3) application of dilute HF for ancient oxide, and 4) application of HC1 for metallic clean. Clean procedures can also be plasma based prior to a deposition process. A clean process is removing the unwanted films prior to the following step. Cleans can be before etch steps (remove inhibitors), deposition and lithography.
[00202] Polish. A light etch step to change the profile slightly or remove unwanted residuals. For segmented film deposition a slight polish removes undesired side wall material and fine tunes by reduction of material the final film thickness.
[00203] Structure definition. Previous discussion highlighted the side wall slope as nominal/vertical, oblique, or reentrant angled. Functional nodes can be sculpted in the profile by tuning the etch process. A simple example is the wine glass structure which was designed for metal flow into contact views. As the structures became more complex such as for plasmonics, nodes are defined by crevices or protrusion. Full structures such as a rib or ribbon can be generated by an anisotropic etch of a conformally deposited film. These concepts are captured in our portfolio as related to side walls, segmented film deposition, or fine structure lithography to name a few.
[00204] Deep trench and isolation of structures. State of the art technologies have advanced to do deep trench etches with aspect ratios of 20: 1 to greater. One of the benefits of trench formation is the ability to isolate structures on the same substrate. The isolation can be by air or a back fill material (dielectric or oxides. The etch process defines the isolation and the resultant critical dimension of the rib or pillar. Polarity is important because the device functionality can be buried in the bottom of the trench and the wall portions can act as thermal radiators, wave guides, or particulate traps.
[00205] Aspect ratios. Aspect ratio is defined by the height of the structure over the width. In the etch process this impacts the diffusivity of the etchant in a narrow trench (10: 1) which will slow the etchant reaction. A secondary concern is the physical stability of a tall feature on a narrow base. Such features are impacted by the microfluidic forces of subsequent processing resulting in toppling or cleavage of the structure.
[00206] Side wall deposition. Depending on the application and process engineering this can be a benefit or a detriment. The majority of re-deposition material during an etch process is difficult to remove with later steps (especially metal halides) which is a detriment. The rate of re-deposition can impact the profile of the structure. A benefit of re-deposition is an organic re-deposition or interaction with the photo resist that maintains the resist mask and image fidelity. This re-deposition is a corrective action for undesired resist erosion. Another example of re-deposition being beneficial is when the byproduct material can be formed for a device functionality or structure.
[00207] Etch passivation. Slight differentiation, or subset of side wall re-deposition.
During an etch step and oxidizing ambient may be used as the etchant chemistry. If there are other layers exposed such as metals (aluminum) a thin oxide will be formed which passivates the secondary surface. Other etch byproducts passivates other materials.
[00208] Tool Types
[00209] The present disclosure encompasses the use of a wide variety of etch tool configurations. Manufactures of such tools include, but are not limited to, Applied materials, Lam Research, Tegal, Hitachi, Oxford, Plasma Therm, and Branson to name a few. Each company has improvements or enhancements over the competitors. The following list highlights some of the designs and types of reactors on the market: barrel etchers, parallel plate, downstream etchers, ICP, TCP, sinks, spray dispense, oxidation, EBEAM oxidation, and direct write systems. For wet etch (wet sinks) there are numbers of designs for recirculation, purity, automation of multiple baths and inclusion of spin rinse dryers. Note also in the literature some tools are refined to the substrate or material designed to be etched: metal etchers, oxide etchers, etc.
[00210] 8.12 Residual layer removal
[00211] The result of the etching process described above is the formation of grooves. Next, the residual layer is removed in a process known as resist stripping in order to yield the patterned structure. In some embodiments, the resist is stripped off with a strong acid such as H2SO4 or an acid oxidant combination, such as H2S04-Cr203, attacking the resist but not the groove to yield the fully patterned structure. Other liquid strippers include organic solvent strippers (e.g., phenolic organic strippers and solventlamine strippers) and alkaline strippers (with or without oxidants). In some embodiments of the present disclosure, a dry plasma process is applied to remove a resist. In such embodiments, the device is placed in a chamber and oxygen is introduced. The plasma field energizes the oxygen to a high energy state, which, in turn, oxidizes the resist components to gases that are removed from the chamber by the vacuum pump. In dry strippers, the plasma is generated by microwave, radio frequency, or ultraviolet-ozone sources. More information on photolithographic processes that can be used to pattern devices is found in Madou, Fundamentals of Micro fabrication, Second Edition, CRC Press, Boca Raton, Florida, 2002, pp. 2-65; Van Zant, Microchip Fabrication, Fourth Edition, McGraw-Hill, New York, 2000; , Wolf and Tauber, Silicon Processing for the VLSI Era, Second Edition, Lattice Press, Sunset Beach, California, 2002; and SZE and Ng, Physics of Semiconductor Devices, Third Edition, Wiley- Interscience, 2007, each of which are hereby incorporated by reference herein in their entireties. Such methods include the use of a positive photoresist rather than a negative photoresist as well as extreme ultraviolet lithography, x-ray lithography, charged-particle-beam lithography, scanning probe lithography, soft lithography, and three-dimensional lithographic methods.
9. REFERENCES CITED
[00212] All references cited herein are incorporated herein by reference in their entirety and for all purposes to the same extent as if each individual publication or patent or patent application was specifically and individually indicated to be incorporated by reference in its entirety for all purposes. [00213] Many modifications and variations of this disclosure can be made without departing from its spirit and scope, as will be apparent to those skilled in the art. The specific embodiments described herein are offered by way of example only, and the disclosure is to be limited only by the terms of the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims

WHAT IS CLAIMED IS:
1. A method for forming a graphite-based structure on a substrate, wherein the substrate comprises a plurality of zones, a first zone in the plurality of zones comprising a first plurality of sub-zones, wherein the graphite -based structure comprises a first graphene layer overlying the first zone of the substrate, the first graphene layer comprising a first plurality of graphene sub-layers that collectively defines a first predetermined cross-sectional graphene profile, the method comprising:
(A) doping the first zone with carbon in accordance with a first plurality of dopant profiles, wherein each respective dopant profile in the first plurality of dopant profiles characterizes the doping for a corresponding sub-zone in the first plurality of sub-zones, wherein at least one dopant profile in the first plurality of dopant profiles is different than another dopant profile in the first plurality of dopant profiles; and
(B) generating the first plurality of graphene sub-layers on the substrate by heating the substrate, wherein at least one graphene sub-layer in the first plurality of graphene sub-layers has a characteristic dimension that is different than another graphene sub-layer in the first plurality of graphene sub-layers.
2. The method of claim 1, wherein the first plurality of dopant profiles comprises:
a first dopant profile formed in a first sub-zone in the first plurality of sub-zones, and a different second dopant profile formed in a second sub-zone in the first plurality of sub-zones.
3. The method of claim 2, wherein the first plurality of dopant profiles further comprises a third dopant profile formed in a third sub-zone in the first plurality of sub-zones, wherein
(i) the third subzone is adjacent to the second subzone in the first plurality of sub-zones, and
(ii) the third dopant profile is different than the second dopant profile in the first plurality of dopant profiles.
4. The method of claim 1, further comprising: (C) creating, prior to the doping the first zone (A), at least one barrier layer, wherein a first barrier layer in the at least one barrier layer overlays the first zone for controlling the first plurality of dopant profiles, wherein the first barrier layer is characterized by a first cross-sectional barrier profile that is correlated to the first predetermined cross-sectional graphene profile.
5. The method of claim 4, further comprising:
(D) removing, subsequently to the doping the first zone (A) and prior to the generating (B), the at least one barrier layer or a portion of the at least one barrier layer, thereby allowing subsequent generation of the first graphene layer on the substrate by the generating (B).
6. The method of claim 4, wherein the first barrier layer comprises a first plurality of barrier sub-layers that collectively defines the first predetermined cross-sectional barrier profile.
7. The method of claim 4 or 5, wherein
the first plurality of dopant profiles comprises a first dopant profile formed in a first sub-zone in the first plurality of sub-zones, and a different second dopant profile formed in a second sub-zone in the first plurality of sub-zones; and
the first plurality of barrier sub-layers comprises a first barrier sub-layer overlaying the second sub-zone in the first plurality of sub-zones.
8. The method of claim 7, wherein
the first plurality of dopant profiles further comprises a third dopant profile formed in a third sub-zone in the first plurality of sub-zones, wherein
(i) the third subzone is adjacent to the second subzone in the first plurality of sub-zones, and
(ii) the third dopant profile is different than the second dopant profile in the first plurality of dopant profiles; and
the first plurality of barrier sub-layers comprises the first barrier sub-layer and a second barrier sub-layer, wherein
(i) the first barrier sub-layer overlays the second sub-zone and the third sub- zone in the first plurality of sub-zones, and (ii) the second barrier sub-layer overlays the third sub-zone in the first plurality of sub-zones.
9. The method of claim 1 or 4, wherein the graphite -based structure comprises a second graphene layer overlying a second zone in the plurality of zones of the substrate, the second zone comprising a second plurality of sub-zones, the second graphene layer comprising a second plurality of graphene sub-layers that collectively defines a second predetermined cross-sectional graphene profile for the second zone, the method further comprising:
(E) doping the second zone with carbon in accordance with a second plurality of dopant profiles, wherein each respective dopant profile in the second plurality of dopant profiles characterizes the doping for a corresponding sub-zone in the second plurality of sub- zones, wherein at least one dopant profile in the second plurality of dopant profiles is different than another dopant profile in the second plurality of dopant profiles; and
(F) generating the second plurality of graphene sub-layers on the substrate by heating the substrate, wherein at least one graphene sub-layer in the second plurality of graphene sublayers has a characteristic dimension that is different than an adjacent graphene sub-layer in the second plurality of graphene sub-layers.
10. The method of claim 9, wherein the graphite-based structure comprises a third graphene layer overlying a third zone in the plurality of zones of the substrate, the third zone comprising a third plurality of sub-zones, the third graphene layer comprising a third plurality of graphene sub-layers that collectively defines a third predetermined cross- sectional graphene profile for the third zone, the method further comprising:
(G) doping the third zone with carbon in accordance with a third plurality of dopant profiles, wherein each respective dopant profile in the third plurality of dopant profiles characterizes the doping for a corresponding sub-zone in the third plurality of sub-zones, wherein at least one dopant profile in the third plurality of dopant profiles is different than another dopant profile in the third plurality of dopant profiles; and
(H) generating the third plurality of graphene sub-layers on the substrate by heating the substrate, wherein at least one graphene sub-layer in the third plurality of graphene sublayers has a characteristic dimension that is different than an adjacent graphene sub-layer in the third plurality of graphene sub-layers, thereby producing the third graphene layer having multiple bandgaps and said graphite -based structure.
11. The method of claim 9, wherein the doping the second zone with carbon is conducted concurrently with the doping the first zone with carbon.
12. The method of claim 9, wherein the doping the second zone with carbon is conducted subsequently to the doping the first zone with carbon.
13. The method of claim 9, wherein the generating the second plurality of graphene sublayers is conducted concurrently with the generating the first plurality of graphene sublayers.
14. The method of claim 9, wherein the generating the second plurality of graphene sublayers is conducted subsequently to the generating the first plurality of graphene sub-layers.
15. The method of claim 9, wherein the generating the second plurality of graphene sublayers is conducted concurrently with the generating the first plurality of graphene sublayers.
16. The method of claim 9, wherein the second predetermined cross-sectional graphene profile is the same as the first predetermined cross-sectional graphene profile.
17. The method of claim 9, wherein the second predetermined cross-sectional graphene profile is different than the first predetermined cross-sectional graphene profile.
18. The method of claim 9, wherein the second graphene layer has a thickness that is substantially the same as the first graphene layer.
19. The method of claim 9, wherein the second graphene layer has a thickness that is different than the first graphene layer.
20. The method of claim 9, wherein the first and second graphene layers form an intersection, and are separated from each other by a boundary condition at the intersection.
21. The method of claim 9, wherein the second graphene layer is adjacent to the first graphene layer and separated from the first graphene layer by a trench.
22. The method of claim 10, wherein at least two of the first, second and third predetermined cross-sectional graphene profiles are substantially the same.
23. The method of claim 10, wherein at least two of the first, second and third predetermined cross-sectional graphene profiles are different.
24. The method of claim 10, wherein at least two of the first, second and third graphene layers have a substantially same thickness.
25. The method of claim 10, wherein at least two of the first, second and third graphene layers have different thicknesses.
26. The method of claim 10, wherein at least two of the first, second and third graphene layers form an intersection, and separated from each other by a boundary condition at the intersection
27. The method of claim 10, wherein at least two of the first, second and third graphene layers are adjacent to each other and separated from each other by a trench.
28. The method of claim 1 or 4, wherein one dopant profile in the first plurality of dopant profiles has a maximum dopant concentration between 10 5 ions/cm 2 and 1021 ions/cm2.
29. The method of claim 2 or 7, wherein
the first dopant profile has a maximum dopant concentration between 10 11 ions/cm 2
21 2
and 10 ions/cm , and
dopant profile has a maximum dopant concentration between 10 5 ions/cm 2
Figure imgf000058_0001
30. The method of claim 3 or 8, wherein
ant profile has a maximum dopant concentration between 10 15 ions/cm 2
Figure imgf000058_0002
the second dopant profile has a maximum dopant concentration between 1010 ions/cm 2 and 1019 ions/cm 2 ; and
pant profile has a maximum dopant concentration between 10 5 ions/cm 2
Figure imgf000058_0003
31. The method of claim 1 , wherein at least one dopant profile in the first plurality of dopant profiles has a dopant penetration depth that is different than another dopant profile in the first plurality of dopant profiles.
32. The method of claim 1, wherein one dopant profile in the first plurality of dopant profiles has a dopant penetration depth that is between 1 nm and 5 μιη.
33. The method of claim 7, wherein
the first dopant profile has a first dopant penetration depth between 20 nm and 5 μιη; and
the second dopant profile has a second dopant penetration depth between 2 nm and 3 μιη.
34. The method of claim 8, wherein
the first dopant profile has a first dopant penetration depth between 20 nm and 10 μιη, the second dopant profile has a second dopant penetration depth between 10 nm and 5 μιη; and
the third dopant profile has a third dopant penetration depth between 2 nm and 3 μιη.
35. The method of any one of claims 1-34, wherein the substrate comprises a
semiconducting material, display glass, sapphire, aluminum oxide, a metallic slug, or a flexible material.
36. The method of any one of claims 1-34, wherein the substrate comprises silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), gallium arsenide (GaAs) or molybdenum sulfide (MoS).
37. The method for any one of claims 1-34, wherein the doping comprises ion implantation.
38. The method of claim 37, wherein the ion implantation is performed with a dose in a range that is between 10 5 ions/cm 2 and 1021 ions/cm 2 , and energy in a range that is between 5 KeV and 400 KeV.
39. The method of any one of claims 1-34, wherein the generating the graphene layer by heating the substrate comprises exposing the substrate to a plurality of heat cycles of between 900 to 1300 degrees in a furnace with quenching between heat cycles in the plurality of heat cycles, or rapid thermal anneal including a pulsed source.
40. The method of any one of claims 1-34, wherein at least one graphene sub-layer in the first graphene layer is flat.
41. The method of any one of claims 1-34, wherein at least one graphene sub-layer in the first graphene layer is curved.
42. The method of any one of claims 1-34, wherein one graphene sub-layer in the first graphene layer has a portion overhanging, butting or joining another adjacent graphene sublayer in the first graphene layer.
43. The method of any one of claims 1-34, wherein an outmost one graphene sub-layer in the first graphene layer covers an underlying graphene sub-layer in the first graphene layer.
44. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer has a characteristic dimension that is between 1 nm and 20 nm.
45. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer has a characteristic dimension that is between 20 nm and 50 nm.
46. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer has a characteristic dimension that is between 50 nm and 100 nm.
47. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer has a characteristic dimension that is between 100 nm and 500 nm.
48. The method of any one of claims 1-34, wherein
a first graphene sub-layer in the first graphene layer has a characteristic dimension that is between 1 nm and 20 nm, and
a second graphene sub-layer in the first graphene layer has a characteristic dimension that is between 20 nm and 50 nm.
49. The method of any one of claims 1-34, wherein a first graphene sub-layer in the first graphene layer has a characteristic dimension that is between 1 nm and 20 nm,
a second graphene sub-layer in the first graphene layer has a characteristic dimension that is between 20 nm and 50 nm, and
a third graphene sub-layer in the first graphene layer has a characteristic dimension that is between 50 nm and 100 nm.
50. The method of claim 48 or 49, wherein the first graphene sub-layer has a thickness that is substantially the same as the second graphene sub-layer in the first graphene layer.
51. The method of claim 48 or 49, wherein the first graphene sub-layer has a thickness that is different than the second graphene sub-layer in the first graphene layer.
52. The method of claim 49, wherein at least two of the first, second and third graphene sub-layer have substantially a same thickness.
53. The method of claim 49, wherein at least two of the first, second and third graphene sub-layer have different thicknesses.
54. The method of any one of claims 1-34, wherein one graphene sub-layer in the first graphene layer comprises 1 graphene sheet.
55. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer comprises between 2 and 10 graphene sheets.
56. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer comprises between 10 and 30 graphene sheets.
57. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer comprises between 30 and 50 graphene sheets.
58. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer comprises between 50 and 100 graphene sheets.
59. The method of any one of claims 1-34, wherein a graphene sub-layer in the first graphene layer comprises over 100 graphene sheets.
60. The method of claim 4, wherein the creating (C) comprises:
forming a barrier layer in the at least one barrier layer at a predetermined thickness by (i) depositing a barrier material on the substrate or (ii) oxidizing the substrate; and
tailoring the formed barrier layer to correlate with the first predetermined cross- sectional graphene profile by (i) lithographically removing undesired portion of the barrier layer, (ii) modifying boundaries or edges of the barrier layer, or (iii) a combination thereof.
61. The method of claim 4, wherein the creating (C) comprises:
forming the first barrier layer at a predetermined thickness by (i) depositing a barrier material on the substrate or (ii) oxidizing the substrate; and
correlating the first barrier layer with the first predetermined cross-sectional graphene profile by (i) lithographically removing an undesired portion of the barrier layer, (ii) tailoring an edge or a side wall of the barrier layer, or (iii) combination thereof.
62. The method of claim 4, wherein the at least one barrier layer comprises a dielectric material.
63. The method of claim 4, wherein the at least one barrier layer comprises silicon dioxide (Si02) or silicon nitride (SiN).
64. The method of claim 5, wherein the removing the at least one barrier layer comprises anisotropically etching the substrate.
65. The method of claim 5, wherein the removing the at least one barrier layer is conducted using plasma etching, ion beam etching, reactive ion etching, or sputtering and evaporation.
66. A graphite-based structure, comprising:
a substrate comprising one or more zones; and
one or more graphene layers, each respective graphene layer in the one or more graphene layers overlaying a corresponding zone in the one or more zones by the method of any proceeding claim, wherein a first graphene layer in the one or more graphene layers comprises a first plurality of graphene sub-layers that collectively defines a first
predetermined cross-sectional profile, wherein at least one graphene sub-layer in the first plurality of graphene sub-layers has a characteristic dimension that is different than an adjacent graphene sub-layer in the first plurality of graphene sub-layers.
67. The graphite -based structure of claim 66, wherein one or more graphene layers comprises one graphene layer.
68. The graphite -based structure of claim 66, wherein one or more graphene layers comprises two graphene layers, each overlaying a corresponding zone in the one or more zones.
69. The graphite -based structure of claim 66, wherein one or more graphene layers comprises between two and ten graphene layers, each overlaying a corresponding zone in the one or more zones.
70. The graphite -based structure of claim 66, wherein one or more graphene layers comprises between 10 and 100 graphene layers, each overlaying a corresponding zone in the one or more zones.
71. The graphite -based structure of claim 66, wherein one or more graphene layers comprises between 100 and 1000 graphene layers, each overlaying a corresponding zone in the one or more zones.
72. The graphite -based structure of claim 66, wherein one or more graphene layers comprises more than 1000 graphene layers, each overlaying a corresponding zone in the one or more zones.
73. The graphite -based structure of claim 66, wherein the first graphene layer has a smooth shape, a stepwise shape, a concave shape, or a convex shape.
74. The graphite -based structure of claim 66, wherein each graphene layer in the one or more graphene layers has a smooth shape, a stepwise shape, a concave shape, or a convex shape.
75. The graphite -based structure of claim 66, wherein the first graphene layer has a cuplike shape, a conical-like shape, or a dome-like shape.
76. The graphite -based structure of claim 66, wherein the first graphene layer comprises a plurality of graphene sub-layers, wherein
a first graphene sub-layer and a second graphene sub-layer in the plurality of graphene sub-layers have different characteristic dimensions along a first lateral direction; and
the first graphene sub-layer and the second graphene sub-layer in the plurality of graphene sub-layers have substantially a same characteristic dimension along a second lateral direction.
77. The graphite -based structure of claim 66, wherein the first graphene layer comprises a plurality of graphene sub-layers, wherein
a first graphene sub-layer and a second graphene sub-layer in the plurality of graphene sub-layers have different characteristic dimensions along both a first lateral direction and a second lateral direction.
78. The graphite -based structure of claim 66, wherein a second graphene layer in the one or more graphene layers has a cross-sectional graphene profile that is substantially the same as the first graphene layer in one or more graphene layers.
79. The graphite -based structure of claim 66, wherein a second graphene layer in the one or more graphene layers has a cross-sectional graphene profile that is different than the first graphene layer in one or more graphene layers.
80. The graphite -based structure of claim 66, wherein a second graphene layer in the one or more graphene layers has a thickness that is substantially the same as the first graphene layer in one or more graphene layers.
81. The graphite -based structure of claim 66, wherein a second graphene layer in the one or more graphene layers has a thickness that is different than the first graphene layer in one or more graphene layers.
82. The graphite -based structure of claim 66, wherein each graphene layer in the one or more graphene layers has a cross-sectional graphene profile that is substantially the same as the first graphene layer in one or more graphene layers.
83. The graphite -based structure of claim 66, wherein each graphene layer in the one or more graphene layers has a different cross-sectional graphene profile that is substantially the same as the first graphene layer in one or more graphene layers.
84. The graphite -based structure of claim 66, wherein the first graphene layer and an adjacent second graphene layer in the one or more graphene layers form an intersection, and separated from each other by a boundary condition at the intersection.
85. The graphite -based structure of claim 66, wherein the first graphene layer and an adjacent second graphene layer in the one or more graphene layers are separated from each other by a trench.
86. A graphite-based structure, comprising:
a substrate comprising one or more zones, each zone in the one or more zones having a surface, wherein the surface of a first zone in the one or more zones comprises a first subsurface and an adjacent second sub-surface; and
one or more graphene layers, each respective graphene layer in the one or more graphene layers formed on the surface of a corresponding zone in the one or more zones, wherein a first graphene layer is formed on the surface of the first zone in the one or more zones, the first graphene layer comprising a first plurality of graphene sub-layers that collectively defines a first predetermined cross-sectional profile, wherein
(i) a first graphene sub-layer in the first plurality of graphene sub-layers is formed on the first sub-surface of the surface of the first zone,
(ii) a second graphene sub-layer in the first plurality of graphene sub-layers comprises a first portion formed on a top surface of the first graphene sub-layer, a second portion formed on the second sub-surface of the surface of the first zone and a first intermediate portion connecting the first portion and the second portion of the second graphene sub-layer, and
(iii) the first and second graphene sub-layers have different characteristic dimensions and hence different bandgaps.
87. The graphite -based structure of claim 86, wherein the first portion of the second graphene sub-layer overlays on the entire top surface of the first graphene sub-layer.
88. The graphite -based structure of claim 86, wherein the first portion of the second graphene sub-layer overlays on a portion of the top surface of the first graphene sub-layer.
89. The graphite -based structure of claim 86, wherein
the surface of the first zone further comprises a third sub-surface adjacent to the first sub-surface; and
the second graphene sub-layer in the first plurality of graphene sub-layers further comprises a third portion of the second graphene sub-layer formed on the third sub-surface and a second intermediate portion connecting the first portion and the third portion of the second graphene sub-layer.
90. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer has a characteristic dimension that is between 1 nm and 20 nm.
91. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer has a characteristic dimension that is between 20 nm and 50 nm.
92. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer has a characteristic dimension that is between 50 nm and 100 nm.
93. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer has a characteristic dimension that is between 100 nm and 500 nm.
94. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer has a thickness that is substantially the same as the second graphene sub-layer.
95. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer has a thickness that is different than the second graphene sub-layer.
96. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer comprises 1 graphene sheet.
97. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer comprises between 2 and 50 graphene sheets.
98. The graphite -based structure of claim 86 or 89 , wherein the first graphene sub-layer or the second graphene sub-layer comprises between 50 and 100 graphene sheets.
99. The graphite -based structure of claim 86 or 89, wherein the first graphene sub-layer or the second graphene sub-layer comprises over 100 graphene sheets.
100. The graphite -based structure of claim 86, wherein
the surface of the first zone further comprises a fourth sub-surface adjacent to the second sub-surface; and
a third graphene sub-layer in the first plurality of graphene sub-layers comprises a first portion formed on a top surface of the second graphene sub-layer, a second portion formed on the fourth sub-surface and a first intermediate portion connecting the first portion and the second portion of the third graphene sub-layer, wherein the third graphene sub-layer has a characteristic dimension that is different than the second graphene sub-layer.
101. The graphite -based structure of claim 86, wherein
the surface of the first zone further comprises:
(i) a third sub-surface adjacent to the first sub-surface, and
(ii) a fourth sub-surface adjacent to the second sub-surface; and a third graphene sub-layer in the first plurality of graphene sub-layers comprises:
(a) a first portion formed on a top surface of the second graphene sub-layer,
(b) a second portion formed on the fourth sub-surface,
(c) a third portion formed on the third sub-surface,
(d) a first intermediate portion connecting the first portion and the second portion of the third graphene sub-layer, and
(e) a second intermediate portion connecting the first portion and the third portion of the third graphene sub-layer.
102. The graphite -based structure of claim 101, wherein the first portion of the second graphene sub-layer overlays on the entire top surface of the first graphene sub-layer.
103. The graphite -based structure of claim 101, wherein the first portion of the second graphene sub-layer overlays on a portion of the top surface of the first graphene sub-layer.
104. The graphite -based structure of claim 86, wherein
(A) the surface of the first zone further comprises:
(i) a third sub-surface adjacent to the first sub-surface,
(ii) a fourth sub-surface adjacent to the second sub-surface, and
(iii) a fifth sub-surface adjacent to the third sub-surface;
(B) the second graphene sub-layer in the plurality of graphene sub-layers further comprises:
(i) a third portion of the second graphene sub-layer formed on the third subsurface, and
(ii) a second intermediate portion connecting the first portion and the third portion of the second graphene sub-layer; and
(C) a third graphene sub-layer in the plurality of graphene sub-layers comprises:
(i) a first portion formed on a top surface of the second graphene sub-layer,
(ii) a second portion formed on the fourth sub-surface,
(iii) a third portion formed on the fifth sub-surface,
(iv) a first intermediate portion connecting the first portion and the second portion of the third graphene sub-layer, and
(v) a second intermediate portion connecting the first portion and the third portion of the third graphene sub-layer.
105. The graphite -based structure of claim 86, wherein
(A) the surface of the first zone further comprises a fourth sub-surface adjacent to the second sub-surface and separated from the first sub-surface by the second sub-surface;
(B) a third graphene sub-layer in the first plurality of graphene sub-layers is formed on the fourth sub-surface of the surface; and
(C) the second graphene sub-layer in the first plurality of graphene sub-layers further comprises a third portion formed on a top surface of the third graphene sub-layer and a second intermediate portion connecting the first portion and the third portion of the second graphene sub-layer.
106. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer has a characteristic dimension that is between 1 nm and 20 nm.
107. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer has a characteristic dimension that is between 20 nm and 50 nm.
108. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer has a characteristic dimension that is between 50 nm and 100 nm.
109. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer has a characteristic dimension that is between 100 nm and 500 nm.
110. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein at least two of the first graphene sub-layer, the second graphene sub-layer and the third graphene sub-layer have substantially the same thickness.
111. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein at least two of the first graphene sub-layer, the second graphene sub-layer and the third graphene sub-layer have different thicknesses.
112. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer comprises 1 graphene sheet.
113. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer comprises between 2 and 50 graphene sheets.
114. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer comprises between 50 and 100 graphene sheets.
115. The graphite -based structure of any one of claims 100, 101, 104 and 105, wherein the first graphene sub-layer, the second graphene sub-layer or the third graphene sub-layer comprises over 100 graphene sheets.
116. The graphite -based structure of claim 105, wherein
a fourth graphene sub-layer in the first plurality of graphene sub-layers is formed on aop surface of the second graphene sub-layer.
117. The graphite -based structure of claim 117, wherein the fourth graphene sub-layer in the first plurality of graphene sub-layers has a characteristic dimension that is substantially the same as the second graphene sub-layer.
118. The graphite -based structure of claim 117, wherein the fourth graphene sub-layer in the first plurality of graphene sub-layers has a characteristic dimension that is different than the second graphene sub-layer.
119. The graphite -based structure of claim 117, wherein the fourth graphene sub-layer in the first plurality of graphene sub-layers has a thickness that is substantially the same as the first, second or third graphene sub-layer.
120. The graphite -based structure of claim 117, wherein the fourth graphene sub-layer in the first plurality of graphene sub-layers has a characteristic dimension that is different than any of the first, second and third graphene sub-layers.
121. The graphite -based structure of any one of claims 86-120, wherein the surface of the first zone is substantially flat.
122. The graphite -based structure of any one of claims 86-120, wherein the surface of the first zone is curved.
123. The graphite -based structure of any one of claims 86-120, wherein the substrate is a patterned substrate.
124. The graphite -based structure of any one of claims 86-120, wherein the substrate comprises a semiconducting material, display glass, sapphire, aluminum oxide, a metallic slug, or a flexible material.
125. The graphite -based structure of any one of claims 86-120, wherein the substrate comprises silicon (Si), silicon carbide (SiC), germanium (Ge), boron nitride (BN), gallium arsenide (GaAs) or molybdenum sulfide (MoS).
126. The graphite -based structure of any one of claims 66-120, wherein the substrate comprises a plurality of layers, each respective layer in the plurality of layers made of a corresponding material.
127. The graphite -based structure of claim 86, wherein the one or more graphene layers comprises 1 graphene layer formed on a surface of a zone in the one or more zones.
128. The graphite -based structure of claim 86, wherein one or more graphene layers comprises 2 graphene layers, each formed on a surface of a corresponding zone in the one or more zones.
129. The graphite -based structure of claim 86, wherein one or more graphene layers comprises between 2 and 10 graphene layers, each formed on a surface of a corresponding zone in the one or more zones.
130. The graphite -based structure of claim 86, wherein one or more graphene layers comprises between 10 and 100 graphene layers, each formed on a surface of a corresponding zone in the one or more zones.
131. The graphite -based structure of claim 86, wherein one or more graphene layers comprises between 100 and 1000 graphene layers, each formed on a surface of a
corresponding zone in the one or more zones.
132. The graphite -based structure of claim 86, wherein one or more graphene layers comprises more than 1000 graphene layers, each formed on a surface of a corresponding zone in the one or more zones.
133. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer having a cross-sectional graphene profile that is substantially the same as the first graphene layer in one or more graphene layers.
134. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer having a cross-sectional graphene profile that is different than the first graphene layer in one or more graphene layers.
135. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer having a thickness that is substantially the same as the first graphene layer in one or more graphene layers.
136. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer having a thickness that is different than the first graphene layer in one or more graphene layers.
137. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer forming an intersection with the first graphene layer and separated from the first graphene layer by a boundary condition at the intersection.
138. The graphite -based structure of claim 86, wherein a second graphene layer in the one or more graphene layers is formed on a surface of a second zone in the one or more zones, the second graphene layer being separated from the first graphene layer by a trench.
PCT/US2014/021431 2013-03-08 2014-03-06 Methods for fabricating graphite-based structures and devices made therefrom WO2014138492A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361775479P 2013-03-08 2013-03-08
US61/775,479 2013-03-08

Publications (1)

Publication Number Publication Date
WO2014138492A1 true WO2014138492A1 (en) 2014-09-12

Family

ID=51491981

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/021431 WO2014138492A1 (en) 2013-03-08 2014-03-06 Methods for fabricating graphite-based structures and devices made therefrom

Country Status (1)

Country Link
WO (1) WO2014138492A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104959134A (en) * 2015-06-30 2015-10-07 华南理工大学 Heteroatom-doped porous graphite electro-catalyst and preparation and application thereof as well as device
US9827217B2 (en) 2015-08-25 2017-11-28 Rgenix, Inc. Pharmaceutically acceptable salts of B-guanidinopropionic acid with improved properties and uses thereof
US9884813B1 (en) 2017-03-01 2018-02-06 Rgenix, Inc. Pharmaceutically acceptable salts of B-guanidinopropionic acid with improved properties and uses thereof
CN108272167A (en) * 2018-04-19 2018-07-13 席蕙卿 Graphene pressure sensor, intelligent shoe bottom and intelligent shoe
CN112850696A (en) * 2021-02-03 2021-05-28 北京石墨烯研究院 Transfer method of graphene film, graphene film and graphene composite structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702764A (en) * 1993-12-22 1997-12-30 Shin-Etsu Chemical Co., Ltd. Method for the preparation of pyrolytic boron nitride-clad double-coated article
US20100255984A1 (en) * 2009-04-03 2010-10-07 Brookhaven Science Associates, Llc Monolayer and/or Few-Layer Graphene On Metal or Metal-Coated Substrates
US20100285639A1 (en) * 2007-12-31 2010-11-11 Jorge Manuel Garcia Devices With Graphene Layers
US20100327444A1 (en) * 2008-02-29 2010-12-30 Fujitsu Limited Sheet structure, semiconductor device and method of growing carbon structure
US8043687B2 (en) * 2008-07-02 2011-10-25 Hewlett-Packard Development Company, L.P. Structure including a graphene layer and method for forming the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702764A (en) * 1993-12-22 1997-12-30 Shin-Etsu Chemical Co., Ltd. Method for the preparation of pyrolytic boron nitride-clad double-coated article
US20100285639A1 (en) * 2007-12-31 2010-11-11 Jorge Manuel Garcia Devices With Graphene Layers
US20100327444A1 (en) * 2008-02-29 2010-12-30 Fujitsu Limited Sheet structure, semiconductor device and method of growing carbon structure
US8043687B2 (en) * 2008-07-02 2011-10-25 Hewlett-Packard Development Company, L.P. Structure including a graphene layer and method for forming the same
US20100255984A1 (en) * 2009-04-03 2010-10-07 Brookhaven Science Associates, Llc Monolayer and/or Few-Layer Graphene On Metal or Metal-Coated Substrates

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104959134A (en) * 2015-06-30 2015-10-07 华南理工大学 Heteroatom-doped porous graphite electro-catalyst and preparation and application thereof as well as device
US9827217B2 (en) 2015-08-25 2017-11-28 Rgenix, Inc. Pharmaceutically acceptable salts of B-guanidinopropionic acid with improved properties and uses thereof
US10512623B2 (en) 2015-08-25 2019-12-24 Rgenix, Inc. Pharmaceutically acceptable salts of B-Guanidinopropionic acid with improved properties and uses thereof
US9884813B1 (en) 2017-03-01 2018-02-06 Rgenix, Inc. Pharmaceutically acceptable salts of B-guanidinopropionic acid with improved properties and uses thereof
CN108272167A (en) * 2018-04-19 2018-07-13 席蕙卿 Graphene pressure sensor, intelligent shoe bottom and intelligent shoe
CN108272167B (en) * 2018-04-19 2024-02-09 席蕙卿 Graphene pressure sensor, intelligent sole and intelligent shoe
CN112850696A (en) * 2021-02-03 2021-05-28 北京石墨烯研究院 Transfer method of graphene film, graphene film and graphene composite structure

Similar Documents

Publication Publication Date Title
US8664642B1 (en) Nonplanar graphite-based devices having multiple bandgaps
US9478422B2 (en) Methods for fabricating refined graphite-based structures and devices made therefrom
US8580658B1 (en) Methods for fabricating graphene device topography and devices formed therefrom
US9437425B2 (en) Methods for integrating lead and graphene growth and devices formed therefrom
US9688540B2 (en) Segmented graphene growth on surfaces of a patterned substrate layer and devices thereof
WO2014025615A1 (en) Methods for graphene fabrication on patterned catalytic metal
Mirza et al. Nanofabrication of high aspect ratio (∼ 50: 1) sub-10 nm silicon nanowires using inductively coupled plasma etching
US20080006319A1 (en) Photovoltaic and photosensing devices based on arrays of aligned nanostructures
US20080008844A1 (en) Method for growing arrays of aligned nanostructures on surfaces
WO2014138492A1 (en) Methods for fabricating graphite-based structures and devices made therefrom
US20100071765A1 (en) Method for fabricating a solar cell using a direct-pattern pin-hole-free masking layer
US20110193053A1 (en) Method for making side growth semiconductor nanowires and transistors obtained by said method
Solanki et al. Top-down etching of Si nanowires
WO2014124308A2 (en) Multi-level graphene devices and methods for forming same
WO2014121156A1 (en) Graphene growth on sidewalls of patterned substrate
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
Hung Jr et al. Formation of mesa-type vertically aligned silicon nanowire bundle arrays by selective-area chemical oxidation and etching processes
Chen et al. Lithography-less high-throughput manufacturing of anechoic silicon nanocone surface for antireflective solar wafer productions
WO2008097321A2 (en) Method for growing arrays of aligned nanostructures on surfaces
Aydil Monday Morning, October 28, 2013
Khoury Nanometer scale point contacting techniques for silicon Photovoltaic devices
Quick Electron beam neutralization of large aspect ratio features during plasma etching
Cho et al. Role of mask patterns in fabrication of Si nanotip arrays
Nykänen Design and fabrication of multi-pixel micro-LED array

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14759945

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14759945

Country of ref document: EP

Kind code of ref document: A1