WO2009111719A2 - Non-selective oxide etch wet clean composition and method of use - Google Patents

Non-selective oxide etch wet clean composition and method of use Download PDF

Info

Publication number
WO2009111719A2
WO2009111719A2 PCT/US2009/036366 US2009036366W WO2009111719A2 WO 2009111719 A2 WO2009111719 A2 WO 2009111719A2 US 2009036366 W US2009036366 W US 2009036366W WO 2009111719 A2 WO2009111719 A2 WO 2009111719A2
Authority
WO
WIPO (PCT)
Prior art keywords
acid
ether
composition
glycol
fluoride
Prior art date
Application number
PCT/US2009/036366
Other languages
French (fr)
Other versions
WO2009111719A9 (en
WO2009111719A3 (en
Inventor
Prerna Sonthalia
Emanuel Cooper
David Minsek
Peng Zhang
Melissa A. Petruska
Brittany Serke
Trace Quentin Hurd
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to EP09717260A priority Critical patent/EP2268765A4/en
Priority to CN200980113539.5A priority patent/CN102007196B/en
Priority to KR1020157031049A priority patent/KR20150126729A/en
Priority to JP2010549916A priority patent/JP2011517328A/en
Priority to US12/921,262 priority patent/US20110117751A1/en
Publication of WO2009111719A2 publication Critical patent/WO2009111719A2/en
Publication of WO2009111719A3 publication Critical patent/WO2009111719A3/en
Publication of WO2009111719A9 publication Critical patent/WO2009111719A9/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2044Dihydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3719Polyamides or polyimides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates generally to compositions that selectively remove undoped silicon-containing materials relative to doped silicon-containing materials.
  • Various silicon-containing films such as thermal oxide (ThOx), CVD-TEOS, borophosphosilicate glass (BPSG), borosilicate glass (BSG), spin -on dielectrics (SOD) and phosphosilicate glass (PSG) are used in semiconductor manufacturing.
  • ThOx thermal oxide
  • CVD-TEOS borophosphosilicate glass
  • BSG borosilicate glass
  • SOD spin -on dielectrics
  • PSG phosphosilicate glass
  • Two of the most common types are ThOx and BPSG.
  • Thermal oxide is typically composed of pure silicon dioxide and is utilized when an insulating layer is required.
  • thin "gate" layers of thermal silicon oxide are often utilized to separate conducting layers from each other.
  • BPSG layers are comprised of silicon oxide which has been doped with boron and phosphorus.
  • These layers serve the purpose of "gettering" alkali metal ion contaminants which could otherwise migrate into underlying layers and adversely affect electrical properties of the layer materials, causing device reliability degradation.
  • These silicon-containing materials are formed in several patterned layers on the substrate surface and are engineered to have increasingly high-aspect ratios and small dimensions.
  • post-etch or post-ash residue must be removed from the patterned surface without damaging the patterned materials.
  • a residue predominantly ThOx
  • the present invention generally relates to compositions that remove non-doped silicon- containing materials at rates greater than or substantially equal to that of doped silicon-containing materials.
  • compositions and methods are disclosed to remove post-etch and/or post-ash residue from the surface of a microelectronic device without overetching doped silicon-containing materials relative to undoped silicon-containing materials also present on said device.
  • a wet clean composition comprising at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of added water.
  • a wet clean composition comprising at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, and at least one long chain alkyl quaternary ammonium compound, wherein the composition is substantially devoid of added water.
  • a wet cleaning composition comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, and polyethylenimine polymer.
  • a wet cleaning composition comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, polyethylenimine polymer, and long chain alkyl quaternary ammonium compound.
  • Another aspect relates to a method of selectively removing undoped silicon-containing material relative to doped silicon-containing material, said method comprising contacting a microelectronic device having undoped and doped silicon-containing materials thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of water.
  • the composition may further comprise at least one long chain alkyl quaternary ammonium compound.
  • Still another aspect relates to a method of removing post-etch and/or post-ash residue from a microelectronic device, said method comprising contacting a microelectronic device having post-etch and/or post-ash residue thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, and wherein the etch rate of undoped silicon- containing materials present on said device is greater than or substantially equal to the etch rate of doped silicon-containing materials present on said device, and wherein the composition is substantially devoid of water.
  • the composition may further comprise at least one long chain alkyl quaternary ammonium compound.
  • kits comprising, in one or more containers, one or more of the following reagents for forming a composition, wherein said composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the kit is adapted to form a composition suitable for removing post-etch residue, post-ash residue, doped silicon-containing material, undoped silicon-containing material, and combinations thereof.
  • Figure 1 illustrates the C/S SEM image of a stack, which consists of a ⁇ 500 A of PE-CVD
  • SiN base with the "walls" made of 4 KA TEOS and 4.5 KA PSG, processed with formulation B at
  • Figure 2 illustrates the C/S SEM images of a stack similar to that in Figure 1 that has been cleaned using Formulation B (45°C/4 min).
  • Figure 3 illustrates the C/S SEM images of a stack similar to that in Figure 1 that has been cleaned using dilute HF (45°C/4 min).
  • the present invention generally relates to wet clean compositions and methods for the removal of post-etch and/or post-ash residue from a microelectronic device, wherein the etch rate of non-doped silicon-containing materials present on said device is greater than or substantially equal to the etch rate of doped silicon-containing materials also present on said device.
  • the wet clean compositions have minimal impact on the contact profile or the critical dimensions of a pattern, are compatible with silicon and metals, and the post-process contact surface is hydrophobic or hydrophilic and stable.
  • microelectronic device corresponds to semiconductor substrates, solar cells (photovoltaics), flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • MEMS microelectromechanical systems
  • microelectronic device “microelectronic substrate” and “microelectronic device structure” are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly.
  • the microelectronic device can be patterned, blanketed, a control and/or a test device.
  • “about” is intended to correspond to ⁇ 5 % of the stated value.
  • undoped silicon-containing materials or “higher density dielectric materials” correspond to silicate materials that are substantially devoid of “dopants” such as boron, boron difluoride, phosphorous, arsenic, gallium, antimony, carbon, nitrogen, and indium.
  • dopants such as boron, boron difluoride, phosphorous, arsenic, gallium, antimony, carbon, nitrogen, and indium.
  • examples of undoped silicon-containing materials include, but are not limited to, thermal oxides, high density plasma deposited oxides, and TEOS, regardless of how deposited.
  • Doped silicon-containing materials or “lower density dielectric materials” correspond to silicon oxide materials that include “dopants” including, but not limited to, BSG, PSG, BPSG, FSG (fluorosilicate glass), SiCOH, SiON, SiCON, carbon-doped oxides (CDO), and SOD. It should be appreciated that the dielectric materials may further include germanium.
  • SOD spin-on glass
  • SOG spin-on glass
  • substantially devoid corresponds to less than about 2 wt. %, more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition.
  • “added water” corresponds to water added by the user or the producer of the composition of the invention. Added water does not correspond to water often found in the commercial chemicals mixed together to form the composition of the invention, or hygroscopic water.
  • “substantially equal” corresponds to an etch rate (in A min "1 ) of doped silicon-containing materials that is the same as or ⁇ 40% of the etch rate of undoped silicon-containing materials.
  • compositions may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • a wet clean composition comprising, consisting of, or consisting essentially of at least one fluoride source, at least one organic solvent, at least one chelating agent and at least one polymeric species is described, wherein the composition is substantially devoid of added water.
  • a wet clean composition comprising, consisting of, or consisting essentially of at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species is described, wherein the composition is substantially devoid of added water.
  • the at least one fluoride source may comprise a species selected from the group consisting of xenon difluoride; pentamethyldiethylenetriammonium trifluoride; ammonium bifluoride; triethylamine trihydro fluoride; alkyl hydrogen fluoride (NRH 3 F), wherein each R is independently selected from hydrogen and Ci-C 4 alkyl (e.g., methyl, ethyl, propyl, butyl); dialkylammonium hydrogen fluoride (NR 2 H 2 F), wherein each R is independently selected from hydrogen and Ci-C 4 alkyl; trialkylammonium hydrogen fluoride (NR 3 HF), wherein each R is independently selected from hydrogen and Ci-C 4 alkyl; trialkylammonium trihydrogen fluoride (NR 3 :3HF), wherein each R is independently selected from hydrogen and Ci -C 4 alkyl; ammonium fluorides of the formula R 4 NF, wherein each R is independently selected from hydrogen,
  • the at least one glycol solvent may comprise a glycol solvent selected from the group consisting of ethylene glycol, propylene glycol, diethylene glycol, dipropylene glycol, glycerol, a monoglyceride, a diglyceride, a glycol ether, and combinations thereof, wherein the glycol ether comprises a species selected from the group consisting of diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, triprop
  • the at least one chelating agent may comprise ⁇ -diketonate compounds such as acetylacetonate, l,l ,l-trifluoro-2,4-pentanedione, and l,l,l,5,5,5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; amines and amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine; a polyprotic acid selected from the group consisting of iminodiacetic acid (IDA), malonic acid, oxalic acid, succinic acid, boric acid, nitrilotriacetic acid, malic acid, citric acid, acetic acid, maleic acid, 2,4-pentanedione, benzalkonium chloride, 1 -imidazole
  • Additional chelating agents include phosphonic acid, phosphonic acid derivatives such as hydroxyethylidene diphosphonic acid (HEDP), l -hydroxyethane-l,l -diphosphonic acid, nitrilo-tris(methylenephosphonic acid), etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (1,2- cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6-thithiol trisodium salt solution, l,3,5-triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates (R ⁇ CH 2 CH 2 O) 2 NR 2 CS 2 Na) with one alkyl group
  • the at least one polymeric species is added for better surface coverage, leading to improved surface protection and more controlled etch rates for the films.
  • the polymeric species are cationic surfactants and may comprise at least one of a polypropylenimine dendrimer (e.g., polypropylenimine tetraamine dendrimer, polypropylenimine octaamine dendrimer, polypropylenimine hexadecaamine dendrimer, polypropylenimine dotriacontaamine dendrimer, polypropylenimine tetrahexacontaamine dendrimer), a poly(vinyl amine), a polyamine, a polyimidamine, a polyethylimine, a polyamidamine, a poly quaternary amine, a polyvinyl amide, a polyacrylamide, a linear or branched polyethylenimine, and copolymers that may
  • the polymeric species comprises polyethylenimine it may be selected from the group consisting of polyethylenimine, an ethylenediamine-ethyleneimine copolymer, a hydroxylated polyethylenimine, a modified polyethylenimine, and combinations thereof.
  • polymeric species include Lupasol® (BASF) and Epomin® (Nippon Shokubai).
  • the pH of the wet clean compositions are in the range from about 4 to about 9, preferably about 5 to about 9.
  • the composition may further comprise, consist of or consist essentially of at least one amine including, but not limited to, dicyclohexylamine, pentamethyldiethylenetriamine, diglycolamine, pyridine, 2-ethylpyridine, 2-methoxypyridine and derivatives thereof such as 3- methoxypyridine, 2-picoline, pyridine derivatives, dimethylpyridine, piperidine, piperazine, triethylamine, triethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, monoethanolamine, pyrrole, isoxazole, 1,2,4-triazole, bipyridine, pyrimidine, pyrazine, pyridazine, quinoline, isoquinoline, indole, imidazole, 1- methylimidazole, diisopropylamine, diisobutylamine
  • the wet clean composition includes the following components in the weight percent ratios provided:
  • the wet clean composition includes the following components in the weight percent ratios provided:
  • the wet clean composition comprises, consists of or consists essentially of ammonium fluoride, ethylene glycol, iminodiacetic acid, and a polyethylenimine polymer.
  • the polyethylenimine polymer comprises Lupasol® G20.
  • the formulation further comprises a long chain alkyl quaternary ammonium compound, which is added to the composition to impart a hydrophobic surface and increased queue time for the next integration step.
  • the long chain alkyl quaternary ammonium compound comprises a tricaprylmethylammonium cation [C 25 H 54 N + ] combined with a chloride anion, although other tricapryl and trioctyl methylammonium cation [C 25 H 54 N ]-containing compounds and salts with one or two long alkyl chains, including cetyltrimethylammonium bromide (CTAB), dodecyltrimethyl ammonium chloride, hexadecyltrimethylammonium chloride, dioctyl dimethyl ammonium chloride, and poly(allyldimethylammonium) chloride are also contemplated.
  • CTAB cetyltrimethylammonium bromide
  • dodecyltrimethyl ammonium chloride hexadecyltrimethylammoni
  • the long chain alkyl group may be saturated or unsaturated.
  • the long chain alkyl quaternary ammonium compound comprises Aliquat 336 (trademark of Cognis Corp).
  • the composition comprises, consists of or consists essentially of at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, and at least one long chain quaternary ammonium compound.
  • the composition may comprise, consist of, or consist essentially of ammonium fluoride, ethylene glycol, iminodiacetic acid, a polyethylenimine polymer, and a long chain alkyl quaternary ammonium compound.
  • the polyethylenimine polymer comprises Lupasol® G20.
  • the long chain alkyl quaternary ammonium compound comprises Aliquat 336.
  • the wet clean composition may include the following components in the weight percent ratios provided:
  • the wet clean compositions of the invention are devoid or substantially devoid of added water and added HF. Further, the wet clean compositions are preferably devoid of oxidizing agents, abrasive material, strong acids and strong bases. [0039]
  • the wet clean compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • a concentrate may be prepared having the recited weight percent ratios of chelating agent(s) to fluoride source(s) and polymeric species to fluoride source(s) and the user may dilute the composition with glycol solvent(s) until the weight percent ratio of glycol solvent(s) to fluoride source(s) are achieved.
  • a kit including, in one or more containers, one or more components adapted to form the wet clean compositions described herein.
  • the kit may include, in one or more containers, at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, optionally at least one amine and optionally at least one long chain alkyl quaternary ammonium compound, for combining as is or with diluent (e.g., additional glycol solvent) at the fab.
  • diluent e.g., additional glycol solvent
  • the containers of the kit should be chemically rated to store and dispense the component(s) contained therein.
  • the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended wet clean composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials are preferably used to fabricate the liners for said one or more containers.
  • Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner.
  • a listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on.
  • Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • the wet clean compositions of the present invention are usefully employed to (i) selectively remove higher density dielectric material relative (e.g., undoped silicon-containing materials) to lower density dielectric material (e.g., doped silicon-containing materials) from the surface of the microelectronic device, and/or (ii) to remove post-etch and/or post-ash residue from the surface of the microelectronic device wherein the etch rate of undoped silicon-containing materials present on the device is greater than or substantially equal to that of doped silicon-containing materials also present.
  • the wet clean compositions of the invention are compatible with the underlying layers, e.g., metal(s) and silicon, also present on the microelectronic device.
  • compositions described herein may be used in a one-step or multi-step removal process.
  • the materials to be removed are removed in a single step process.
  • the wet clean composition is applied in any suitable manner to the microelectronic device having the material to be removed thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the material to be removed, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, by contacting the device including the material to be removed with a circulating composition, or by any other suitable means, manner or technique, by which the wet clean composition is brought into contact with the material to be removed on the microelectronic device.
  • the cleaning application may be static and/or dynamic, as readily determined by one skilled in the art.
  • the process may be for a batch or single wafer system.
  • the wet clean composition may further include residue materials selected from the group consisting of doped silicon-containing materials, undoped silicon-containing materials, post-etch residue, post-ash residue, and combinations thereof, which may be suspended and/or dissolved in said wet clean composition.
  • the wet clean composition typically is contacted with the surface for a sufficient time of from about 30 sec to about 10 minutes, preferably about 90 sec to 7 min, at sufficient conditions such as temperature in a range of from about 20 0 C to about 60 0 C, preferably about 30-50 0 C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed.
  • Etch targets include, but are not limited to, ThOx, BPSG, PSG, BSG, and SOD.
  • etch targets for the current work are ThOx: about lA min "1 to about 20 A min "1 , preferably about 2A min "1 to about 10 A min "1 , wherein the selectivity ratio of BPSG to ThOx is in a range from about 0.4:1 to about 1 :1, preferably about 0.4:1 to about 0.6:1, the selectivity ratio of PSG to ThOx is in a range from about 0.5:1 to about 2.5:1, preferably about 1 :1 to about 1.4:1, and the selectivity ratio of SOD to ThOx is in a range from about 0.5:1 to about 1.4:1, preferably about 1 :1 to about 1.4:1, at temperature in a range from about 35°C to about 50 0 C, wherein the BPSG comprises 3.6-4.0% B and 3.3-3.7% P, the SOG is organic SOG, and
  • the compositions described herein controllably remove doped and undoped silicon-containing materials.
  • the compositions have very low amounts of components other than the organic solvent which makes disposal of the compositions easier.
  • the compositions preferably include greater than 99 wt% organic solvent.
  • Composition A 0.15 wt% IDA, 0.04 wt % Lupasol® G20, 0.25 wt% ammonium fluoride, 99.56 wt % ethylene glycol
  • Blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in composition A at 30 0 C for the time indicated, without agitation, and the results in Table 1 were obtained. As observed the selectivities for most materials are similar to that of ThOx and based on the resistance, the metals and metal alloys are not affected by the composition.
  • Composition B 0.15 wt% IDA, 0.04 wt % Lupasol® G20, 0.25 wt% ammonium fluoride, 0.06 % Aliquat 336 and 99.50 wt % ethylene glycol
  • Blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in composition B at 30 0 C for the indicated time, without agitation, and the results in Table 2 were obtained. As observed the selectivities for most materials were similar to that of ThOx and based on the resistance, the metals and metal alloys are not affected by the composition.
  • blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in dilute hydrofluoric acid (DHF) at 30 0 C for 30 min, without agitation, and the results in Table 3 were obtained.
  • DHF dilute hydrofluoric acid
  • the etch rate of undoped silicon-containing materials is greater than substantially equal to the etch rate of doped silicon-containing materials
  • the doped:undoped etch rate ratio will be greater than 1.
  • the etch rate of PSG:ThOx with DHF was 5.89:1 but it was reduced substantially to 2.16:1 using formulation B. This reduction can be equally advantageous even though the doped:undoped etch rate ratio was still greater than 1 :1.
  • the etch rate of doped polysilicon as a function of low or high energy doping relative to thermal oxide was determined using Formulation B. Samples of As 75 (low)-, As 75 (high)-, P 31 (low)-, P 31 (high)-, BF 2 (low)- and BF 2 (high)-doped polysilicon were immersed in Formulation B at 30 0 C for 30 minutes and the etch rates of each determined. The selectivity of each doped material relative to thermal oxide is provided in Table 4, where it can be seen that each film etches at an equivalent or lower rate than thermal oxide.
  • Figure 1 illustrates the C/S SEM image of a coupon processed with formulation B at 45°C for 4 min, which resulted in roughly a 20 A ThOx removal.
  • the stack structure of the pattern which consists of a ⁇ 500 A of PE-CVD SiN base with the "walls" made of 4 KA TEOS and 4.5 KA
  • PSG is also illustrated in Figure 1.
  • FIGS 2 and 3 illustrate the C/S SEM images of a coupon of a similar structure that has been cleaned using Formulation B (45°C/4 min) and dilute hydrofluoric acid (DHF) (25°C/4 min), respectively. It can be seen that the coupon cleaned with DHF resulted in roughly a 13.6 A ThOx removal, the post-ash residue was not completely removed and the CD was deteriorated to some extent.
  • Formulation B 45°C/4 min
  • DHF dilute hydrofluoric acid
  • compositions were formulated, as follows:
  • Composition C 0.18 wt% succinic acid, 0.05 wt % Lupasol® G20, 0.30 wt% ammonium fluoride, and 99.47 wt % propylene glycol
  • Composition D 0.18 wt% succinic acid, 0.05 wt % Lupasol® G20, 0.15 wt% ammonium fluoride,
  • Composition E 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, and 98.76 wt % ethylene glycol
  • Composition F 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, 0.2 wt%
  • Composition G 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, 0.15 wt%
  • Composition H 0.2 wt% succinic acid, 0.07 wt % Lupasol® G20, 0.35 wt% ammonium fluoride,

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

Composition and method to remove undoped silicon-containing materials from microelectronic devices at rates greater than or equal to the removal of doped silicon-containing materials.

Description

NON-SELECTIVE OXIDE ETCH WET CLEAN COMPOSITION AND METHOD OF USE
FIELD
[0001] The present invention relates generally to compositions that selectively remove undoped silicon-containing materials relative to doped silicon-containing materials.
DESCRIPTION OF THE RELATED ART
[0002] Various silicon-containing films such as thermal oxide (ThOx), CVD-TEOS, borophosphosilicate glass (BPSG), borosilicate glass (BSG), spin -on dielectrics (SOD) and phosphosilicate glass (PSG) are used in semiconductor manufacturing. Two of the most common types are ThOx and BPSG. Thermal oxide is typically composed of pure silicon dioxide and is utilized when an insulating layer is required. For example, thin "gate" layers of thermal silicon oxide are often utilized to separate conducting layers from each other. BPSG layers are comprised of silicon oxide which has been doped with boron and phosphorus. These layers serve the purpose of "gettering" alkali metal ion contaminants which could otherwise migrate into underlying layers and adversely affect electrical properties of the layer materials, causing device reliability degradation. [0003] These silicon-containing materials are formed in several patterned layers on the substrate surface and are engineered to have increasingly high-aspect ratios and small dimensions. During manufacturing, post-etch or post-ash residue must be removed from the patterned surface without damaging the patterned materials. For example, a residue (predominantly ThOx) at the bottom of a contact hole requires removal with minimum etching of the less dense doped silicon-containing oxides. Disadvantageously, prior art removal compositions and methods designed for etch selectivity and/or post-etch or post-ash residue removal have favored the removal of doped silicon-containing materials (e.g., BPSG) over non-doped silicon-containing materials (e.g., ThOx). This results in a pattern where the critical dimensions have been detrimentally altered.
[0004] This disclosure focuses on the development of "liquid contact-cleaners" for doped and undoped oxides with unique etch selectivities, low etch-rates and aggressive cleaning capacities.
SUMMARY
[0005] The present invention generally relates to compositions that remove non-doped silicon- containing materials at rates greater than or substantially equal to that of doped silicon-containing materials. In a preferred embodiment, compositions and methods are disclosed to remove post-etch and/or post-ash residue from the surface of a microelectronic device without overetching doped silicon-containing materials relative to undoped silicon-containing materials also present on said device.
[0006] In one aspect, a wet clean composition is described, said composition comprising at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of added water.
[0007] In another aspect, a wet clean composition is described, said composition comprising at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, and at least one long chain alkyl quaternary ammonium compound, wherein the composition is substantially devoid of added water.
[0008] In still another aspect, a wet cleaning composition is described, said composition comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, and polyethylenimine polymer. [0009] In yet another aspect, a wet cleaning composition is described, said composition comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, polyethylenimine polymer, and long chain alkyl quaternary ammonium compound.
[0010] Another aspect relates to a method of selectively removing undoped silicon-containing material relative to doped silicon-containing material, said method comprising contacting a microelectronic device having undoped and doped silicon-containing materials thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of water. The composition may further comprise at least one long chain alkyl quaternary ammonium compound.
[0011] Still another aspect relates to a method of removing post-etch and/or post-ash residue from a microelectronic device, said method comprising contacting a microelectronic device having post-etch and/or post-ash residue thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, and wherein the etch rate of undoped silicon- containing materials present on said device is greater than or substantially equal to the etch rate of doped silicon-containing materials present on said device, and wherein the composition is substantially devoid of water. The composition may further comprise at least one long chain alkyl quaternary ammonium compound.
[0012] In another aspect, a kit is described, wherein said kit comprises, in one or more containers, one or more of the following reagents for forming a composition, wherein said composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the kit is adapted to form a composition suitable for removing post-etch residue, post-ash residue, doped silicon-containing material, undoped silicon-containing material, and combinations thereof. [0013] Other aspects, features and advantages will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION QF THE DRAWINGS
[0014] Figure 1 illustrates the C/S SEM image of a stack, which consists of a ~ 500 A of PE-CVD
SiN base with the "walls" made of 4 KA TEOS and 4.5 KA PSG, processed with formulation B at
45°C for 4 min.
[0015] Figure 2 illustrates the C/S SEM images of a stack similar to that in Figure 1 that has been cleaned using Formulation B (45°C/4 min).
[0016] Figure 3 illustrates the C/S SEM images of a stack similar to that in Figure 1 that has been cleaned using dilute HF (45°C/4 min).
DETAILED DESCRIPTION AND PREFERRED EMBODIMENTS THEREOF
[0017] The present invention generally relates to wet clean compositions and methods for the removal of post-etch and/or post-ash residue from a microelectronic device, wherein the etch rate of non-doped silicon-containing materials present on said device is greater than or substantially equal to the etch rate of doped silicon-containing materials also present on said device. Preferably, the wet clean compositions have minimal impact on the contact profile or the critical dimensions of a pattern, are compatible with silicon and metals, and the post-process contact surface is hydrophobic or hydrophilic and stable.
[0018] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, solar cells (photovoltaics), flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the terms "microelectronic device," "microelectronic substrate" and "microelectronic device structure" are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly. The microelectronic device can be patterned, blanketed, a control and/or a test device. [0019] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0020] As used herein, "undoped silicon-containing materials" or "higher density dielectric materials" correspond to silicate materials that are substantially devoid of "dopants" such as boron, boron difluoride, phosphorous, arsenic, gallium, antimony, carbon, nitrogen, and indium. Examples of undoped silicon-containing materials include, but are not limited to, thermal oxides, high density plasma deposited oxides, and TEOS, regardless of how deposited. "Doped silicon-containing materials" or "lower density dielectric materials" correspond to silicon oxide materials that include "dopants" including, but not limited to, BSG, PSG, BPSG, FSG (fluorosilicate glass), SiCOH, SiON, SiCON, carbon-doped oxides (CDO), and SOD. It should be appreciated that the dielectric materials may further include germanium.
[0021] As used herein, "SOD" and spin-on glass (SOG) are synonymous.
[0022] As defined herein, "substantially devoid" corresponds to less than about 2 wt. %, more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the composition, based on the total weight of said composition.
[0023] As defined herein, "added water" corresponds to water added by the user or the producer of the composition of the invention. Added water does not correspond to water often found in the commercial chemicals mixed together to form the composition of the invention, or hygroscopic water. [0024] As defined herein, "substantially equal" corresponds to an etch rate (in A min"1) of doped silicon-containing materials that is the same as or ±40% of the etch rate of undoped silicon-containing materials.
[0025] Compositions may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0026] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed. [0027] In one aspect, a wet clean composition comprising, consisting of, or consisting essentially of at least one fluoride source, at least one organic solvent, at least one chelating agent and at least one polymeric species is described, wherein the composition is substantially devoid of added water. In a preferred embodiment, a wet clean composition comprising, consisting of, or consisting essentially of at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species is described, wherein the composition is substantially devoid of added water. [0028] The at least one fluoride source may comprise a species selected from the group consisting of xenon difluoride; pentamethyldiethylenetriammonium trifluoride; ammonium bifluoride; triethylamine trihydro fluoride; alkyl hydrogen fluoride (NRH3F), wherein each R is independently selected from hydrogen and Ci-C4 alkyl (e.g., methyl, ethyl, propyl, butyl); dialkylammonium hydrogen fluoride (NR2H2F), wherein each R is independently selected from hydrogen and Ci-C4 alkyl; trialkylammonium hydrogen fluoride (NR3HF), wherein each R is independently selected from hydrogen and Ci-C4 alkyl; trialkylammonium trihydrogen fluoride (NR3:3HF), wherein each R is independently selected from hydrogen and Ci -C4 alkyl; ammonium fluorides of the formula R4NF, wherein each R is independently selected from hydrogen, Ci-C4 alkyl, and Ci-C4 alkanol (e.g., methanol, ethanol, propanol, butanol) such as ammonium fluoride, tetramethylammonium fluoride, triethanolammonium fluoride, tetraethylammonium fluoride; and combinations thereof. [0029] The at least one glycol solvent may comprise a glycol solvent selected from the group consisting of ethylene glycol, propylene glycol, diethylene glycol, dipropylene glycol, glycerol, a monoglyceride, a diglyceride, a glycol ether, and combinations thereof, wherein the glycol ether comprises a species selected from the group consisting of diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
[0030] The at least one chelating agent may comprise β-diketonate compounds such as acetylacetonate, l,l ,l-trifluoro-2,4-pentanedione, and l,l,l,5,5,5-hexafluoro-2,4-pentanedione; carboxylates such as formate and acetate and other long chain carboxylates; amines and amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine; a polyprotic acid selected from the group consisting of iminodiacetic acid (IDA), malonic acid, oxalic acid, succinic acid, boric acid, nitrilotriacetic acid, malic acid, citric acid, acetic acid, maleic acid, 2,4-pentanedione, benzalkonium chloride, 1 -imidazole; and combinations thereof. Additional chelating agents include phosphonic acid, phosphonic acid derivatives such as hydroxyethylidene diphosphonic acid (HEDP), l -hydroxyethane-l,l -diphosphonic acid, nitrilo-tris(methylenephosphonic acid), etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), and (1,2- cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6-thithiol trisodium salt solution, l,3,5-triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates (R^CH2CH2O)2NR2CS2Na) with one alkyl group (R2 = hexyl, octyl, deceyl or dodecyl) and one oligoether (R1 (CH2CH2O)2, where R1 = ethyl or butyl), ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2-hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof with each other or the β-diketonate compounds, carboxylates, amines and amino acids or polyprotic acids defined above. [0031] Although not wishing to be bound by theory, it is thought that the at least one polymeric species is added for better surface coverage, leading to improved surface protection and more controlled etch rates for the films. Preferably the polymeric species are cationic surfactants and may comprise at least one of a polypropylenimine dendrimer (e.g., polypropylenimine tetraamine dendrimer, polypropylenimine octaamine dendrimer, polypropylenimine hexadecaamine dendrimer, polypropylenimine dotriacontaamine dendrimer, polypropylenimine tetrahexacontaamine dendrimer), a poly(vinyl amine), a polyamine, a polyimidamine, a polyethylimine, a polyamidamine, a poly quaternary amine, a polyvinyl amide, a polyacrylamide, a linear or branched polyethylenimine, and copolymers that may comprise or consist of the aforementioned homopolymers, wherein the copolymers may or may not be cationic. When the polymeric species comprises polyethylenimine it may be selected from the group consisting of polyethylenimine, an ethylenediamine-ethyleneimine copolymer, a hydroxylated polyethylenimine, a modified polyethylenimine, and combinations thereof. Examples of polymeric species include Lupasol® (BASF) and Epomin® (Nippon Shokubai). [0032] Preferably, the pH of the wet clean compositions are in the range from about 4 to about 9, preferably about 5 to about 9.
[0033] In one embodiment, the composition may further comprise, consist of or consist essentially of at least one amine including, but not limited to, dicyclohexylamine, pentamethyldiethylenetriamine, diglycolamine, pyridine, 2-ethylpyridine, 2-methoxypyridine and derivatives thereof such as 3- methoxypyridine, 2-picoline, pyridine derivatives, dimethylpyridine, piperidine, piperazine, triethylamine, triethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, monoethanolamine, pyrrole, isoxazole, 1,2,4-triazole, bipyridine, pyrimidine, pyrazine, pyridazine, quinoline, isoquinoline, indole, imidazole, 1- methylimidazole, diisopropylamine, diisobutylamine, aniline, aniline derivatives or combinations thereof.
[0034] In one embodiment, the wet clean composition includes the following components in the weight percent ratios provided:
Figure imgf000007_0001
[0035] In another embodiment, the wet clean composition includes the following components in the weight percent ratios provided:
Figure imgf000007_0002
fluoride source(s) 0.3 0.04
[0036] In one embodiment, the wet clean composition comprises, consists of or consists essentially of ammonium fluoride, ethylene glycol, iminodiacetic acid, and a polyethylenimine polymer. Preferably, the polyethylenimine polymer comprises Lupasol® G20.
[0037] In another embodiment the formulation further comprises a long chain alkyl quaternary ammonium compound, which is added to the composition to impart a hydrophobic surface and increased queue time for the next integration step. Preferably, the long chain alkyl quaternary ammonium compound comprises a tricaprylmethylammonium cation [C25H54N+] combined with a chloride anion, although other tricapryl and trioctyl methylammonium cation [C25H54N ]-containing compounds and salts with one or two long alkyl chains, including cetyltrimethylammonium bromide (CTAB), dodecyltrimethyl ammonium chloride, hexadecyltrimethylammonium chloride, dioctyl dimethyl ammonium chloride, and poly(allyldimethylammonium) chloride are also contemplated. The long chain alkyl group may be saturated or unsaturated. In one embodiment, the long chain alkyl quaternary ammonium compound comprises Aliquat 336 (trademark of Cognis Corp). Accordingly, in a particularly preferred embodiment, the composition comprises, consists of or consists essentially of at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, and at least one long chain quaternary ammonium compound. For example, the composition may comprise, consist of, or consist essentially of ammonium fluoride, ethylene glycol, iminodiacetic acid, a polyethylenimine polymer, and a long chain alkyl quaternary ammonium compound. Preferably, the polyethylenimine polymer comprises Lupasol® G20. In another preferred embodiment, the long chain alkyl quaternary ammonium compound comprises Aliquat 336. The wet clean composition may include the following components in the weight percent ratios provided:
Figure imgf000008_0001
[0038] Preferably, the wet clean compositions of the invention are devoid or substantially devoid of added water and added HF. Further, the wet clean compositions are preferably devoid of oxidizing agents, abrasive material, strong acids and strong bases. [0039] The wet clean compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, e.g., more dilute or more concentrated, and it will be appreciated that the compositions can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein. For example, a concentrate may be prepared having the recited weight percent ratios of chelating agent(s) to fluoride source(s) and polymeric species to fluoride source(s) and the user may dilute the composition with glycol solvent(s) until the weight percent ratio of glycol solvent(s) to fluoride source(s) are achieved. [0040] Another aspect relates to a kit including, in one or more containers, one or more components adapted to form the wet clean compositions described herein. The kit may include, in one or more containers, at least one fluoride source, at least one glycol solvent, at least one chelating agent, at least one polymeric species, optionally at least one amine and optionally at least one long chain alkyl quaternary ammonium compound, for combining as is or with diluent (e.g., additional glycol solvent) at the fab.
[0041] The containers of the kit should be chemically rated to store and dispense the component(s) contained therein. For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended wet clean composition to a process tool.
[0042] Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
[0043] Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Patent No. 7,188,644 entitled "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;" U.S. Patent No. 6,698,619 entitled "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;" and U.S. Patent Application No. 60/916,966 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2007 in the name of John E.Q. Hughes, and PCT/US08/63276 entitled "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" filed on May 9, 2008 in the name of Advanced Technology Materials, Inc.
[0044] As applied to microelectronic device manufacturing operations, the wet clean compositions of the present invention are usefully employed to (i) selectively remove higher density dielectric material relative (e.g., undoped silicon-containing materials) to lower density dielectric material (e.g., doped silicon-containing materials) from the surface of the microelectronic device, and/or (ii) to remove post-etch and/or post-ash residue from the surface of the microelectronic device wherein the etch rate of undoped silicon-containing materials present on the device is greater than or substantially equal to that of doped silicon-containing materials also present. Importantly, the wet clean compositions of the invention are compatible with the underlying layers, e.g., metal(s) and silicon, also present on the microelectronic device.
[0045] It should be appreciated by one skilled in the art that the compositions described herein may be used in a one-step or multi-step removal process. Preferably, the materials to be removed are removed in a single step process.
[0046] In the cleaning application, the wet clean composition is applied in any suitable manner to the microelectronic device having the material to be removed thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the material to be removed, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, by contacting the device including the material to be removed with a circulating composition, or by any other suitable means, manner or technique, by which the wet clean composition is brought into contact with the material to be removed on the microelectronic device. The cleaning application may be static and/or dynamic, as readily determined by one skilled in the art. Moreover, the process may be for a batch or single wafer system. Following contact of the wet clean composition with the material to be removed, the wet clean composition may further include residue materials selected from the group consisting of doped silicon-containing materials, undoped silicon-containing materials, post-etch residue, post-ash residue, and combinations thereof, which may be suspended and/or dissolved in said wet clean composition. [0047] In use of the compositions for removing materials from microelectronic devices having same thereon, the wet clean composition typically is contacted with the surface for a sufficient time of from about 30 sec to about 10 minutes, preferably about 90 sec to 7 min, at sufficient conditions such as temperature in a range of from about 200C to about 600C, preferably about 30-500C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed.
[0048] Etch targets include, but are not limited to, ThOx, BPSG, PSG, BSG, and SOD. Preferably, etch targets for the current work are ThOx: about lA min"1 to about 20 A min"1, preferably about 2A min"1 to about 10 A min"1, wherein the selectivity ratio of BPSG to ThOx is in a range from about 0.4:1 to about 1 :1, preferably about 0.4:1 to about 0.6:1, the selectivity ratio of PSG to ThOx is in a range from about 0.5:1 to about 2.5:1, preferably about 1 :1 to about 1.4:1, and the selectivity ratio of SOD to ThOx is in a range from about 0.5:1 to about 1.4:1, preferably about 1 :1 to about 1.4:1, at temperature in a range from about 35°C to about 500C, wherein the BPSG comprises 3.6-4.0% B and 3.3-3.7% P, the SOG is organic SOG, and PSG comprises 3.3-3.7% P. The best observed selectivities for the doped and un -doped oxides are 1 :1 or lower.
[0049] Advantageously, the compositions described herein controllably remove doped and undoped silicon-containing materials. In addition, the compositions have very low amounts of components other than the organic solvent which makes disposal of the compositions easier. For example, the compositions preferably include greater than 99 wt% organic solvent.
[0050] The features and advantages of the invention are more fully illustrated by the following non- limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
Example 1
[0051] Composition A: 0.15 wt% IDA, 0.04 wt % Lupasol® G20, 0.25 wt% ammonium fluoride, 99.56 wt % ethylene glycol
[0052] Blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in composition A at 300C for the time indicated, without agitation, and the results in Table 1 were obtained. As observed the selectivities for most materials are similar to that of ThOx and based on the resistance, the metals and metal alloys are not affected by the composition.
Table 1 : Results in Formulation A
Figure imgf000011_0001
Figure imgf000012_0001
Example 2
[0053] Composition B: 0.15 wt% IDA, 0.04 wt % Lupasol® G20, 0.25 wt% ammonium fluoride, 0.06 % Aliquat 336 and 99.50 wt % ethylene glycol [0054] Blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in composition B at 300C for the indicated time, without agitation, and the results in Table 2 were obtained. As observed the selectivities for most materials were similar to that of ThOx and based on the resistance, the metals and metal alloys are not affected by the composition.
Table 2: Results in Formulation B
Figure imgf000013_0001
Figure imgf000014_0001
[0055] For comparison, blanketed BPSG (3000 A plus anneal, 3.6-4.0% B, 3.3-3.7% P), HDP (6000 A), TEOS (2000 A), polySi, ThOx (4000 A), SOG (organic SOG, 4500 A), SiN (LPCVD (2000 A) and PECVD (4000 A)), W (3000 A), TiN (1000 A) and PSG (4500 A plus anneal, 3.3-3.7% P) were immersed in dilute hydrofluoric acid (DHF) at 300C for 30 min, without agitation, and the results in Table 3 were obtained.
Table 3: Results in DHF
Figure imgf000014_0002
[0056] It should be appreciated that although preferably the etch rate of undoped silicon-containing materials is greater than substantially equal to the etch rate of doped silicon-containing materials, there are instances where the doped:undoped etch rate ratio will be greater than 1. For example, the etch rate of PSG:ThOx with DHF was 5.89:1 but it was reduced substantially to 2.16:1 using formulation B. This reduction can be equally advantageous even though the doped:undoped etch rate ratio was still greater than 1 :1.
Example 3
[0057] The etch rate of doped polysilicon as a function of low or high energy doping relative to thermal oxide was determined using Formulation B. Samples of As 75 (low)-, As 75 (high)-, P 31 (low)-, P 31 (high)-, BF2 (low)- and BF2 (high)-doped polysilicon were immersed in Formulation B at 300C for 30 minutes and the etch rates of each determined. The selectivity of each doped material relative to thermal oxide is provided in Table 4, where it can be seen that each film etches at an equivalent or lower rate than thermal oxide.
Table 4: Results in Formulation B
Figure imgf000015_0001
Example 4
[0058] In addition, the post-ash and contact-hole residue removal capability of the formulations was also studied. Figure 1 illustrates the C/S SEM image of a coupon processed with formulation B at 45°C for 4 min, which resulted in roughly a 20 A ThOx removal. The stack structure of the pattern, which consists of a ~ 500 A of PE-CVD SiN base with the "walls" made of 4 KA TEOS and 4.5 KA
PSG is also illustrated in Figure 1.
[0059] Figures 2 and 3 illustrate the C/S SEM images of a coupon of a similar structure that has been cleaned using Formulation B (45°C/4 min) and dilute hydrofluoric acid (DHF) (25°C/4 min), respectively. It can be seen that the coupon cleaned with DHF resulted in roughly a 13.6 A ThOx removal, the post-ash residue was not completely removed and the CD was deteriorated to some extent.
Example 5
[0060] Additional compositions were formulated, as follows:
Composition C: 0.18 wt% succinic acid, 0.05 wt % Lupasol® G20, 0.30 wt% ammonium fluoride, and 99.47 wt % propylene glycol
Composition D: 0.18 wt% succinic acid, 0.05 wt % Lupasol® G20, 0.15 wt% ammonium fluoride,
0.15 wt% ammonium bifluoride, and 99.47 wt % propylene glycol
Composition E: 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, and 98.76 wt % ethylene glycol
Composition F: 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, 0.2 wt%
CTAB, and 98.56 wt % ethylene glycol
Composition G: 0.4 wt% IDA, 0.14 wt% poly(allylamine), 0.70 wt% ammonium fluoride, 0.15 wt%
Aliquat 336, and 98.61 wt % propylene glycol
Composition H: 0.2 wt% succinic acid, 0.07 wt % Lupasol® G20, 0.35 wt% ammonium fluoride,
0.10 wt% CTAB, and 99.28 wt % propylene glycol
[0061] Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features, and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features, and embodiments, within their spirit and scope.

Claims

What is claimed is:
1. A wet clean composition comprising at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of added water.
2. The composition of claim 1, wherein the at least one fluoride source comprises a species selected from the group consisting of xenon difluoride; pentamethyldiethylenetriammonium trifluoride; ammonium bifluoride; triethylamine trihydro fluoride; alkyl hydrogen fluoride (NRH3F), wherein each R is independently selected from hydrogen and C1-C4 alkyl; dialkylammonium hydrogen fluoride (NR2H2F), wherein each R is independently selected from hydrogen and C1-C4 alkyl; trialkylammonium hydrogen fluoride (NR3HF), wherein each R is independently selected from hydrogen and Ci-C4 alkyl; trialkylammonium trihydrogen fluoride (NR3:3HF), wherein each R is independently selected from hydrogen and Ci -C4 alkyl; ammonium fluorides of the formula R4NF, wherein each R is independently selected from hydrogen, Ci-C4 alkyl, and Ci-C4 alkanol; and combinations thereof.
3. The composition of claim 1, wherein the at least one fluoride source comprises ammonium fluoride.
4. The composition of claim 1, wherein the at least one glycol solvent comprises a glycol solvent selected from the group consisting of ethylene glycol, propylene glycol, diethylene glycol, dipropylene glycol, glycerol, a monoglyceride, a diglyceride, a glycol ether, and combinations thereof.
5. The composition of any of the preceding claims, wherein the glycol ether comprises a species selected from the group consisting of diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, and combinations thereof.
6. The composition of any of the preceding claims, wherein the at least one glycol solvent comprises ethylene glycol.
7. The composition of any of the preceding claims, wherein the at least one chelating agent comprises a polyprotic acid.
8. The composition of any of the preceding claims, wherein the at least one chelating agent comprises a species selected from the group consisting of acetylacetonate, l,l,l-trifluoro-2,4- pentanedione, l,l,l,5,5,5-hexafluoro-2,4-pentanedione, formate, acetate, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, iminodiacetic acid (IDA), malonic acid, oxalic acid, succinic acid, boric acid, nitrilotriacetic acid, malic acid, citric acid, acetic acid, maleic acid, 2,4-pentanedione, benzalkonium chloride, 1 -imidazole, phosphonic acid, hydroxyethylidene diphosphonic acid (HEDP), l-hydroxyethane-l,l -diphosphonic acid, nitrilo- tris(methylenephosphonic acid), etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), (l,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6-thithiol trisodium salt solution, 1,3,5- triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates, ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2- hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof.
9. The composition of any of the preceding claims, wherein the at least one chelating agent comprises iminodiacetic acid.
10 The composition of any of the preceding claims, wherein the at least one polymeric species is a cationic surfactant.
11. The composition of any of the preceding claims, wherein the at least one polymeric species comprises at least one species selected from the group consisting of a polypropylenimine dendrimer, a poly(vinyl amine), a polyamine, a polyimidamine, a polyethylimine, a polybutadiene, a polyamidamine, a poly quaternary amine, a polyvinyl amide, a polyacrylamide, a linear polyethylenimine, a branched polyethylenimine, and copolymers comprising the aforementioned homopolymers.
12. The composition of any of the preceding claims, wherein the polyethylenimine comprises a species selected from the group consisting of polyethylenimine, an ethylenediamine-ethyleneimine copolymer, a hydroxylated polyethylenimine, a modified polyethylenimine, and combinations thereof.
13. The composition of any of the preceding claims, wherein the polymeric species comprises polyethylenimine.
14. The composition of any of the preceding claims, further comprising at least one long chain alkyl quaternary ammonium compound.
15. The composition of claim 14, wherein the long chain alkyl quaternary ammonium compound comprises a species selected from the group consisting of a tricapryl methylammonium cation, a trioctyl methyl ammonium cation, a cetyltrimethylammonium cation, a dodecyltrimethyl ammonium cation, a hexadecyltrimethylammonium cation, a dioctyl dimethyl ammonium cation, a poly(allyldimethylammonium) cation, and mixtures thereof.
16. The composition of claim 1, comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, and polyethylenimine polymer.
17. The composition of claim 14, comprising ammonium fluoride, ethylene glycol, iminodiacetic acid, polyethylenimine polymer, and long chain alkyl quaternary ammonium compound.
18. The composition of any of the preceding claims, wherein the composition is substantially devoid of added HF.
19. The composition of any of the preceding claims, wherein the composition further comprises residue material selected from the group consisting of: doped silicon-containing material; undoped silicon-containing material; post-etch residue; post-ash residue; and combinations thereof.
20. The composition of any of the preceding claims, wherein the composition further comprises residue material selected from the group consisting of thermal oxide (ThOx), TEOS, borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), fluorosilicate glass (FSG), spin-on dielectric (SOD), and combinations thereof.
21. The composition of any of the preceding claims, wherein the pH is in a range from about 4 to about 9.
22. A method of selectively removing undoped silicon-containing material relative to doped silicon-containing material, said method comprising contacting a microelectronic device having undoped and doped silicon-containing materials thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, wherein the composition is substantially devoid of water.
23. A method of removing post-etch and/or post-ash residue from a microelectronic device, said method comprising contacting a microelectronic device having post-etch and/or post-ash residue thereon with a wet clean composition under contacting conditions, wherein the wet clean composition comprises at least one fluoride source, at least one glycol solvent, at least one chelating agent and at least one polymeric species, and wherein the etch rate of undoped silicon-containing materials present on said device is greater than or substantially equal to the etch rate of doped silicon-containing materials present on said device, and wherein the composition is substantially devoid of water.
24. The method of claims 22 or 23, wherein the at least one fluoride source comprises a species selected from the group consisting of xenon difluoride; pentamethyldiethylenetriammonium trifluoride; ammonium bifluoride; triethylamine trihydro fluoride; alkyl hydrogen fluoride (NRH3F), wherein each R is independently selected from hydrogen and C1-C4 alkyl; dialkylammonium hydrogen fluoride (NR2H2F), wherein each R is independently selected from hydrogen and C1-C4 alkyl; trialkylammonium hydrogen fluoride (NR3HF), wherein each R is independently selected from hydrogen and Ci-C4 alkyl; trialkylammonium trihydrogen fluoride (NR3:3HF), wherein each R is independently selected from hydrogen and Ci -C4 alkyl; ammonium fluorides of the formula R4NF, wherein each R is independently selected from hydrogen, Ci-C4 alkyl, and Ci-C4 alkanol; and combinations thereof.
25. The method of any of claims 22-24, wherein the at least one glycol solvent comprises a glycol solvent selected from the group consisting of ethylene glycol, propylene glycol, diethylene glycol, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether, tripropylene glycol methyl ether, dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n- propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n- butyl ether, propylene glycol phenyl ether, and combinations thereof.
26. The method of any of claims 22-25, wherein the at least one chelating agent comprises a species selected from the group consisting of acetylacetonate, l,l,l-trifluoro-2,4-pentanedione, l,l,l,5,5,5-hexafluoro-2,4-pentanedione, formate, acetate, glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, iminodiacetic acid (IDA), malonic acid, oxalic acid, succinic acid, boric acid, nitrilotriacetic acid, malic acid, citric acid, acetic acid, maleic acid, 2,4- pentanedione, benzalkonium chloride, 1 -imidazole, phosphonic acid, hydroxyethylidene diphosphonic acid (HEDP), 1 -hydroxyethane- 1,1 -diphosphonic acid, nitrilo-tris(methylenephosphonic acid), etidronic acid, ethylenediamine, ethylenediaminetetraacetic acid (EDTA), (1,2- cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, pentamethyldiethylenetriamine (PMDETA), l,3,5-triazine-2,4,6-thithiol trisodium salt solution, l,3,5-triazine-2,4,6-thithiol triammonium salt solution, sodium diethyldithiocarbamate, disubstituted dithiocarbamates, ammonium sulfate, monoethanolamine (MEA), Dequest 2000, Dequest 2010, Dequest 2060s, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, 2-hydroxypyridine 1 -oxide, ethylendiamine disuccinic acid, sodium triphosphate penta basic, and combinations thereof.
27. The method of any of claims 22-26, wherein the at least one polymeric species comprises at least one species selected from the group consisting of a polypropylenimine dendrimer, a poly(vinyl amine), a polyamine, a polyimidamine, a polyethylimine, a polybutadiene, a polyamidamine, a poly quaternary amine, a polyvinyl amide, a polyacrylamide, a linear polyethylenimine, a branched polyethylenimine, and copolymers of the aforementioned ho mopolymers.
28. The method of any of claims 22-27 ', wherein the contacting conditions comprise: time in a range from about 30 sec to about 10 min; temperature in a range from about 200C to about 600C; and combinations thereof.
29. The method of any of claims 22-28, wherein the undoped silicon-containing materials comprises thermal oxide, and wherein the etch rate of thermal oxide is in a range from about 1 A min" ' to about 20 A min'1.
PCT/US2009/036366 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use WO2009111719A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP09717260A EP2268765A4 (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use
CN200980113539.5A CN102007196B (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use
KR1020157031049A KR20150126729A (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use
JP2010549916A JP2011517328A (en) 2008-03-07 2009-03-06 Non-selective oxide etching wet cleaning composition and method of use
US12/921,262 US20110117751A1 (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US3489108P 2008-03-07 2008-03-07
US61/034,891 2008-03-07
US7715508P 2008-06-30 2008-06-30
US61/077,155 2008-06-30

Publications (3)

Publication Number Publication Date
WO2009111719A2 true WO2009111719A2 (en) 2009-09-11
WO2009111719A3 WO2009111719A3 (en) 2009-11-12
WO2009111719A9 WO2009111719A9 (en) 2009-12-23

Family

ID=41056670

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/036366 WO2009111719A2 (en) 2008-03-07 2009-03-06 Non-selective oxide etch wet clean composition and method of use

Country Status (8)

Country Link
US (1) US20110117751A1 (en)
EP (1) EP2268765A4 (en)
JP (1) JP2011517328A (en)
KR (2) KR20100123757A (en)
CN (1) CN102007196B (en)
SG (1) SG188848A1 (en)
TW (1) TWI591158B (en)
WO (1) WO2009111719A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150075570A1 (en) * 2012-03-12 2015-03-19 Entegris, Inc. Methods for the selective removal of ashed spin-on glass
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
EP2615632B1 (en) * 2010-09-08 2019-05-08 Mitsubishi Gas Chemical Company, Inc. Microstructure manufacturing method using treatment liquid for inhibiting pattern collapse in microstructures

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
WO2012082565A1 (en) * 2010-12-16 2012-06-21 Kyzen Corporation Cleaning agent for removal of soldering flux
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
CN103255417B (en) * 2011-12-16 2016-01-20 江阴润玛电子材料股份有限公司 A kind of acid molybdenum aluminium-molybdenum etching liquid and preparation technology thereof
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
TW201406932A (en) 2012-05-18 2014-02-16 Advanced Tech Materials Composition and process for stripping photoresist from a surface including titanium nitride
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG10201706443QA (en) 2013-03-04 2017-09-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2014197808A1 (en) 2013-06-06 2014-12-11 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6200289B2 (en) 2013-11-18 2017-09-20 富士フイルム株式会社 Semiconductor substrate processing liquid, processing method, and semiconductor substrate product manufacturing method using the same
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
JP6776125B2 (en) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド Use of non-oxidizing strong acids for removal of ion-implanted resists
KR102290209B1 (en) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10619097B2 (en) * 2014-06-30 2020-04-14 Specmat, Inc. Low-[HF] room temperature wet chemical growth (RTWCG) chemical formulation
KR102384908B1 (en) * 2015-11-25 2022-04-08 삼성전자주식회사 Copositions for cleaning magnetic patterns, methods of forming magnetic patterns and methods of manufacturing magnetic memory devices
CN106283092B (en) * 2016-08-05 2018-06-19 宁波金特信钢铁科技有限公司 A kind of preparation method of no amino fluoride salt electric substrate cleaning combination
CN106479696A (en) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 Cleanout fluid for harsh the given birth to foulant of liquid crystal display panel glass and preparation method thereof
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
CN110317588A (en) * 2018-03-29 2019-10-11 中国石油化工股份有限公司 A kind of cationic surfactant and preparation method thereof and foaming agent and its application
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US11560533B2 (en) * 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning
CN112313777A (en) 2018-10-15 2021-02-02 玛特森技术公司 Ozone for selective hydrophilic surface treatment
CN112335017B (en) 2018-11-16 2024-06-18 玛特森技术公司 Chamber polishing to improve etch uniformity by reducing chemical composition
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
KR20200077912A (en) 2018-12-21 2020-07-01 주식회사 케이씨텍 Cleaning composition and cleaning method using the same
CN112601836A (en) 2019-04-30 2021-04-02 玛特森技术公司 Selective deposition using methylation processes
CN111441056B (en) * 2020-04-20 2022-05-20 中国石油天然气集团公司 Didodecyl-diformamide bipyridyl quaternary ammonium salt water-soluble corrosion inhibitor and preparation method and application thereof
CN112143590A (en) * 2020-09-29 2020-12-29 常州时创能源股份有限公司 Silicon wafer cleaning additive, silicon wafer cleaning liquid and application thereof
TW202328423A (en) * 2021-11-11 2023-07-16 美商陶氏全球科技責任有限公司 Glycol compositions comprising chelants
CN114196406B (en) * 2021-11-18 2023-03-14 广东粤港澳大湾区黄埔材料研究院 Etching liquid and preparation method and application thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH085140B2 (en) * 1989-09-26 1996-01-24 ダイキン工業株式会社 Fluororesin processing method
JP3618764B2 (en) * 1992-03-13 2005-02-09 ダイキン工業株式会社 Recovery method of volatile acids
US5755989A (en) * 1993-02-04 1998-05-26 Daikin Industries, Ltd. Wet etching composition having excellent wetting property for semiconductors
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH07216392A (en) * 1994-01-26 1995-08-15 Daikin Ind Ltd Detergent and cleaning method
CN1096703C (en) * 1995-11-15 2002-12-18 大金工业株式会社 Wafer-cleaning solution and process for production thereof
JPH1027781A (en) * 1996-07-10 1998-01-27 Daikin Ind Ltd Etching gas and cleaning gas
US6074935A (en) * 1997-06-25 2000-06-13 Siemens Aktiengesellschaft Method of reducing the formation of watermarks on semiconductor wafers
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
DE69833692T2 (en) * 1997-12-19 2006-11-23 Advanced Technology Materials, Inc., Danbury ACID SOLUTION FOR THE SELECTIVE SEEDING OF SILICON OXIDE WITH FLUORIDE SALT, COMPLEX AND GLYCOL SOLVENT
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6162370A (en) * 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
JP3903215B2 (en) * 1998-11-24 2007-04-11 ダイキン工業株式会社 Etching solution
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
WO2001012740A1 (en) * 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Polishing system and method of its use
JP3891768B2 (en) * 1999-12-28 2007-03-14 株式会社トクヤマ Residual cleaning solution
TWI288777B (en) * 2000-04-26 2007-10-21 Daikin Ind Ltd Detergent composition
KR100522845B1 (en) * 2000-09-01 2005-10-20 가부시끼가이샤 도꾸야마 Cleaning solution for removing residue
JP5407101B2 (en) * 2000-09-07 2014-02-05 ダイキン工業株式会社 Dry etching gas and dry etching method
US20040035825A1 (en) * 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US6498110B2 (en) * 2001-03-05 2002-12-24 Micron Technology, Inc. Ruthenium silicide wet etch
JP2003129089A (en) * 2001-10-24 2003-05-08 Daikin Ind Ltd Detergent composition
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
WO2004019134A1 (en) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. Removing solution
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
JP4374989B2 (en) * 2003-11-12 2009-12-02 三菱瓦斯化学株式会社 Cleaning liquid and cleaning method using the same
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP2004277576A (en) * 2003-03-17 2004-10-07 Daikin Ind Ltd Method for preparing solution for etching or cleaning
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
WO2005057281A2 (en) * 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP2006154722A (en) * 2004-10-28 2006-06-15 Daikin Ind Ltd SOLUTION AND METHOD FOR REMOVING ASHING RESIDUE IN Cu/LOW-K MULTILAYER INTERCONNECTION STRUCTURE
US20060094613A1 (en) * 2004-10-29 2006-05-04 Lee Wai M Compositions and processes for photoresist stripping and residue removal in wafer level packaging
CN101163776A (en) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
PL1828848T3 (en) * 2004-12-10 2010-09-30 Avantor Performance Mat Inc Non-aqueous, non-corrosive microelectronic cleaning compositions containing polymeric corrosion inhibitors
KR20070120609A (en) * 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR101088568B1 (en) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. Non-aqueous photoresist stripper that inhibits galvanic corrosion
CN102981377B (en) * 2005-06-07 2014-11-12 高级技术材料公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20090032766A1 (en) * 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR20080059442A (en) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Metals compatible photoresist and/or sacrificial antireflective coatiing removal composition
US7960328B2 (en) * 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US8778210B2 (en) * 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20070090199A (en) * 2007-06-19 2007-09-05 허니웰 인터내셔날 인코포레이티드 Selective removal chemistries for semiconductor applications, methods of production and uses thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2268765A4

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2615632B1 (en) * 2010-09-08 2019-05-08 Mitsubishi Gas Chemical Company, Inc. Microstructure manufacturing method using treatment liquid for inhibiting pattern collapse in microstructures
US9831088B2 (en) 2010-10-06 2017-11-28 Entegris, Inc. Composition and process for selectively etching metal nitrides
US20150075570A1 (en) * 2012-03-12 2015-03-19 Entegris, Inc. Methods for the selective removal of ashed spin-on glass

Also Published As

Publication number Publication date
US20110117751A1 (en) 2011-05-19
KR20100123757A (en) 2010-11-24
EP2268765A2 (en) 2011-01-05
EP2268765A4 (en) 2011-10-26
WO2009111719A9 (en) 2009-12-23
JP2011517328A (en) 2011-06-02
KR20150126729A (en) 2015-11-12
CN102007196B (en) 2014-10-29
WO2009111719A3 (en) 2009-11-12
SG188848A1 (en) 2013-04-30
CN102007196A (en) 2011-04-06
TW200951204A (en) 2009-12-16
TWI591158B (en) 2017-07-11

Similar Documents

Publication Publication Date Title
US20110117751A1 (en) Non-selective oxide etch wet clean composition and method of use
US10711227B2 (en) TiN hard mask and etch residue removal
US9691629B2 (en) Compositions and methods for the selective removal of silicon nitride
TWI592468B (en) Methods for the selective removal of ashed spin-on glass
EP3039098B1 (en) Compositions and methods for selectively etching titanium nitride
KR101891363B1 (en) Composition for and method of suppressing titanium nitride corrosion
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
KR102545630B1 (en) Cleaning composition after chemical mechanical polishing
WO2019067836A1 (en) Etching solution for simultaneously removing silicon and silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
WO2012174518A2 (en) Compositions and methods for selectively etching silicon nitride
KR20210090294A (en) Composition and Methods for removing ceria particles from a surface
KR102283745B1 (en) Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
WO2017059051A1 (en) Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability
KR20160101301A (en) Cleaning composition

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980113539.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09717260

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2010549916

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20107022346

Country of ref document: KR

Kind code of ref document: A

REEP Request for entry into the european phase

Ref document number: 2009717260

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2009717260

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 12921262

Country of ref document: US