WO2006088737A2 - Semiconductor cleaning - Google Patents

Semiconductor cleaning Download PDF

Info

Publication number
WO2006088737A2
WO2006088737A2 PCT/US2006/004774 US2006004774W WO2006088737A2 WO 2006088737 A2 WO2006088737 A2 WO 2006088737A2 US 2006004774 W US2006004774 W US 2006004774W WO 2006088737 A2 WO2006088737 A2 WO 2006088737A2
Authority
WO
WIPO (PCT)
Prior art keywords
composition
semiconductor substrate
optionally substituted
cation
alkyl
Prior art date
Application number
PCT/US2006/004774
Other languages
French (fr)
Other versions
WO2006088737A3 (en
Inventor
Robert J. Small
Original Assignee
Small Robert J
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/350,757 external-priority patent/US20060183654A1/en
Priority claimed from US11/350,758 external-priority patent/US7923424B2/en
Application filed by Small Robert J filed Critical Small Robert J
Priority to EP06734759.1A priority Critical patent/EP1848790B1/en
Priority to KR1020077020944A priority patent/KR101324497B1/en
Priority to KR1020137004655A priority patent/KR20130091752A/en
Publication of WO2006088737A2 publication Critical patent/WO2006088737A2/en
Publication of WO2006088737A3 publication Critical patent/WO2006088737A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/385Cationic compounds containing P
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • C11D2111/22

Definitions

  • the invention relates to the cleaning of surfaces of substrates.
  • the invention relates to the cleaning of the surfaces of semiconductor substrates.
  • Processing of advanced semiconductor materials can leave residues (particle, ionic, or both) that are difficult to remove with conventional cleaning processes (such as wet benches, spray tools, etc).
  • residues particle, ionic, or both
  • conventional cleaning processes such as wet benches, spray tools, etc.
  • Critical residue particle sizes continue to decrease to below 20 nm, yet conventional particle removal methods (spray, ultrasonic, and megasonics) are ineffective, will damage the desired submicron structural features, or both.
  • High throughput semiconductor cleaning processes are needed for providing high particle removal efficiency (PRE) while minimizing damage or undesired etching.
  • PRE particle removal efficiency
  • Verhaverbeke reported the use of atomized, accelerated liquid sprays to remove particles in which the gas velocities used to accelerate the liquid droplets approached 50 m/s.
  • Sano et al. reported the use of a two-step single wafer cleaning process.
  • Conventional spray cleaning processes typically employ nozzles disposed between about 45° and about 90° with respect to the wafer surface.
  • Conventional cryogenic cleaning processes typically employ nozzles disposed between about 75° and about 90° with respect to the wafer surface.
  • High speed wet cleaning has been limited below 100 m/s, thus well below supersonic speeds (about 360 m/s). In view of these developments, there is a need for chemistry that can be used in the reaction/removal of contaminants on a substrate.
  • the wet chemistries of the present invention may be used in stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate.
  • the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid.
  • the ionic liquid can include a cation selected from the group consisting of an imidazolium cation, a pyridinium cation, a pyrrolidinium cation, an ammonium cation, and a phosphonium cation.
  • the ionic liquid can include a cation having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 2 is hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is O, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 is an optionally substituted Ci-C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted Ci-C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 and R 2 are each an optionally substituted C 1 -C 2O alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group;
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • the ionic liquid can include a cation having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the ionic liquid can include a cation having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted Ci-C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the ionic liquid can include a cation selected from the group consisting of a 1,3-dialkylimidazolium cation, a 1- alkylpyridinium cation, an N,N-dialkylpyrrolidinium cation, an tetraalkylammonium cation, and a tetraalkyl phosphonium cation.
  • the ionic liquid can include a eutectic mixture.
  • the eutectic mixture can include a quaternary ammonium salt and a hydrogen bonding partner.
  • the quaternary ammonium salt can include a cation having the formula: R 3 R 24 wherein R 1 , R 2 , R 3 , and R 4 , independently, are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the quaternary ammonium salt can include a halide ion.
  • the quaternary ammonium salt can be choline chloride.
  • the hydrogen bonding partner can include a carboxylic acid, an amide, or a urea.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group; and R 2 and R 3 , independently, are each hydrogen or an optionally substituted C 1 - C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the hydrogen bonding partner can include a compound having the formula:
  • R 2 R 4 wherein X is O or S; and each of R 1 , R 2 , R 3 , and R 4 , independently, is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20°C and 7O 0 C; 20°C and 50°C; or 20°C and 35°C.
  • the method can include rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition.
  • the method can include rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
  • the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
  • the invention relates to a substrate cleaned according to the above-described methods, with the semiconductor substrate being a wafer in some embodiments.
  • the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising a superacid.
  • the superacid can include a mixture OfFSO 3 H, SbF 5 , and SO 2 ; a mixture of HF and BF 3 ; or a mixture of SbF 5 and HF.
  • the semiconductor substrate can include a photoresist.
  • the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes.
  • the surface can be contacted with the composition at a temperature between 20°C and 70°C; 20°C and 50°C; or 2O 0 C and 35°C.
  • the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface.
  • the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
  • the invention relates to a substrate cleaned according to this method, with the semiconductor substrate being a wafer in some embodiments.
  • a method of removing undesired material from a semiconductor wafer includes contacting the semiconductor wafer with a composition comprising an ionic liquid at a temperature and for a time sufficient to dislodge residue therefrom.
  • a method of removing undesired material from a semiconductor wafer comprises contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
  • the invention also relates to a method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
  • the invention further relates to an integrated circuit fabrication process including: etching a semiconductor layer on a wafer; applying a superacid to the wafer to remove residues from the etching; rinsing the wafer with water.
  • the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising an ionic liquid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
  • the present invention further relates to a method of modifying a surface, the method including: directing a plurality of nano-clusters toward the surface in generally atmospheric conditions; impacting the nano-clusters proximate the surface.
  • the nano-clusters may include propylene carbonate or TMAH.
  • the nano-clusters include an ionic liquid and an oxidizer. The ionic liquid and oxidizer may be mixed just prior to directing the nano-clusters toward the surface.
  • the method may further include: permitting the nano-clusters to decompose within between about 10 minutes and about 1 second of contacting the surface.
  • the nano-clusters may impact the surface in a positive pressure atmosphere.
  • Each nano- cluster may have a size between about 4 nm and about 12 nm before impacting proximate the surface.
  • the nano-clusters may be directed toward the surface in the form of a plasma.
  • the method may further include: breaking apart the nano-clusters proximate the surface; and encapsulating a particle initially disposed on the surface in the broken apart nano-clusters.
  • a liquid composition is contacted with a surface to remove undesired material from the surface.
  • Undesired material can be any material that interferes with the ultimate function of the surface.
  • the surface includes a semiconductor substrate (e.g., a wafer)
  • undesired material can include, for example, resist residues or metal ions.
  • the composition can be useful in applications such as coating, plating, imaging, surfacing, processing, cleaning and sterilization.
  • the liquid composition includes an aqueous chemistry.
  • the present invention is readily applicable to the semiconductor industry (e.g., for submicron cleaning of wafers), it is not limited to use with any particular industry and instead may be applied in a wide variety of technology areas requiring the removal of contaminants to a very fine scale (e.g., nearly to the molecular level).
  • a substrate in the form of a semiconductor wafer may have undesired material on surface(s) thereof such as post etch residue from aluminum- or copper-based technologies.
  • the wafer can be contacted with a desired chemistry, for example, by immersion on a wet bench, e.g. a wet bench manufactured by Semitool or Tokyo Electron (TEL), or by a spray tool.
  • the spray tool for example, may be obtained from SEZ or Dainippon Screen Manufacturing Co. Ltd. (DNS) and the spray tool may be a single wafer spray tool.
  • the process time which depends on the equipment used, can be between 30 seconds and 30 minutes, such as for example, between 30 seconds and 2 minutes, or between 2 minutes and 30 minutes.
  • the process temperature can be between 20°C and 70 0 C, preferably between 20 0 C and 50 0 C, or more preferably between 20 0 C and 35°C.
  • the wafer may be rinsed, either with water, or first with a solvent such as an organic solvent N-methylpyrrolidone (NMP), isopropyl alcohol (IPA), or dimethyl sulfoxide (DMSO), followed by a final rinse with water.
  • NMP organic solvent N-methylpyrrolidone
  • IPA isopropyl alcohol
  • DMSO dimethyl sulfoxide
  • the following steps maybe employed: etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues; rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface; and finally a deionized (DI) water rinse.
  • etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues
  • rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface
  • DI deionized
  • the wet chemistry can be captured after use and used again in additional cleaning cycles.
  • the chemistry can be reused until the level of impurities in the chemistry exceeds a predetermined level.
  • Nano-clusters of the chemistry may be formed and charged with atmospheric inert gases in the liquid flow.
  • the nano-clusters may expand and then impinge on a substrate surface, removing surface particles that may be larger than the nano-clusters themselves, hi some embodiments, particles ranging from about 5 microns to less than about 4 nm may be removed from a substrate.
  • the clusters may travel at super-sonic speeds.
  • the wet chemistry of the present invention may be a composition that includes a solvent and optionally one or more additional components mixed with or dissolved in the solvent.
  • the solvent can be, for example, a halogenated solvent, an aprotic solvent, a protic solvent, an organic acid, an alkanolamine, an alcohol, an amide, an ester, a dipolar aprotic solvent, an ether, a quaternary amine, a cyclic amine, a perfluorinated compound, an aliphatic ester, an inorganic acid, or an inorganic base.
  • Exemplary solvents of these classes are listed in Table 1.
  • the solvent can include an ionic liquid.
  • the solvent can include a mixture of solvents, such as, for example, a mixture of a polar solvent with a protic solvent, a mixture of two distinct protic solvents, or a mixture of a polar solvent with an ionic liquid.
  • the composition can include a superacid.
  • An ionic liquid can be used in a neat or substantially pure form. In other words, the ionic liquid can be used for substrate cleaning without adding any additional materials to the ionic liquid.
  • a superacid can be diluted before use, for example, in the range of 2- 10% by weight.
  • Ionic liquids can have advantageous environmental properties over other solvents. Ionic liquids are substantially non-volatile. Some ionic liquids are biodegradable. Ionic liquids can be less toxic than other solvents, or even non-toxic.
  • organic photoresist polymers become at least partially carbonized after the substrate is subjected to an ion implant step.
  • the at least partially carbonized photoresist can be difficult to remove, but failing to remove it may interfere with further substrate processing.
  • Superacids may be used to remove such photoresists from a substrate.
  • An ionic liquid includes cations (positively charged species) and anions (negatively charged species), and has a melting point at or below 100 0 C.
  • an ionic liquid can include an organic cation such as a 1,3-dialkylimidazolium, a 1- alkylpyridinium, an N,N-dialkylpyrrolidiniurn, an ammonium, or a phosphonium cation.
  • anions can be employed, such as, for example, a halide (e.g., chloride), an inorganic anion (e.g., tetrafluoroborate or hexafluorophosphate), or an organic anion (e.g., bis-trifluorsulfonimide, triflate, or tosylate).
  • a halide e.g., chloride
  • an inorganic anion e.g., tetrafluoroborate or hexafluorophosphate
  • an organic anion e.g., bis-trifluorsulfonimide, triflate, or tosylate.
  • the melting point of l-butyl-3-methylimidazolium tetrafluoroborate is about -71°C; this compound is a colorless liquid with high viscosity at room temperature. Additional exemplary ionic liquids are listed in Table 2.
  • ionic liquids are often colorless, poorly coordinating, and have substantially no vapor pressure, and can effectively dissolve residues.
  • High solubility of residues in ionic liquids allows process intensification, hi other words, only low liquid volumes are required in the treatments, thereby permitting a substantial reduction in the amount of chemical required to produce the desired result.
  • the reduced amount of chemical that is used makes ionic liquid-based cleaning an environmentally friendly substrate cleaning process.
  • Suitable cations for ionic liquids can include, for example, an imidazolium cation having the formula:
  • R l where R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R 2 is hydrogen or an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group and R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and
  • R can be Cj-C 6 alkyl and R can be methyl.
  • R or R 2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy.
  • the pyrrolidinium cation can be an N,N-dialkylpyrrolidinium.
  • Another suitable cation is a pyridinium ion having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group
  • n is 0, 1, 2 or 3.
  • R 1 can be C 1 -C 8 alkyl.
  • R 3 can be C 1 -C 6 alkyl.
  • the pyridinium ion can be N-alkyl pyridinium ion.
  • Another suitable cation is a pyrrolidinium ion having the formula:
  • R 1 and R 2 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group;
  • R 3 is an optionally substituted C 1 -C 12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
  • R 1 and R 2 are each independently C 1 -C 6 alkyl.
  • R 1 can be methyl and R 2 can be C 1 -C 6 alkyl.
  • the pyrrolidinium ion can be an N 5 N- dialkylpyrrolidinium ion.
  • ammonium such as a quaternary ammonium ion having the formula:
  • R 1 , R 2 , R 3 , and R 4 are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • R 1 , R 2 , R 3 , and R 4 independently, are each C 1 -C 8 alkyl.
  • R 1 or R 2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy.
  • the ammonium ion can be a tetraalkylammonium ion.
  • Another suitable cation is a phosphonium ion having the formula:
  • R V 3 ' ⁇ R24 where R 1 , R 2 , R 3 , and R 4 , independently, are each an optionally substituted Ci-C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R 1 , R 2 , R 3 , and R 4 , independently, are each Ci-C 8 alkyl.
  • the phosphonium ion can be a tetraalkylphosphonium ion.
  • Suitable anions for an ionic liquid include a halide (e.g., fluoride, chloride, bromide, or iodide), a sulfate, a sulfonate, a carboxylate (e.g., acetate or propionate), a sulfonimide (e.g., bis(trifluoromethylsulfonyl)imide), a phosphinate (e.g., bis(2,4,4- trimethylpentyl)phosphinate), a phosphate (e.g., tris(pentafiuoroethyl)trifluorophosphate) an inorganic anion (e.g., tetrafluoroborate, hexafluorophosphate, or tetrachloroaluminate), thiocyanate, or dicyanamide.
  • a halide e.g., fluoride, chloride, bromide, or
  • a sulfate can have the formula:
  • R is C 1 -C 20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group.
  • R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n- hexyl, or n-octyl.
  • a sulfonate can have the formula:
  • R is C 1 -C 20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group.
  • R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n- hexyl, or n-octyl.
  • the ionic liquid can include a eutectic mixture.
  • a eutectic mixture is a mixture of two or more pure materials in a particular ratio that displays a reduced melting point compared to either material in a pure state.
  • the eutectic mixture can be substantially free of metals ions.
  • the eutectic can be a mixture of organic compounds.
  • the eutectic mixture can be a deep eutectic solvent.
  • the eutectic mixture can be a mixture of a quaternary ammonium salt and a hydrogen-bonding partner.
  • the quaternary ammonium salt also can be a halide salt, i.e., a salt of a quaternary ammonium ion and a halide ion, such as fluoride, chloride, bromide or iodide.
  • the quaternary ammonium salt can be choline chloride.
  • the hydrogen-bonding partner can be, for example, a carboxylic acid, an amide, or a urea. See, for example, Freemantle, M., Chem. Eng. News Sept. 12, 2005, 36-38; Abbott, A.P. et al., Client. Comm. Jan. 7, 2003, 70-71; and Abbott, A.P. et al., J. Am. Chem. Soc. 2004, 126, 9142-9147, each of which is incorporated herein by reference in its entirety.
  • the quaternary ammonium salt can include a quaternary ammonium ion having the formula:
  • R 3 R 24 where R , R 2 , R 3 , and R 4 , independently, are each an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • R 1 is hydroxyl substituted C 1 -C 8 alkyl
  • R 2 , R 3 , and R 4 independently, are each C 1 -C 8 alkyl.
  • R 1 can be hydroxyl substituted C 2 alkyl and R 2 , R 3 and R 4 can each be methyl.
  • the hydrogen bonding partner can be a carboxylic acid having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C 1 -C 10 aryl or heteroaryl group.
  • the carboxylic acid can be selected from the group of adipic acid, benzoic acid, citric acid, malonic acid, oxalic acid, phenylacetic acid, phenylpropionic acid, succinic acid, and tricarballylic acid.
  • the hydrogen bonding partner can be an amide having the formula:
  • R 1 is an optionally substituted C 1 -C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted Ci-Ci 0 aryl or heteroaryl group; and R 2 and R 3 , independently, are each hydrogen or an optionally substituted Q- C 20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
  • the hydrogen bonding partner can be a urea having the formula: where X is O or S; and each of R 1 , R 2 , R 3 , and R 4 , independently, is an optionally substituted C 1 -C 2O alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted Ci-C 10 aryl or heteroaryl group.
  • the composition can include an acid.
  • the acid can be a superacid, i.e., an acid with a greater proton-donating ability than 100% sulfuric acid.
  • a superacid is a mixture OfFSO 3 H-SbF 5 -SO 2 , sometimes referred to as "magic acid.”
  • Another superacid is a mixture of HF and BF 3 .
  • Still another is a mixture of SbF 5 and HF.
  • the chemistries can have a dielectric constant selected to support an electric charge.
  • the nano-clusters preferably are provided with sufficient velocity to mechanically dislodge surface particulate on substrates, while also chemically interacting with such particulate for example to lower surface adhesion.
  • the nano-clusters can include an ionic liquid and an oxidizer that interact with a high pH material.
  • Such a combination preferably has a short life before decomposing, such as trimethylphenylammonium hydroxide (TMPAH) or tetramethylammonium hydroxide (TMAH) combined with propylene carbonate.
  • the ionic liquid and oxidizer may be mixed at the point-of-use, e.g., just prior to or during formation of nano-clusters.
  • Such instantaneously-reactive removal chemistries are preferred for use with the present invention.
  • the chemistries may be stable for about 1 hour or less prior to decomposition.
  • the chemistries may be stable for about 1 minute or less prior to decomposition.
  • the chemistries may be stable for 10 seconds or less prior to decomposition.
  • the chemistries may be stable for 1 second or less.
  • the composition can include a conductivity enhancing compound.
  • the conductivity enhancing compound can include a preferably volatile salt.
  • an ammonium salt such as a ammonium acetate or ammonium carbonate may be used to impart conductivity to the liquid.
  • Other suitable salts include non- volatile alkali metal salts such as NaI, KI, and CsI.
  • the concentration of salt in the liquid is about 0.1 normal to 2.0 normal.
  • the composition can include an oxidizer.
  • the oxidizer can assist in the chemical removal of targeted material on the substrate surface.
  • the amount of oxidizer used to prepare the clusters is sufficient to assist the removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost.
  • Hydroxylamine compounds can be either an oxidizer or a reducing agent.
  • the hydroxylamine compound can be an oxidizer.
  • the hydroxylamine compound can be hydroxylamine, a salt of hydroxylamine, a derivative of hydroxylamine, a salt of a derivative of hydroxylamine, or a combination thereof.
  • the hydroxylamine compound may be organic or inorganic.
  • the hydroxylamine compound has formula:
  • R 4 is hydrogen or a linear, branched, or cyclic C 1 -C 7 hydrocarbon group; and where X and Y are, independently, hydrogen or a linear, branched, or cyclic Cj-C 7 hydrocarbon group, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C 4 -C 7 ring.
  • hydroxylamine compounds include hydroxylamine, N- methyl-hydroxylamine, N,N-dimethyl-hydroxylamine, N-ethyl-hydroxylamine, N 3 N- diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like. Hydroxylamine and its derivatives, as defined above, are available as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof.
  • the oxidizer can include an inorganic or organic per-compound.
  • a per- compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; or a compound containing at least one peroxy group (-O-O-), such as peracetic acid and perchromic acid.
  • Suitable per-compounds containing at least one peroxy group include, but are not limited to, urea hydrogen peroxide, a monopersulfate, a dipersulfate, peracetic acid, a percarbonate, and an organic peroxide, such as benzoyl peroxide or di-t-butyl peroxide.
  • ozone is a suitable oxidizer either alone or in combination with one or more other suitable oxidizers.
  • the per- compound can be hydrogen peroxide.
  • Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perchloric acid, any perchlorate salt, perbromic acid, and any perbromate salt, perboric acid, and any perborate salt.
  • Exemplary inorganic oxidizers include peroxymonosulfuric acid, potassium peroxymonosulfate, and ammonium peroxymonosulfate.
  • Other oxidizers are also suitable; for example, iodates are useful oxidizers, and oxone is a useful oxidizer.
  • the oxidizer may be a salt of a metal having multiple oxidation states, a complex or coordination compound of a metal having multiple oxidation states, or any combination thereof, provided the compound has a sufficient oxidative potential to oxidize the substrate. Examples include permanganate or salts thereof and perchromate or salts thereof, iron salts, aluminum salts, cerium salts, and the like.
  • the salts and oxidizer react and the oxidizing capacity of the mixture may decline with time. It is known that if the pH is above about 5, iron precipitates as Fe(OH) 3 and catalytically decomposes the hydrogen peroxide to oxygen. At a pH of below about 5, a solution of hydrogen peroxide and an iron catalyst is known as Fenton's reagent.
  • the total weight of the metal present in the liquid used to make the clusters is less than 1 percent, less than 0.5 percent, less than 0.2 percent, less than 0.05 percent, less than 0.02 percent or less than 0.005 percent relative to the weight of the liquid.
  • Clusters of the invention may be essentially free of metals, for example, completely free of metals. By essentially free of metals it is meant that the total weight of metal present in the liquid used to generate the clusters is less than 0.25 percent relative to the weight of the liquid.
  • Preferred solvents are listed in Table 1.
  • An exemplary preferred solvent is propylene carbonate. Residual removers for cleaning of semiconductors are known, for example, from U.S. Patent Application Publication No. 2004/0217006 Al, the entire content of which is expressly incorporated herein by reference thereto.
  • the wet chemistry may include one or more of the following: chelators, surfactants (nonionics, anionics, and/or cationics), abrasives, water, other solvents, corrosion inhibitors, basic amine compounds, acids and bases.
  • One exemplary method of cleaning a substrate using the cleaning compositions of the present invention comprises: contacting the substrate having residue thereon, e.g. organometallic or metal oxide residue, with a cleaning composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the residue.
  • the substrate may be generally immersed in the cleaning composition.
  • photoresist is stripped from a substrate using a method comprising: contacting the substrate having photoresist thereon with a composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the photoresist.
  • the substrate may be generally immersed in the photoresist stripping composition.
  • metal or oxide is etched in a method comprising: contacting the metal or oxide with an etching composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to etch said metal or oxide.
  • the metal or oxide may be generally immersed in the etching composition.
  • the composition of the present invention can be selectively applied to the substrate, that is, applied to only to a predetermined region of the substrate. Selective application of the composition can be achieved, for example, by applying the composition with an ink jet printer.
  • chemistry may be delivered to a surface in the form of nano-clusters.
  • the molecular structure of nano- clusters as dispersed clouds with sizes between about 4 nm and about 12 nm, and in some embodiments preferably less than about 8 nm, provide a dry process environment for processing accuracy to atomic layer definition.
  • a nozzle may be used with sufficient charge at the nozzle via extractor electrodes. The resultant high-speed nano-clusters emitted under charge must then have the bonds broken down that hold the nano-clusters together.
  • a mini discharge/dispersal field that completely eliminates charge, reduces the size of the nano-clusters for example from about 80 nm to between about 4 nm and about 12 nm (such as about 8 nm), and "aims" the nano-clusters.
  • a plasma of nano-clusters may be created as a directed flow to the substrate without the physical size or force of the original nano-clusters.
  • a clean cell preferably integrates with a gate interface and robotic handling mechanisms of a Semitool Mini-Raider platform. Also, in some embodiments, only one side of the wafer may be processed, while in other embodiments both sides are processed.
  • the clean cell preferably formed of polyethylene therephthalate (PET), preferably has a positive pressure of purified atmosphere and/or inert gas (such as nitrogen) and has, as a means of withdrawing the contaminants, a side-flow evacuation system with upward-evacuation, and low vacuum withdrawal to plasmatic reclaim.
  • the sub chamber discharge/dispersal field
  • the sub chamber via the supersonic movement of nano-cluster plasma, may create a windmill effect that lifts the evacuant back to the vacuum or output port/reclaim above the surface interface. Redeposition may be avoided by the windmilling effect of the plasma directing the evacuants to the output port(s) and/or the use of common heavy gas laminar flow technology.
  • the chamber supports atmospheric and positive-pressure gas environments, and the output port is either an evacuation for positive pressure atmospheric or gas atmosphere processing.
  • the gas is ionized to create the discharge and dispersal of the nano-clusters.
  • the gas may be blended to collect and evacuate the residual to a reclaim plasma filter for reuse of the gas.
  • the array may be waferscale as a pattern of emitters angled toward evacuation port(s). This technique with a slowly revolving wafer (16-32 rpm) may produce higher throughputs above 200 Wph.
  • a solvated atmospheric flow from a center point above the nozzle(s) to low-draw vacuum evacuation at the side(s) of the platen may add to encapsulation and suspension of the particles and residuals because the atmosphere is heavier and its flow can carry micro particles more thoroughly to the exhaust vacuum ports.
  • the solvated atmosphere may be ionized to more rapidly discharge the nano-clusters for dispersal using IR or UV ionizing methods.
  • subsonic or supersonic spray applications may rely on the momentum of small droplets without the need for ionization.
  • the size, discharge and dispersal of the nano-clusters may be controlled by the height of the nozzle tip above the surface interface.
  • the nozzle preferably may have a conical tip like a blunderbuss so the nano-clusters have a broader spectrum or pattern array.
  • the formulations of chemistry may be selected as a function of charge retention capabilities (e.g., dielectric constant) in addition to their reaction rates and surface effects for desired specific discharge and reaction.
  • the nozzle's patterns preferably overlap in a single row, "like lawn sprinklers" to keep the nano-clusters traveling toward the surface.
  • a gap preferably may be provided row to row in order to allow the encapsulated particles and residuals to move out of the pattern and into the evacuation stream.
  • wet chemistry may be delivered to the substrate at an angle between about 0° and about 90°; between about 0° and about 45°; or between about 0° and about 25° with respect to the surface of the substrate.
  • the wet chemistry may be delivered through nozzles that all are oriented at about the same angle with respect to the substrate or alternatively through nozzles oriented at a plurality of angles with respect to the substrate.
  • the wet chemistry may be delivered at speeds that are subsonic or supersonic.
  • a cleaning chemistry is delivered to the substrate through nozzles oriented almost parallel to the substrate.
  • the nozzles may be oriented no more than about 5° transverse to the substrate surface; no more than about 3° transverse to the substrate surface; or no more than about 1° transverse to the substrate surface.
  • particles may be removed down to the detection limit of a scanning electron microscope of 8 nm and to the detection limits of a Surfscan at 50 ppb.
  • prior art batch and single wafer processors use chemistry with volumes of water creating a significant waste stream and reclaim issue for recycling or discharging water.
  • Vapor of the present invention may be produced using UNIT Delivery Systems chemistry (UDS) that delivers ready-to-use chemistry to the equipment in a clean interface container, and removes the output of the machine for convenient collection for reclaim as 50 to 100 times less reclaim volume than standard processor production.
  • UDS UNIT Delivery Systems chemistry
  • the successful integration of the two technologies permits a "bolt-on" final finish and dry unit that reduces both waste water and chemistries by two orders of magnitude, and increases the level of "soft-touch” contamination removal by over one order of magnitude.
  • the present invention may be applied to such fields as semiconductor manufacturing, nanotechnologies, medical sterilization technologies, MEMS, MOEMS, and many other processes.
  • a replacement of IPA with fugitive alcohols may facilitate the elimination of hydrocarbon contamination in the cleaning steps.
  • the present invention may be used in connection with wafers between about 150 mm and about 450 mm. In alternate embodiments, the present invention may be used for smaller wafer sizes such as those used in the hard disk industry in sizes of about 2.5 inches to about 3 inches.
  • the chemistries contemplated in the present invention may be dispensed from a cassette having up to 10 chemistries, and more preferably 5 to 10 chemistries, configured with valving that could either allow one chemistry or a mixture of several chemistries to occur just prior to injection into the chamber.
  • Such mixing may allow, for example, the mixing of a surfactant with a reactive chemistry for better surface contact and reactivity.
  • an expanded process window thus may be provided for cleaning a variety of residues encountered in the semiconductor industry.
  • the expanded window includes chemistries and chemistry concentrations to promote release of residues and particles.
  • the chemical concentrations and application time can be significantly reduced over prior art processes and more aggressive chemistries may be used for more precise process control.
  • Vapor spray technology may permit very quick removal of gross particles and residues.
  • One concept for post-process drying involves sub-critical gas or liquid spray for waferscale processing.
  • Another concept for post-process drying may involve an accelerated gas or liquid with an induced plasma.
  • a near-dry process may be provided and may have residual, trace moisture as nanoclusters in the pattern or porosity.
  • a positive-pressure chamber can be purged by turning off the chemistry for 4-7 seconds prior to wafer unload and increasing positive atmospheric flow in the chamber. However, doing so may fail to vacate trace moisture in porosity (dielectrics, etc.), and can actually impound the moisture. Instead, heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow.
  • heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow.
  • the combination of sub-critical-gas accelerated plasma and thermal dynamic gas may dry without moisture expansion/explosion, which can cause delamination of wafer layers or water spots.
  • Inert gas is a gas that does not react substantially with the surface, such as helium, neon, argon, or nitrogen.
  • purified atmosphere can be acceptable.
  • the inert gas can be introduced at an elevated temperature, i.e., higher than ambient temperature.
  • the elevated temperature can be, for example, between 50°C and 100 0 C , between 7O 0 C and 90 0 C , or about 80 0 C.
  • chemistry flow is maintained to evacuate heavy molecular contamination.
  • the chemistry can include an oppositely charged chelator or surfactant running at between 0.1% and 1.0%, e.g., about 0.5%, to encourage removal of contaminants from porosity.
  • application areas for the cleaning technology of the present invention include: 1. Wet-to-Dry processes for gaining a more specific control of the chemical cleaning process and drying of a substrate, having particular importance to the Back-end-of-the-Line (BEOL) cleaning in the semiconductor industry; 2. the specific control of chemicals with the nano-clusters may be used in plating/coating processes, particularly in nanotechnogy-related applications; 3. adjustments to the composition may provide a "drier" chemistry to further control the chemical consumption;
  • a gas/vapor spray may be used to remove particles less than about 50 nanometers along with the drying and curing of previously deposited films.
  • An expanded power level (process window) is possible for cleaning the newer, more difficult residues as discussed above.
  • the expanded window includes chemistries and chemistry concentrations. Because the chemical concentrations and application time can be significantly reduced, more aggressive chemistries can be used for more precise process control. Thus, the end user can significantly reduce chemical consumption, utilize new chemistries, and significantly reduce if not eliminate certain final rinse and drying steps for submicron features on the substrate. Such features can be found for example in semiconductor devices (memory, logic, etc.), nanotechnologies, post chemical mechanical planarization (CMP) processes, and biotechnologies.
  • CMP post chemical mechanical planarization
  • the wet chemistries may be applied to substrates with techniques that that may include stirring, agitation, circulation, sonication, or other techniques as are known in the art.
  • the methods disclosed herein may be applied to a variety of substrates including silicon and III-V semiconductors such as GaAs. Accordingly, all expedient modifications readily attainable by one versed in the art from the disclosure set forth herein that are within the scope and spirit of the present invention are to be included as further embodiments of the present invention. The scope of the present invention is accordingly defined as set forth in the appended claims.

Abstract

A method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid. Another method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The semiconductor substrate may be a wafer.

Description

SEMICONDUCTOR CLEANING
FIELD OF THE INVENTION
The invention relates to the cleaning of surfaces of substrates. In particular, the invention relates to the cleaning of the surfaces of semiconductor substrates.
BACKGROUND OF THE INVENTION
As semiconductor device sizes move toward the submicron regime, the challenges associated with particulate microcontamination present substantial hurdles to success. Advances in semiconductor processing are needed to ensure that manufacturing efficiencies can be kept high. In particular, improved performance-at-yield and significant increases in wafer throughput (e.g., more than 160 of 200 mm wafers/hr) are desired to reduce unit costs. The emerging applications for nanotechnologies also require special cleaning, and new deposition methods and materials will be required. In an industry driven by device yield, reliability, and performance criteria, substrate cleaning has become particularly important for efficiency and profitability.
Processing of advanced semiconductor materials, e.g., plasma etching, deposition, or chemical mechanical polishing, can leave residues (particle, ionic, or both) that are difficult to remove with conventional cleaning processes (such as wet benches, spray tools, etc). Critical residue particle sizes continue to decrease to below 20 nm, yet conventional particle removal methods (spray, ultrasonic, and megasonics) are ineffective, will damage the desired submicron structural features, or both.
As submicron processing advances, it becomes important to remove or neutralize etching residue and photoresist from the substrate, for example so that the residues do not absorb moisture and form acidic species that can cause undesired metal corrosion. If such metal residues are not removed, the substrate's devices may short. In addition, plasma etching of metals, for example, results in a variety of residues, and presents the challenge of adequately cleaning surface(s) of a substrate without corroding the metal.
Moreover, there is a thrust within the semiconductor industry to significantly reduce chemical and water consumption for both cost control and environmental concerns. Water consumption has been a growing concern in both the US and European markets. Although the industry is adapting cleaning chemistries with higher water content, the overall requirements are for semiconductor facilities to reduce total water consumption. Some alternative technologies contemplated for use are based on supercritical CO2 with co-solvents, cryogenics, plasma, laser shock, ion beam, or UV/ozone processes.
Despite the work done, for example, with supercritical CO2, laser shock waves, and UV ozone, each of these technologies has experienced significant technical barriers. Supercritical CO2 currently requires the use of co-solvents and controlled rinse sequences at pressures up to 3,000 psi. Yet, the goals of elimination of secondary deposition of particles and reduction of cycle times below 5 minutes have not been achieved. For example, laser shock (the convergence of two laser beams at some distance from the wafer surface) can easily damage wafer surfaces and carries the additional requirement that the wafer be processed through a traditional wet cleaning step in order to be able to remove ionic contamination. The UV ozone process is designed to generate high-energy free radical species to scavenge organic residues, but remains largely unproven for mainstream application. During the late 1980's, combined government/industry programs were started to develop semiconductor fabrication processes that required few or no liquid chemical processing steps. The programs were not able to achieve these goals though they were able to further establish the benefits of plasma etch over wet etch of integrated circuit (IC) features. Newer technologies have been contemplated to minimize the cleaning challenges and include direct-imageable materials and in-situ/in-step post processing. The semiconductor industry continues to support research in this direction (see, e.g., Solid State Technology, Mar. 1999, S13; Semiconductor Online, Mar. 2, 1999). However, incomplete removal of ionic species and particle contamination continue to be pressing issues. Various matured technologies for the production of a clean and dry 90 nm node copper semiconductor wafer with ultra low-k dielectrics, for example, have failed to meet expectations (according to the ITRS 2002).
Attempts have been made with current plasma etch equipment to program, design or adjust process parameters to minimize or eliminate post-etch residues, but because of the newer materials (cobalt suicides, Cu, low-k materials, HfO2, ZrO2, Pt, Ru, etc.) and the increasing aspect ratios and reduced particle sizes, these efforts have not met the current cleaning requirements. Conventional wet chemical cleaning methods also have not been able to meet some of these requirements.
Mist deposition of films on substrates also is known. See P. Mumbauer et al., Mist Deposition in Semiconductor Device Manufacturing, Semiconductor International, dated November 1, 2004.
High throughput semiconductor cleaning processes are needed for providing high particle removal efficiency (PRE) while minimizing damage or undesired etching. See Steven Verhaverbeke (Applied Materials), "An Investigation of the Critical Parameters of a Atomized, Accelerated Liquid Spray to Remove Particles," presented at the 208th Meeting of the Electrochemical Society, Los Angeles, California, October 16- 21, 2005, symposium on Cleaning Technology in Semiconductor Device Manufacturing IX, Electronics and Photonics/Dielectric Science and Technology; see also Ken-Ichi Sano et al. (Dainippon Screen and IMEC), "Single Wafer Wet Cleaning for a High Particle Removal Efficiency on Hydrophobic Surface," also presented at the 208th Meeting of the Electrochemical Society. Verhaverbeke reported the use of atomized, accelerated liquid sprays to remove particles in which the gas velocities used to accelerate the liquid droplets approached 50 m/s. Sano et al. reported the use of a two-step single wafer cleaning process. Conventional spray cleaning processes typically employ nozzles disposed between about 45° and about 90° with respect to the wafer surface. Conventional cryogenic cleaning processes typically employ nozzles disposed between about 75° and about 90° with respect to the wafer surface. High speed wet cleaning has been limited below 100 m/s, thus well below supersonic speeds (about 360 m/s). In view of these developments, there is a need for chemistry that can be used in the reaction/removal of contaminants on a substrate. There further is a need for chemistry that may encapsulate particles. Moreover, there is a need for chemistry that permits acceptable drying of a substrate after application. Also, there is a need for chemistry that may remove substantially all trace residuals to below 4 nm detection levels at less than 50 ppb without damage or impounding of contaminants into the substrate. In addition, there is a need for methods and apparatus for delivering the chemistry in a precisely controlled fashion. And, there is a need for processing with reduced water and chemical consumption as compared to the mainstream technologies of the prior art. There additionally is a need for such processing at atmospheric or near-atmospheric conditions instead of the high vacuum conditions required by prior art processes.
SUMMARY OF THE INVENTION
The wet chemistries of the present invention, for example, may be used in stripping photoresists and cleaning organic and inorganic compounds, including post etch and post ash residues, from a semiconductor substrate.
In one aspect, the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid. The ionic liquid can include a cation selected from the group consisting of an imidazolium cation, a pyridinium cation, a pyrrolidinium cation, an ammonium cation, and a phosphonium cation.
In one exemplary embodiment, the ionic liquid can include a cation having the formula:
Figure imgf000005_0001
wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R2 is hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group and R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is O, 1, 2 or 3. In another exemplary embodiment, the ionic liquid can include a cation having the formula:
Figure imgf000005_0002
, wherein R1 is an optionally substituted Ci-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted Ci-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
In another exemplary embodiment, the ionic liquid can include a cation having the formula:
Figure imgf000006_0001
wherein R1 and R2, independently, are each an optionally substituted C1-C2O alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
In another exemplary embodiment, the ionic liquid can include a cation having the formula:
Figure imgf000006_0002
wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
In another exemplary embodiment, the ionic liquid can include a cation having the formula:
Figure imgf000006_0003
wherein R1, R2, R3, and R4, independently, are each an optionally substituted Ci-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
In some exemplary embodiments, the ionic liquid can include a cation selected from the group consisting of a 1,3-dialkylimidazolium cation, a 1- alkylpyridinium cation, an N,N-dialkylpyrrolidinium cation, an tetraalkylammonium cation, and a tetraalkyl phosphonium cation. In some exemplary embodiments, the ionic liquid can include a eutectic mixture. The eutectic mixture can include a quaternary ammonium salt and a hydrogen bonding partner.
The quaternary ammonium salt can include a cation having the formula: R3 R24 wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
The quaternary ammonium salt can include a halide ion. The quaternary ammonium salt can be choline chloride. The hydrogen bonding partner can include a carboxylic acid, an amide, or a urea.
The hydrogen bonding partner can include a compound having the formula:
O
Jl R1^OH wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
The hydrogen bonding partner can include a compound having the formula:
Figure imgf000007_0001
wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group; and R2 and R3, independently, are each hydrogen or an optionally substituted C1- C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. The hydrogen bonding partner can include a compound having the formula:
R2 R4 wherein X is O or S; and each of R1, R2, R3, and R4, independently, is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
In some exemplary embodiments, the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20°C and 7O0C; 20°C and 50°C; or 20°C and 35°C.
The method can include rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition. The method can include rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
In some exemplary embodiments, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the ionic liquid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
In another aspect, the invention relates to a substrate cleaned according to the above-described methods, with the semiconductor substrate being a wafer in some embodiments.
In yet another aspect, the present invention relates to a method of cleaning a substrate that includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The superacid can include a mixture OfFSO3H, SbF5, and SO2; a mixture of HF and BF3; or a mixture of SbF5 and HF. The semiconductor substrate can include a photoresist. In some exemplary embodiments, the surface can be contacted with the composition for a period of time ranging from 30 seconds to 30 minutes; 30 seconds to 2 minutes; or from 2 minutes to 30 minutes. In some exemplary embodiments, the surface can be contacted with the composition at a temperature between 20°C and 70°C; 20°C and 50°C; or 2O0C and 35°C. In some exemplary embodiments, the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface or oriented at an angle between about 0° and about 25° with respect to the surface. In one exemplary embodiment, the superacid can be discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface. In another aspect, the invention relates to a substrate cleaned according to this method, with the semiconductor substrate being a wafer in some embodiments.
In another aspect of the invention, a method of removing undesired material from a semiconductor wafer includes contacting the semiconductor wafer with a composition comprising an ionic liquid at a temperature and for a time sufficient to dislodge residue therefrom.
In a further aspect of the invention, a method of removing undesired material from a semiconductor wafer comprises contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
The invention also relates to a method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
The invention further relates to an integrated circuit fabrication process including: etching a semiconductor layer on a wafer; applying a superacid to the wafer to remove residues from the etching; rinsing the wafer with water.
In addition, the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising an ionic liquid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
And, the invention relates to a process for removing residue from an integrated circuit, which includes contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
The present invention further relates to a method of modifying a surface, the method including: directing a plurality of nano-clusters toward the surface in generally atmospheric conditions; impacting the nano-clusters proximate the surface. In some embodiments, the nano-clusters may include propylene carbonate or TMAH. Also, in some embodiments the nano-clusters include an ionic liquid and an oxidizer. The ionic liquid and oxidizer may be mixed just prior to directing the nano-clusters toward the surface. The method may further include: permitting the nano-clusters to decompose within between about 10 minutes and about 1 second of contacting the surface. The nano-clusters may impact the surface in a positive pressure atmosphere. Each nano- cluster may have a size between about 4 nm and about 12 nm before impacting proximate the surface. Also, the nano-clusters may be directed toward the surface in the form of a plasma. The method may further include: breaking apart the nano-clusters proximate the surface; and encapsulating a particle initially disposed on the surface in the broken apart nano-clusters.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In an exemplary preferred embodiment of the present invention, a liquid composition is contacted with a surface to remove undesired material from the surface. Undesired material can be any material that interferes with the ultimate function of the surface. When the surface includes a semiconductor substrate (e.g., a wafer), undesired material can include, for example, resist residues or metal ions. The composition can be useful in applications such as coating, plating, imaging, surfacing, processing, cleaning and sterilization. In some embodiments, the liquid composition includes an aqueous chemistry. Although the present invention is readily applicable to the semiconductor industry (e.g., for submicron cleaning of wafers), it is not limited to use with any particular industry and instead may be applied in a wide variety of technology areas requiring the removal of contaminants to a very fine scale (e.g., nearly to the molecular level).
In an exemplary preferred embodiment of the present invention, a substrate in the form of a semiconductor wafer may have undesired material on surface(s) thereof such as post etch residue from aluminum- or copper-based technologies. The wafer can be contacted with a desired chemistry, for example, by immersion on a wet bench, e.g. a wet bench manufactured by Semitool or Tokyo Electron (TEL), or by a spray tool. The spray tool, for example, may be obtained from SEZ or Dainippon Screen Manufacturing Co. Ltd. (DNS) and the spray tool may be a single wafer spray tool. The process time, which depends on the equipment used, can be between 30 seconds and 30 minutes, such as for example, between 30 seconds and 2 minutes, or between 2 minutes and 30 minutes. The process temperature can be between 20°C and 700C, preferably between 200C and 500C, or more preferably between 200C and 35°C. After cleaning, the wafer may be rinsed, either with water, or first with a solvent such as an organic solvent N-methylpyrrolidone (NMP), isopropyl alcohol (IPA), or dimethyl sulfoxide (DMSO), followed by a final rinse with water.
In one exemplary method according to the present invention, the following steps maybe employed: etching, ashing and/or application of wet chemistry to remove photoresist and/or etch residues; rinse with carbonated water, NMP, IPA, or DMSO to remove and/or neutralize debris and remaining wet chemistry from the etched surface; and finally a deionized (DI) water rinse.
Preferably the wet chemistry can be captured after use and used again in additional cleaning cycles. The chemistry can be reused until the level of impurities in the chemistry exceeds a predetermined level.
Nano-clusters of the chemistry may be formed and charged with atmospheric inert gases in the liquid flow. The nano-clusters may expand and then impinge on a substrate surface, removing surface particles that may be larger than the nano-clusters themselves, hi some embodiments, particles ranging from about 5 microns to less than about 4 nm may be removed from a substrate. In some exemplary embodiments, the clusters may travel at super-sonic speeds.
In general, the wet chemistry of the present invention may be a composition that includes a solvent and optionally one or more additional components mixed with or dissolved in the solvent. The solvent can be, for example, a halogenated solvent, an aprotic solvent, a protic solvent, an organic acid, an alkanolamine, an alcohol, an amide, an ester, a dipolar aprotic solvent, an ether, a quaternary amine, a cyclic amine, a perfluorinated compound, an aliphatic ester, an inorganic acid, or an inorganic base. Exemplary solvents of these classes are listed in Table 1. The solvent can include an ionic liquid. The solvent can include a mixture of solvents, such as, for example, a mixture of a polar solvent with a protic solvent, a mixture of two distinct protic solvents, or a mixture of a polar solvent with an ionic liquid. The composition can include a superacid. An ionic liquid can be used in a neat or substantially pure form. In other words, the ionic liquid can be used for substrate cleaning without adding any additional materials to the ionic liquid. A superacid can be diluted before use, for example, in the range of 2- 10% by weight.
H fD
Figure imgf000012_0001
r
Figure imgf000013_0001
-
Figure imgf000013_0002
bo
I
Ionic liquids can have advantageous environmental properties over other solvents. Ionic liquids are substantially non-volatile. Some ionic liquids are biodegradable. Ionic liquids can be less toxic than other solvents, or even non-toxic.
In some circumstances, organic photoresist polymers become at least partially carbonized after the substrate is subjected to an ion implant step. The at least partially carbonized photoresist can be difficult to remove, but failing to remove it may interfere with further substrate processing. Superacids may be used to remove such photoresists from a substrate.
Thus, discussed next are ionic liquids and superacids for use as the wet chemistry for substrate cleaning in accordance with the present invention.
An ionic liquid includes cations (positively charged species) and anions (negatively charged species), and has a melting point at or below 1000C. For example, an ionic liquid can include an organic cation such as a 1,3-dialkylimidazolium, a 1- alkylpyridinium, an N,N-dialkylpyrrolidiniurn, an ammonium, or a phosphonium cation. A wide range of anions can be employed, such as, for example, a halide (e.g., chloride), an inorganic anion (e.g., tetrafluoroborate or hexafluorophosphate), or an organic anion (e.g., bis-trifluorsulfonimide, triflate, or tosylate). As one example, the melting point of l-butyl-3-methylimidazolium tetrafluoroborate is about -71°C; this compound is a colorless liquid with high viscosity at room temperature. Additional exemplary ionic liquids are listed in Table 2.
Table 2: Exemplary Ionic Liquids
1 -ethyl-3 -methylimidazolium methanesulfonate methyl-tri-n-butylammonium methylsulfonate
1 -ethyl-2,3-dimethylimidazolium ethylsulfonate
1 -butyl-3 -methylimidazolium ethylsulfate
1 -butyl-3 -methylimidazolium methanesulfonate
1 -ethyl-3-methylimidazolium chloride
1 ,2,3-trimethylimidazolium methylsulfate
1 -butyl-3 -methylimidazolium tetrachloroaluminate 1 -ethyl-3 -methylimidazolium tetrachloroaluminate
1 -ethyl-3-methylimidazolium hydrogensulfonate
1 -butyl-3 -methylimidazolium hydrogensulfonate methylimidazolium hydrogensulfonate methylimidazolium chloride
1 -ethyl-3-methylimidazolium acetate
1 -butyl-3 -methylimidazolium acetate
1 -ethyl-3 -methylimidazolium ethylsulfate
1 -butyl-3 -methylimidazolium methylsulfate
1 -ethyl-3 -methylimidazolium thiocyanate
1 -butyl-3 -methylimidazolium thiocyanate
1 -butyl-3 -methylimidazolium chloride
1 -butyl-3 -methylimidazolium hexafluorophosphate
1 -ethyl-3 -methylimidazolium tetrafluoroborate
1 -butyl-3 -methylimidazolium tetrafluoroborate
1 -butyl-2,3-dimethylimidazolium chloride
1 -methyl-3 -octylimidazolium trifluoromethanesulfonate 1 -hexyl-3 -methylimidazolium trifluoromethanesulfonate 1 -hexyl-3 -methylimidazolium tetrafluoroborate
1 -methyl-3 -octylimidazolium hexafluorophosphate
Advantageously, ionic liquids are often colorless, poorly coordinating, and have substantially no vapor pressure, and can effectively dissolve residues. High solubility of residues in ionic liquids allows process intensification, hi other words, only low liquid volumes are required in the treatments, thereby permitting a substantial reduction in the amount of chemical required to produce the desired result. The reduced amount of chemical that is used makes ionic liquid-based cleaning an environmentally friendly substrate cleaning process.
Suitable cations for ionic liquids can include, for example, an imidazolium cation having the formula:
\=|=/
(Rl where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R2 is hydrogen or an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group and R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and
1 0 1 n is 0, 1, 2 or 3. In some embodiments, R can be Cj-C6 alkyl and R can be methyl. R or R2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy. The pyrrolidinium cation can be an N,N-dialkylpyrrolidinium.
Another suitable cation is a pyridinium ion having the formula:
Figure imgf000016_0001
where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3. In some embodiments, R1 can be C1-C8 alkyl. R3 can be C1-C6 alkyl. The pyridinium ion can be N-alkyl pyridinium ion. Another suitable cation is a pyrrolidinium ion having the formula:
Figure imgf000016_0002
where R1 and R2, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3. In some embodiments, R1 and R2 are each independently C1-C6 alkyl. R1 can be methyl and R2 can be C1-C6 alkyl. The pyrrolidinium ion can be an N5N- dialkylpyrrolidinium ion.
Another suitable cation is an ammonium, such as a quaternary ammonium ion having the formula:
Figure imgf000016_0003
where R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1, R2, R3, and R4, independently, are each C1-C8 alkyl. R1 or R2 can be optionally substituted by a polar or protic substituent, such as, for example, hydroxy. The ammonium ion can be a tetraalkylammonium ion.
Another suitable cation is a phosphonium ion having the formula:
R V3' \R24 where R1, R2, R3, and R4, independently, are each an optionally substituted Ci-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1, R2, R3, and R4, independently, are each Ci-C8 alkyl. The phosphonium ion can be a tetraalkylphosphonium ion. Suitable anions for an ionic liquid include a halide (e.g., fluoride, chloride, bromide, or iodide), a sulfate, a sulfonate, a carboxylate (e.g., acetate or propionate), a sulfonimide (e.g., bis(trifluoromethylsulfonyl)imide), a phosphinate (e.g., bis(2,4,4- trimethylpentyl)phosphinate), a phosphate (e.g., tris(pentafiuoroethyl)trifluorophosphate) an inorganic anion (e.g., tetrafluoroborate, hexafluorophosphate, or tetrachloroaluminate), thiocyanate, or dicyanamide.
A sulfate can have the formula:
Figure imgf000017_0001
where R is C1-C20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group. For example, R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n- hexyl, or n-octyl.
A sulfonate can have the formula:
Figure imgf000017_0002
where R is C1-C20 alkyl, haloalkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, alkynyl, or aryl group. For example, R can be methyl, trifluoromethyl, p-tolyl, ethyl, n-butyl, n- hexyl, or n-octyl.
The ionic liquid can include a eutectic mixture. In general, a eutectic mixture is a mixture of two or more pure materials in a particular ratio that displays a reduced melting point compared to either material in a pure state. The eutectic mixture can be substantially free of metals ions. For example, the eutectic can be a mixture of organic compounds. The eutectic mixture can be a deep eutectic solvent. The eutectic mixture can be a mixture of a quaternary ammonium salt and a hydrogen-bonding partner. The quaternary ammonium salt also can be a halide salt, i.e., a salt of a quaternary ammonium ion and a halide ion, such as fluoride, chloride, bromide or iodide. The quaternary ammonium salt can be choline chloride. The hydrogen-bonding partner can be, for example, a carboxylic acid, an amide, or a urea. See, for example, Freemantle, M., Chem. Eng. News Sept. 12, 2005, 36-38; Abbott, A.P. et al., Client. Comm. Jan. 7, 2003, 70-71; and Abbott, A.P. et al., J. Am. Chem. Soc. 2004, 126, 9142-9147, each of which is incorporated herein by reference in its entirety.
The quaternary ammonium salt can include a quaternary ammonium ion having the formula:
R3 R24 where R , R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. In some embodiments, R1 is hydroxyl substituted C1-C8 alkyl, R2, R3, and R4, independently, are each C1-C8 alkyl. R1 can be hydroxyl substituted C2 alkyl and R2, R3 and R4 can each be methyl.
The hydrogen bonding partner can be a carboxylic acid having the formula:
O ϋ
R1^OH where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group. The carboxylic acid can be selected from the group of adipic acid, benzoic acid, citric acid, malonic acid, oxalic acid, phenylacetic acid, phenylpropionic acid, succinic acid, and tricarballylic acid.
The hydrogen bonding partner can be an amide having the formula:
Figure imgf000018_0001
where R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted Ci-Ci0 aryl or heteroaryl group; and R2 and R3, independently, are each hydrogen or an optionally substituted Q- C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group. The hydrogen bonding partner can be a urea having the formula:
Figure imgf000019_0001
where X is O or S; and each of R1, R2, R3, and R4, independently, is an optionally substituted C1-C2O alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted Ci-C10 aryl or heteroaryl group. The composition can include an acid. In some embodiments, the acid can be a superacid, i.e., an acid with a greater proton-donating ability than 100% sulfuric acid. One well known example of a superacid is a mixture OfFSO3H-SbF5-SO2, sometimes referred to as "magic acid." Another superacid is a mixture of HF and BF3. Still another is a mixture of SbF5 and HF. The chemistries can have a dielectric constant selected to support an electric charge. In a preferred, exemplary embodiment, the nano-clusters preferably are provided with sufficient velocity to mechanically dislodge surface particulate on substrates, while also chemically interacting with such particulate for example to lower surface adhesion. In some embodiments, the nano-clusters can include an ionic liquid and an oxidizer that interact with a high pH material. Such a combination preferably has a short life before decomposing, such as trimethylphenylammonium hydroxide (TMPAH) or tetramethylammonium hydroxide (TMAH) combined with propylene carbonate. In an exemplary process for use with the present invention, the ionic liquid and oxidizer may be mixed at the point-of-use, e.g., just prior to or during formation of nano-clusters. Such instantaneously-reactive removal chemistries are preferred for use with the present invention. In some preferred exemplary embodiments, the chemistries may be stable for about 1 hour or less prior to decomposition. In other exemplary embodiments, the chemistries may be stable for about 1 minute or less prior to decomposition. In yet other exemplary embodiments, the chemistries may be stable for 10 seconds or less prior to decomposition. And in other exemplary embodiments, the chemistries may be stable for 1 second or less.
A number of suitable ionic liquids are commercially available, for example, from Sigma-Aldrich (St. Louis, MO), or Merck KGaA (Darmstadt, Germany). The composition can include a conductivity enhancing compound. The conductivity enhancing compound can include a preferably volatile salt. For example, an ammonium salt such as a ammonium acetate or ammonium carbonate may be used to impart conductivity to the liquid. Other suitable salts include non- volatile alkali metal salts such as NaI, KI, and CsI. Preferably, the concentration of salt in the liquid is about 0.1 normal to 2.0 normal.
The composition can include an oxidizer. The oxidizer can assist in the chemical removal of targeted material on the substrate surface. Preferably, the amount of oxidizer used to prepare the clusters is sufficient to assist the removal process, while being as low as possible to minimize handling, environmental, or similar or related issues, such as cost.
Hydroxylamine compounds, depending on pH, can be either an oxidizer or a reducing agent. In one exemplary embodiment, the hydroxylamine compound can be an oxidizer. For example, the hydroxylamine compound can be hydroxylamine, a salt of hydroxylamine, a derivative of hydroxylamine, a salt of a derivative of hydroxylamine, or a combination thereof. The hydroxylamine compound may be organic or inorganic. Preferably, the hydroxylamine compound has formula:
X
,N-O-R4 Y where R4 is hydrogen or a linear, branched, or cyclic C1-C7 hydrocarbon group; and where X and Y are, independently, hydrogen or a linear, branched, or cyclic Cj-C7 hydrocarbon group, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C4-C7 ring.
Examples of hydroxylamine compounds include hydroxylamine, N- methyl-hydroxylamine, N,N-dimethyl-hydroxylamine, N-ethyl-hydroxylamine, N3N- diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like. Hydroxylamine and its derivatives, as defined above, are available as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof.
The oxidizer can include an inorganic or organic per-compound. A per- compound is generally defined as a compound containing an element in its highest state of oxidation, such as perchloric acid; or a compound containing at least one peroxy group (-O-O-), such as peracetic acid and perchromic acid. Suitable per-compounds containing at least one peroxy group include, but are not limited to, urea hydrogen peroxide, a monopersulfate, a dipersulfate, peracetic acid, a percarbonate, and an organic peroxide, such as benzoyl peroxide or di-t-butyl peroxide. For example, ozone is a suitable oxidizer either alone or in combination with one or more other suitable oxidizers. The per- compound can be hydrogen peroxide. Suitable per-compounds that do not contain a peroxy group include, but are not limited to, periodic acid, any periodiate salt, perchloric acid, any perchlorate salt, perbromic acid, and any perbromate salt, perboric acid, and any perborate salt.
Exemplary inorganic oxidizers include peroxymonosulfuric acid, potassium peroxymonosulfate, and ammonium peroxymonosulfate. Other oxidizers are also suitable; for example, iodates are useful oxidizers, and oxone is a useful oxidizer. The oxidizer may be a salt of a metal having multiple oxidation states, a complex or coordination compound of a metal having multiple oxidation states, or any combination thereof, provided the compound has a sufficient oxidative potential to oxidize the substrate. Examples include permanganate or salts thereof and perchromate or salts thereof, iron salts, aluminum salts, cerium salts, and the like. When mixed with another common oxidizer such as hydrogen peroxide in a solution, the salts and oxidizer react and the oxidizing capacity of the mixture may decline with time. It is known that if the pH is above about 5, iron precipitates as Fe(OH)3 and catalytically decomposes the hydrogen peroxide to oxygen. At a pH of below about 5, a solution of hydrogen peroxide and an iron catalyst is known as Fenton's reagent.
One disadvantage of metal-containing oxidizer salts is that they can leave metal contamination on the substrate. This metallic contamination can result in shorts and spurious conductive properties, along with other problems. Certain metals, such as those with a tendency to plate on or be absorbed on to at least one part of the substrate, may be more damaging than other metals. In one embodiment, the total weight of the metal present in the liquid used to make the clusters is less than 1 percent, less than 0.5 percent, less than 0.2 percent, less than 0.05 percent, less than 0.02 percent or less than 0.005 percent relative to the weight of the liquid. Clusters of the invention may be essentially free of metals, for example, completely free of metals. By essentially free of metals it is meant that the total weight of metal present in the liquid used to generate the clusters is less than 0.25 percent relative to the weight of the liquid.
Preferred solvents are listed in Table 1. An exemplary preferred solvent is propylene carbonate. Residual removers for cleaning of semiconductors are known, for example, from U.S. Patent Application Publication No. 2004/0217006 Al, the entire content of which is expressly incorporated herein by reference thereto.
In some exemplary embodiments of the present invention, the wet chemistry may include one or more of the following: chelators, surfactants (nonionics, anionics, and/or cationics), abrasives, water, other solvents, corrosion inhibitors, basic amine compounds, acids and bases.
One exemplary method of cleaning a substrate using the cleaning compositions of the present invention comprises: contacting the substrate having residue thereon, e.g. organometallic or metal oxide residue, with a cleaning composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the residue. The substrate may be generally immersed in the cleaning composition.
In another exemplary method in accordance with the present invention, photoresist is stripped from a substrate using a method comprising: contacting the substrate having photoresist thereon with a composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to remove the photoresist. The substrate may be generally immersed in the photoresist stripping composition.
In yet another exemplary method in accordance with the present invention, metal or oxide is etched in a method comprising: contacting the metal or oxide with an etching composition that includes an ionic liquid or superacid for a time and at a temperature sufficient to etch said metal or oxide. The metal or oxide may be generally immersed in the etching composition.
In some exemplary embodiments, the composition of the present invention can be selectively applied to the substrate, that is, applied to only to a predetermined region of the substrate. Selective application of the composition can be achieved, for example, by applying the composition with an ink jet printer.
In some exemplary embodiments of the present invention, chemistry may be delivered to a surface in the form of nano-clusters. The molecular structure of nano- clusters, as dispersed clouds with sizes between about 4 nm and about 12 nm, and in some embodiments preferably less than about 8 nm, provide a dry process environment for processing accuracy to atomic layer definition. In order to produce the nano-clusters and deliver them to the substrate, a nozzle may be used with sufficient charge at the nozzle via extractor electrodes. The resultant high-speed nano-clusters emitted under charge must then have the bonds broken down that hold the nano-clusters together. This may be accomplished with a mini discharge/dispersal field that completely eliminates charge, reduces the size of the nano-clusters for example from about 80 nm to between about 4 nm and about 12 nm (such as about 8 nm), and "aims" the nano-clusters. A plasma of nano-clusters may be created as a directed flow to the substrate without the physical size or force of the original nano-clusters. In some embodiments, a clean cell preferably integrates with a gate interface and robotic handling mechanisms of a Semitool Mini-Raider platform. Also, in some embodiments, only one side of the wafer may be processed, while in other embodiments both sides are processed. The clean cell, preferably formed of polyethylene therephthalate (PET), preferably has a positive pressure of purified atmosphere and/or inert gas (such as nitrogen) and has, as a means of withdrawing the contaminants, a side-flow evacuation system with upward-evacuation, and low vacuum withdrawal to plasmatic reclaim. The sub chamber (discharge/dispersal field), via the supersonic movement of nano-cluster plasma, may create a windmill effect that lifts the evacuant back to the vacuum or output port/reclaim above the surface interface. Redeposition may be avoided by the windmilling effect of the plasma directing the evacuants to the output port(s) and/or the use of common heavy gas laminar flow technology.
Preferably, the chamber supports atmospheric and positive-pressure gas environments, and the output port is either an evacuation for positive pressure atmospheric or gas atmosphere processing. In the low positive pressure gas environment, the gas is ionized to create the discharge and dispersal of the nano-clusters. Further, the gas may be blended to collect and evacuate the residual to a reclaim plasma filter for reuse of the gas. The array may be waferscale as a pattern of emitters angled toward evacuation port(s). This technique with a slowly revolving wafer (16-32 rpm) may produce higher throughputs above 200 Wph.
In some embodiments, a solvated atmospheric flow from a center point above the nozzle(s) to low-draw vacuum evacuation at the side(s) of the platen may add to encapsulation and suspension of the particles and residuals because the atmosphere is heavier and its flow can carry micro particles more thoroughly to the exhaust vacuum ports. In some embodiments, the solvated atmosphere may be ionized to more rapidly discharge the nano-clusters for dispersal using IR or UV ionizing methods. In some embodiments, subsonic or supersonic spray applications may rely on the momentum of small droplets without the need for ionization. The size, discharge and dispersal of the nano-clusters may be controlled by the height of the nozzle tip above the surface interface. Also, the nozzle preferably may have a conical tip like a blunderbuss so the nano-clusters have a broader spectrum or pattern array. And, the formulations of chemistry may be selected as a function of charge retention capabilities (e.g., dielectric constant) in addition to their reaction rates and surface effects for desired specific discharge and reaction. In a preferred exemplary embodiment the nozzle's patterns preferably overlap in a single row, "like lawn sprinklers" to keep the nano-clusters traveling toward the surface. A gap preferably may be provided row to row in order to allow the encapsulated particles and residuals to move out of the pattern and into the evacuation stream.
In some exemplary embodiments of the present invention, wet chemistry may be delivered to the substrate at an angle between about 0° and about 90°; between about 0° and about 45°; or between about 0° and about 25° with respect to the surface of the substrate. The wet chemistry may be delivered through nozzles that all are oriented at about the same angle with respect to the substrate or alternatively through nozzles oriented at a plurality of angles with respect to the substrate. Moreover, the wet chemistry may be delivered at speeds that are subsonic or supersonic. In one exemplary embodiment, a cleaning chemistry is delivered to the substrate through nozzles oriented almost parallel to the substrate. For example, the nozzles may be oriented no more than about 5° transverse to the substrate surface; no more than about 3° transverse to the substrate surface; or no more than about 1° transverse to the substrate surface.
Preferably, particles may be removed down to the detection limit of a scanning electron microscope of 8 nm and to the detection limits of a Surfscan at 50 ppb. At present, prior art batch and single wafer processors use chemistry with volumes of water creating a significant waste stream and reclaim issue for recycling or discharging water. Vapor of the present invention may be produced using UNIT Delivery Systems chemistry (UDS) that delivers ready-to-use chemistry to the equipment in a clean interface container, and removes the output of the machine for convenient collection for reclaim as 50 to 100 times less reclaim volume than standard processor production. The successful integration of the two technologies permits a "bolt-on" final finish and dry unit that reduces both waste water and chemistries by two orders of magnitude, and increases the level of "soft-touch" contamination removal by over one order of magnitude.
The present invention may be applied to such fields as semiconductor manufacturing, nanotechnologies, medical sterilization technologies, MEMS, MOEMS, and many other processes.
A replacement of IPA with fugitive alcohols may facilitate the elimination of hydrocarbon contamination in the cleaning steps.
In an exemplary preferred embodiment, the present invention may be used in connection with wafers between about 150 mm and about 450 mm. In alternate embodiments, the present invention may be used for smaller wafer sizes such as those used in the hard disk industry in sizes of about 2.5 inches to about 3 inches.
In some embodiments of the present invention, other surface deposition techniques maybe used such as disclosed in U.S. Patent No. 6,817,385, the entire content of which is incorporated herein by reference thereto.
In some embodiments, the chemistries contemplated in the present invention may be dispensed from a cassette having up to 10 chemistries, and more preferably 5 to 10 chemistries, configured with valving that could either allow one chemistry or a mixture of several chemistries to occur just prior to injection into the chamber. Such mixing (instead of sequential injections of chemistries) may allow, for example, the mixing of a surfactant with a reactive chemistry for better surface contact and reactivity.
With the present invention, an expanded process window thus may be provided for cleaning a variety of residues encountered in the semiconductor industry. The expanded window includes chemistries and chemistry concentrations to promote release of residues and particles. In the preferred exemplary embodiment, the chemical concentrations and application time can be significantly reduced over prior art processes and more aggressive chemistries may be used for more precise process control. Vapor spray technology may permit very quick removal of gross particles and residues. One concept for post-process drying involves sub-critical gas or liquid spray for waferscale processing. Another concept for post-process drying may involve an accelerated gas or liquid with an induced plasma. A near-dry process may be provided and may have residual, trace moisture as nanoclusters in the pattern or porosity.
To prevent residual water from being left on the surface in a single-wafer system, a positive-pressure chamber can be purged by turning off the chemistry for 4-7 seconds prior to wafer unload and increasing positive atmospheric flow in the chamber. However, doing so may fail to vacate trace moisture in porosity (dielectrics, etc.), and can actually impound the moisture. Instead, heated inert gas may be added to the expanding blended sub-critical gas flow, which reduces the positive atmospheric flow. The combination of sub-critical-gas accelerated plasma and thermal dynamic gas may dry without moisture expansion/explosion, which can cause delamination of wafer layers or water spots.
Inert gas is a gas that does not react substantially with the surface, such as helium, neon, argon, or nitrogen. For certain applications (i.e., where oxide formation is of no significance), purified atmosphere can be acceptable. The inert gas can be introduced at an elevated temperature, i.e., higher than ambient temperature. The elevated temperature can be, for example, between 50°C and 1000C , between 7O0C and 900C , or about 800C. At this time, chemistry flow is maintained to evacuate heavy molecular contamination. The chemistry can include an oppositely charged chelator or surfactant running at between 0.1% and 1.0%, e.g., about 0.5%, to encourage removal of contaminants from porosity.
Advantageously, application areas for the cleaning technology of the present invention include: 1. Wet-to-Dry processes for gaining a more specific control of the chemical cleaning process and drying of a substrate, having particular importance to the Back-end-of-the-Line (BEOL) cleaning in the semiconductor industry; 2. the specific control of chemicals with the nano-clusters may be used in plating/coating processes, particularly in nanotechnogy-related applications; 3. adjustments to the composition may provide a "drier" chemistry to further control the chemical consumption;
4. in some processes a gas/vapor spray may be used to remove particles less than about 50 nanometers along with the drying and curing of previously deposited films. An expanded power level (process window) is possible for cleaning the newer, more difficult residues as discussed above. The expanded window includes chemistries and chemistry concentrations. Because the chemical concentrations and application time can be significantly reduced, more aggressive chemistries can be used for more precise process control. Thus, the end user can significantly reduce chemical consumption, utilize new chemistries, and significantly reduce if not eliminate certain final rinse and drying steps for submicron features on the substrate. Such features can be found for example in semiconductor devices (memory, logic, etc.), nanotechnologies, post chemical mechanical planarization (CMP) processes, and biotechnologies.
While various descriptions of the present invention are described above, it should be understood that the various features can be used singly or in any combination thereof. Therefore, this invention is not to be limited to only the specifically preferred embodiments depicted herein.
Further, it should be understood that variations and modifications within the spirit and scope of the invention may occur to those skilled in the art to which the invention pertains. For example, in each of the methods disclosed herein, the wet chemistries may be applied to substrates with techniques that that may include stirring, agitation, circulation, sonication, or other techniques as are known in the art. The methods disclosed herein may be applied to a variety of substrates including silicon and III-V semiconductors such as GaAs. Accordingly, all expedient modifications readily attainable by one versed in the art from the disclosure set forth herein that are within the scope and spirit of the present invention are to be included as further embodiments of the present invention. The scope of the present invention is accordingly defined as set forth in the appended claims.

Claims

The ClaimsWhat is claimed is:
1. A method of cleaning a substrate comprising contacting a surface of a semiconductor substrate with a composition comprising an ionic liquid.
2. The method of claim 1 , wherein the ionic liquid includes a cation selected from the group consisting of an imidazolium cation, a pyridinium cation, a pyrrolidinium cation, an ammonium cation, and a phosphonium cation.
3. The method of claim 1 , wherein the ionic liquid includes a cation having the formula:
Figure imgf000028_0001
wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R2 is hydrogen or an optionally substituted Ci-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted Ci-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is O, 1, 2 or 3.
4. The method of claim 1 , wherein the ionic liquid includes a cation having the formula:
Figure imgf000028_0002
wherein R1 is an optionally substituted Ci-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-Cj2 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is 0, 1, 2 or 3.
5. The method of claim 1, wherein the ionic liquid includes a cation having the formula:
Figure imgf000029_0001
wherein R1 and R2, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; R3 is an optionally substituted C1-C12 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; and n is O, 1, 2 or 3.
6. The method of claim 1, wherein the ionic liquid includes a cation having the formula:
Figure imgf000029_0002
wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
7. The method of claim 1 , wherein the ionic liquid includes a cation having the formula:
Figure imgf000029_0003
wherein R1, R2, R3, and R4, independently, are each an optionally substituted Ci-C2O alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
8. The method of claim 1 , wherein the ionic liquid includes a cation selected from the group consisting of a 1,3-dialkylimidazolium cation, a 1- alkylpyridinium cation, an N,N-dialkylpyrrolidinium cation, an tetraalkylammonium cation, and a tetraalkyl phosphonium cation.
9. The method of claim 1, wherein the ionic liquid includes a eutectic mixture.
10. The method of claim 9, wherein the eutectic mixture includes a quaternary ammonium salt and a hydrogen bonding partner.
11. The method of claim 10, wherein the quaternary ammonium salt includes a cation having the formula:
Figure imgf000030_0001
wherein R1, R2, R3, and R4, independently, are each an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
12. The method of claim 11, wherein the quaternary ammonium salt includes a halide ion.
13. The method of claim 12, wherein the quaternary ammonium salt is choline chloride.
14. The method of claim 10, wherein the hydrogen bonding partner includes a carboxylic acid, an amide, or a urea.
15. The method of claim 10, wherein the hydrogen bonding partner includes a compound having the formula:
O ϊ
R1^OH wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
16. The method of claim 10, wherein the hydrogen bonding partner includes a compound having the formula:
Figure imgf000030_0002
wherein R1 is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group; and R2 and R3, independently, are each hydrogen or an optionally substituted C1- C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group.
17. The method of claim 10, wherein the hydrogen bonding partner includes a compound having the formula:
Figure imgf000031_0001
wherein X is O or S; and each of R1, R2, R3, and R4, independently, is an optionally substituted C1-C20 alkyl, cycloalkyl, aralkyl, alkenyl, cycloalkenyl, or alkynyl group; or an optionally substituted C1-C10 aryl or heteroaryl group.
18. The method of claim 1 , wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 30 minutes.
19. The method of claim 1 , wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 2 minutes.
20. The method of claim 1 , wherein the surface is contacted with the composition for a period of time ranging from 2 minutes to 30 minutes.
21. The method of claim 1 , wherein the surface is contacted with the composition at a temperature between 200C and 700C.
22. The method of claim 1, wherein the surface is contacted with the composition at a temperature between 200C and 50°C.
23. The method of claim 1, wherein the surface is contacted with the composition at a temperature between 200C and 35°C.
24. The method of claim 1 , further comprising rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition.
25. The method of claim 24, further comprising rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
26. The method of claim 1 , wherein the ionic liquid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface.
27. The method of claim 1, wherein the ionic liquid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 25° with respect to the surface.
28. The method of claim 1, wherein the ionic liquid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
29. A method of cleaning a substrate comprising contacting a surface of a semiconductor substrate with a composition comprising a superacid.
30. The method of claim 29, wherein the superacid includes a mixture
OfFSO3H3 SbF5, and SO2; a mixture of HF and BF3; or a mixture Of SbF5 and HF.
31. The method of claim 29, wherein the semiconductor substrate includes a photoresist.
32. The method of claim 29, wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 30 minutes.
33. The method of claim 29, wherein the surface is contacted with the composition for a period of time ranging from 30 seconds to 2 minutes.
34. The method of claim 29, wherein the surface is contacted with the composition for a period of time ranging from 2 minutes to 30 minutes.
35. The method of claim 29, wherein the surface is contacted with the composition at a temperature between 2O0C and 70°C.
36. The method of claim 29, wherein the surface is contacted with the composition at a temperature between 2O0C and 50°C.
37. The method of claim 29, wherein the surface is contacted with the composition at a temperature between 2O0C and 35°C.
38. The method of claim 29, further comprising rinsing the semiconductor substrate with water after contacting the semiconductor substrate with the composition.
39. The method of claim 38, further comprising rinsing the semiconductor substrate with a solvent prior to rinsing the semiconductor substrate with water.
40. The method of claim 29, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 45° with respect to the surface.
41. The method of claim 29, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle between about 0° and about 25° with respect to the surface.
42. The method of claim 29, wherein the superacid is discharged toward the semiconductor substrate through at least one nozzle oriented at an angle no more than about 5° transverse to the surface.
43. A semiconductor substrate cleaned according to the method of claim 1.
44. The substrate of claim 43, wherein the semiconductor substrate is a wafer.
45. A semiconductor substrate cleaned according to the method of claim 29.
46. The substrate of claim 45, wherein the semiconductor substrate is a wafer.
47. A method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising an ionic liquid at a temperature and for a time sufficient to dislodge residue therefrom.
48. A method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to dislodge residue therefrom.
49. A method of removing undesired material from a semiconductor wafer comprising contacting the semiconductor wafer with a composition comprising a superacid at a temperature and for a time sufficient to strip photoresist therefrom.
50. An integrated circuit fabrication process comprising: etching a semiconductor layer on a wafer; applying a superacid to the wafer to remove residues from the etching; rinsing the wafer with water.
51. A process for removing residue from an integrated circuit, which comprises contacting the integrated circuit with a composition comprising an ionic liquid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
52. A process for removing residue from an integrated circuit, which comprises contacting the integrated circuit with a composition comprising a superacid at a temperature and for a time sufficient to remove the residue from the integrated circuit.
PCT/US2006/004774 2005-02-14 2006-02-13 Semiconductor cleaning WO2006088737A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP06734759.1A EP1848790B1 (en) 2005-02-14 2006-02-13 Semiconductor cleaning
KR1020077020944A KR101324497B1 (en) 2005-02-14 2006-02-13 Semiconductor cleaning
KR1020137004655A KR20130091752A (en) 2005-02-14 2006-02-13 Semiconductor cleaning

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US65199805P 2005-02-14 2005-02-14
US60/651,998 2005-02-14
US75460505P 2005-12-30 2005-12-30
US60/754,605 2005-12-30
US11/350,757 2006-02-10
US11/350,758 2006-02-10
US11/350,757 US20060183654A1 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using ionic liquids
US11/350,758 US7923424B2 (en) 2005-02-14 2006-02-10 Semiconductor cleaning using superacids

Publications (2)

Publication Number Publication Date
WO2006088737A2 true WO2006088737A2 (en) 2006-08-24
WO2006088737A3 WO2006088737A3 (en) 2007-02-15

Family

ID=36916936

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/004774 WO2006088737A2 (en) 2005-02-14 2006-02-13 Semiconductor cleaning

Country Status (3)

Country Link
EP (1) EP1848790B1 (en)
KR (2) KR101324497B1 (en)
WO (1) WO2006088737A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2093278A1 (en) * 2008-02-05 2009-08-26 Evonik Goldschmidt GmbH Performance additive for improving the sprinkling characteristics of ionic fluids on solid surfaces
WO2010040917A1 (en) * 2008-10-09 2010-04-15 Ifp Method for cleaning surfaces using a protic ionic liquid
WO2010052123A1 (en) * 2008-11-05 2010-05-14 Henkel Ag & Co. Kgaa Ionic liquid composition for the removal of oxide scale
CN103857780A (en) * 2011-08-22 2014-06-11 Ekc技术公司 Composition for cleaning substrates post-chemical mechanical polishing
WO2016172024A1 (en) * 2015-04-22 2016-10-27 S.C. Johnson & Son, Inc. Cleaning composition with ionic liquid
CN114829682A (en) * 2019-11-21 2022-07-29 株式会社Posco Ionic liquid for pickling stainless steel and method for pickling stainless steel by using same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101553286B1 (en) 2008-03-25 2015-09-15 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for conserving electronic device manufacturing resources

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040217006A1 (en) 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9616264D0 (en) * 1996-08-02 1996-09-11 British Nuclear Fuels Plc Reprocessing irradiated fuel
KR20010066769A (en) * 1999-04-20 2001-07-11 가네꼬 히사시 Cleaning liquid
KR20010058668A (en) * 1999-12-30 2001-07-06 윤종용 Cleansing solution containing fluoride based compound and cleasing method thereby
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP4519487B2 (en) * 2003-03-06 2010-08-04 株式会社トクヤマ Cleaning composition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040217006A1 (en) 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2093278A1 (en) * 2008-02-05 2009-08-26 Evonik Goldschmidt GmbH Performance additive for improving the sprinkling characteristics of ionic fluids on solid surfaces
WO2010040917A1 (en) * 2008-10-09 2010-04-15 Ifp Method for cleaning surfaces using a protic ionic liquid
FR2937048A1 (en) * 2008-10-09 2010-04-16 Inst Francais Du Petrole METHOD OF CLEANING SURFACES USING A PILS-LIKE LIQUID
WO2010052123A1 (en) * 2008-11-05 2010-05-14 Henkel Ag & Co. Kgaa Ionic liquid composition for the removal of oxide scale
CN103857780A (en) * 2011-08-22 2014-06-11 Ekc技术公司 Composition for cleaning substrates post-chemical mechanical polishing
EP2748296A4 (en) * 2011-08-22 2015-05-27 Ekc Technology Inc Composition for cleaning substrates post-chemical mechanical polishing
WO2016172024A1 (en) * 2015-04-22 2016-10-27 S.C. Johnson & Son, Inc. Cleaning composition with ionic liquid
US9920284B2 (en) 2015-04-22 2018-03-20 S. C. Johnson & Son, Inc. Cleaning composition with a polypropdxylated 2-(trialkylammonio)ethanol ionic liquid
US10179890B2 (en) 2015-04-22 2019-01-15 S.C. Johnson & Son, Inc. Cleaning composition with di(fatty acyloxyalkyl)hydroxyalkyl alkylammonium quaternary salt or alkyl trimethyl ammonium fatty alkanoate ionic liquids
US10988711B2 (en) 2015-04-22 2021-04-27 S. C. Johnson & Son, Inc. Cleaning composition with an N-alkyl-N,N-dipolyethoxyethyl-N-alkylammonium salt ionic liquid
US11939556B2 (en) 2015-04-22 2024-03-26 S. C. Johnson & Son, Inc. Cleaning composition comprising an alkylamidoalkyl alkyldimonium alkylsulfate as an ionic liquid
CN114829682A (en) * 2019-11-21 2022-07-29 株式会社Posco Ionic liquid for pickling stainless steel and method for pickling stainless steel by using same
EP4056737A4 (en) * 2019-11-21 2023-01-11 Posco Ionic liquid for pickling stainless steel and method for pickling stainless steel by using same

Also Published As

Publication number Publication date
EP1848790A2 (en) 2007-10-31
KR20130091752A (en) 2013-08-19
EP1848790A4 (en) 2010-09-29
KR101324497B1 (en) 2013-11-01
KR20070108544A (en) 2007-11-12
EP1848790B1 (en) 2015-04-08
WO2006088737A3 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
US7923424B2 (en) Semiconductor cleaning using superacids
US20060183654A1 (en) Semiconductor cleaning using ionic liquids
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100764888B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1848790B1 (en) Semiconductor cleaning
EP1212150B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100922092B1 (en) Apparatus and method for controlling galvanic corrosion effects on a single-wafer cleaning system
US7568490B2 (en) Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20030125225A1 (en) Supercritical fluid cleaning of semiconductor substrates
EP1975987A2 (en) Methods for stripping material for wafer reclamation
KR980010639A (en) Cleaning method and cleaning device
US20050215063A1 (en) System and methods for etching a silicon wafer using HF and ozone
SG183018A1 (en) Method of post etch polymer residue removal
WO2006125461A1 (en) Treatment solution and method of applying a passivating layer
CN101155906A (en) Semiconductor cleaning using ionic liquids
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
US20050000549A1 (en) Wafer processing using gaseous antistatic agent during drying phase to control charge build-up
KR100207522B1 (en) Cleaning solution of semiconductor device and cleaning method thereby
EP2149147A1 (en) Method for removing etching residues from semiconductor components
Kim et al. Megasonic free single wafer ozone Jet Cleans: Concept and Feasibility
WO2007045268A1 (en) Method for removing etch residue and chemistry therefor

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680011004.3

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006734759

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020077020944

Country of ref document: KR