WO2004098259A2 - Plasmabehandlung zur reinigung von kupfer oder nickel - Google Patents

Plasmabehandlung zur reinigung von kupfer oder nickel Download PDF

Info

Publication number
WO2004098259A2
WO2004098259A2 PCT/EP2004/004904 EP2004004904W WO2004098259A2 WO 2004098259 A2 WO2004098259 A2 WO 2004098259A2 EP 2004004904 W EP2004004904 W EP 2004004904W WO 2004098259 A2 WO2004098259 A2 WO 2004098259A2
Authority
WO
WIPO (PCT)
Prior art keywords
radicals
plasma
components
chamber
hydrogen
Prior art date
Application number
PCT/EP2004/004904
Other languages
English (en)
French (fr)
Other versions
WO2004098259A3 (de
Inventor
Miran Mozetic
Uros Cvelbar
Original Assignee
Kolektor Group D.O.O.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kolektor Group D.O.O. filed Critical Kolektor Group D.O.O.
Priority to EP04739149A priority Critical patent/EP1620581B1/de
Priority to JP2006505401A priority patent/JP2006525426A/ja
Priority to MXPA05011822A priority patent/MXPA05011822A/es
Priority to DE502004003406T priority patent/DE502004003406D1/de
Publication of WO2004098259A2 publication Critical patent/WO2004098259A2/de
Publication of WO2004098259A3 publication Critical patent/WO2004098259A3/de
Priority to US11/270,256 priority patent/US20060054184A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K1/00Soldering, e.g. brazing, or unsoldering
    • B23K1/20Preliminary treatment of work or areas to be soldered, e.g. in respect of a galvanic coating

Definitions

  • the present invention relates to a method for the treatment, in particular for cleaning, of electronic components which are made of or are coated with copper or nickel or their alloys such as brass, using reactive plasmas.
  • Components made of or coated with copper or nickel or their alloys such as brass are typically covered with a layer of contaminants. There is always at least one native layer of oxide on the surface. The components are also more often contaminated with various organic and inorganic contaminants. Organic contaminants are often residues of oil or fat that was applied during processing. Inorganic contaminants include oxides as well as chlorides and sulfides. The thickness of inorganic contaminants on surfaces depends on the environment in which the components were stored as well as on the temperature. The higher the temperature, the thicker the layer of inorganic contaminants.
  • the layer of impurities on components should be removed before further processing, in particular printing, painting, gluing, soldering or welding, in order to ensure good processing quality.
  • this element is currently considered an interconnect material because copper has a low resistivity and a relatively high current carrying capacity.
  • copper is very susceptible to oxidation. With copper deposits, oxidation is considered a disadvantage, and it interferes with the adhesion to the adjacent layer, affects the conductivity of the copper structural element and reduces the reliability of the entire circuit. Therefore, an extremely effective method for cleaning copper deposits in devices with integrated circuits.
  • Novel cleaning methods have been used in one or more steps in the manufacture of devices with integrated circuits.
  • the novel processes are based on the use of an unbalanced state of gases - frequently a low-pressure plasma, as is the case, for example, in the article "Plasma process in electronics production” by J. Messel Reifen, mo, Year 55 (2001) 8, pp. 33 to 36, or an afterglow rich in reactive particles. They have been used to remove both organic and inorganic contaminants that appear on surfaces during manufacturing phases, as well as to clean the manufacturing chamber.
  • a method for cleaning the surfaces of workpieces is also described in German Offenlegungsschrift DE 19702124 AI. Accordingly, different gases, alone or as two or more component gas mixtures, can be used to generate a plasma.
  • DE 4034842 C2 describes a plasma chemical cleaning process with oxygen and hydrogen as successive working gases and a subsequent PVD or PECVD coating of metal substrates.
  • the plasma is excited with frequencies in the microwave range, with the aim of achieving a high proportion of radicals and ions.
  • Another possibility of pretreating a surface is described in Japanese patent application JP 62158859 A, in which the surface is bombarded first with ions of a noble gas and then with hydrogen ions.
  • Copper cleaning processes involving plasma cleaning have been described and patented in various contexts, such as a machining process that integrated with devices during the manufacture Circuits are used as a method for pre-cleaning (US 6,107,192, TW 411497, FR 2801905), for removing the oxide layer on side walls, connections and passages (TW 471126, US 2001-049,181, US 6,323,121, US 6,309,957, US 6,204,192, EP-1 041 614, WO 00/29642) or on copper connection points (WO 02/073687, US 2002-127,825), or for improving the copper process integration (US 6,395,642), or for cleaning devices with integrated semiconductor circuits which have buried interconnections which contain copper in the Have main conductor layers (US 2002-042,193).
  • pre-cleaning US 6,107,192, TW 411497, FR 2801905
  • the recommended gas for copper cleaning is a mixture of hydrogen and nitrogen, or ammonia.
  • a mixture of argon and hydrogen is recommended in patent specification TW 471126. This mixture is also suitable for removing fluorine-containing etching residues (TW 472319).
  • Plasma cleaning has also been patented as a method for removing deposited etching by-products from surfaces of a semiconductor processing chamber after a copper etching process (US 6,352,081, TW 466629, WO 01/04936). This process involves the application of an oxidizing plasma and a plasma containing a reactive type of fluorine.
  • the present invention has for its object to provide a method for the treatment of electronic components, which are made of copper or nickel or their alloys with each other or with other materials such as brass, or are coated, by means of which the surface of the relevant components cleaned and prepared in a special way for subsequent low-temperature processing with the highest quality. This task is solved by the method specified in claim 1.
  • the components are successively exposed to an oxygen plasma and a hydrogen plasma in order to first remove organic and then oxidative contaminants, with specific conditions with regard to the pressure in the treatment chamber (10 _1 to 50 mbar), the type of.
  • Excitation of the plasma in the chamber by a high-frequency generator with a frequency of more than about 1 MHz
  • the intensity of the action of oxygen radicals on the components are observed.
  • This favors further processing, in particular by improving the subsequent adhesion of adhesive or solder to the surface and reducing the resistance of connection points.
  • This method is an environmentally friendly alternative to industrial cleaning processes that currently use wet dry cleaning.
  • the present invention provides a method for removing organic and inorganic contaminants from surfaces of electronic components made of or coated with copper or nickel or their alloys such as brass.
  • the components are placed in a vacuum chamber, which is preferably evacuated to a pressure of 10 Pa or less.
  • the chamber is then filled with an oxidizing gas.
  • the oxidizing gas is pure oxygen or a mixture of argon or another noble gas with oxygen and the total pressure is 10 to 5000 Pa.
  • the introduction of water vapor or a mixture of argon or another noble gas with water vapor can also be provided.
  • Argon can be caused by anything Noble gas to be replaced.
  • a plasma is excited by a high-frequency discharge. Oxygen radicals generated in the discharge interact with the organic surface contaminants and oxidize them to water and carbon oxide, which are desorbed and pumped out of the surface. After the oxidizing plasma treatment, the surface is free of organic contaminants.
  • Inorganic contaminants mainly copper or nickel oxides
  • Argon can be replaced by any noble gas.
  • a plasma is excited by a high-frequency discharge. Hydrogen radicals generated in the discharge interact with the inorganic surface contaminants and reduce them to water and other simple molecules such as HC1, H 2 S, HF etc., which are desorbed and pumped out of the surface. After the hydrogen plasma treatment, the surface is effectively free of any contaminants.
  • a particular aspect of the present invention is to be seen in the fact that, due to the specific conditions during the treatment, there is little or no bombardment of the surface with high-energy ions, which has proven to be particularly advantageous.
  • the invention takes into account the recognition that the plasma processing increases the adhesion of the adjacent layer by reducing the content of impurities on the surface of the components and reduces the electrical resistance due to the connecting surface.
  • the surface treated according to the invention is passivated, which leads to a longer resistance to air or water corrosion.
  • such a surface enables very good adhesion of any material deposited on the surface, including adhesive, paint and solder.
  • Figure 1 is a system schematic showing an example of a system intended for plasma cleaning of copper or nickel.
  • Figure 2a is an AES (Auger Electron Spectroscopy) depth profile graph showing a concentration of chemical elements on the untreated copper sample surface as a function of sputtering time.
  • AES Alger Electron Spectroscopy
  • Figure 2b is an AES depth profile graph depicting a concentration of chemical elements on the wet chemically treated copper sample surface as a function of sputtering time.
  • Figure 2c is an AES depth profile graph depicting a concentration of chemical elements on the oxygen plasma treated copper sample surface as a function of sputtering time.
  • Figure 2d is an AES depth profile graph depicting a concentration of chemical elements on the oxygen and hydrogen plasma treated copper sample surface as a function of sputtering time.
  • FIG. 1 An example of a system structure for the plasma treatment of copper or nickel is shown in the schematic illustration in FIG. 1.
  • the system consists of a discharge chamber 7, a vacuum pump 1 with a valve 2, a collecting vessel with sieves 3, three different outflow valves 8 and three gas bottles 9 - oxygen, hydrogen and another gas (in particular noble gas), and results in an effective and economical treatment ,
  • the plasma parameters during the etching process such as the dose of the radicals in the discharge chamber, are controlled by a vacuum meter 4 and two or more probes such as catalytic probes 5 and Langmuir probes 6.
  • the flow of the radicals is adjusted to more than about 10 21 , preferably more than 10 22 or, more preferably, more than 10 24 radicals per square meter per second.
  • the rate at which the radicals are formed in the gaseous plasma which contains an oxidizing gas (preferably oxygen or water vapor), depends on the power of the discharge source.
  • the power is preferably between 30 and 1000 W per liter of the discharge volume in order to ensure the formation of a more homogeneous plasma in a pressure range between 10 and 5000 Pa.
  • the gas may be a mixture of argon and oxidizing gas with a ratio between the gases that allows the highest concentration of oxygen radicals in the plasma.
  • the plasma is generated by a high-frequency generator, which is preferably inductive is coupled.
  • the frequency is more than about 1 MHz, preferably more than 3 MHz, so that heating of ions is prevented. Since the frequency is generated with a high frequency generator, it is not in the microwave range.
  • the removal rate at room temperature is between 10 and 100 nm / minute. Since a typical thickness of organic contaminants on components is of the order of 10 nm, the cleaning time in a gaseous plasma which contains an oxidizing gas is approximately one minute.
  • the flow rate of the gas through the vacuum system is preferably in a range from about 100 to 10,000 sccm per m 2 of treated surface, but is particularly preferably, converted to standard conditions, more than 1 liter per minute (1000 sccm) per m 2 of treated surface, so rapid removal of the reaction products is ensured.
  • an oxide layer is formed on the surface of components (FIG. 2c).
  • Thin films of oxides on surfaces of copper or Nikkei or their alloys are best reduced to pure metals by introducing a gaseous plasma consisting of pure hydrogen or a mixture of hydrogen and a rare gas, preferably argon.
  • a gaseous plasma consisting of pure hydrogen or a mixture of hydrogen and a rare gas, preferably argon.
  • the rate at which hydrogen radicals in gaseous form Plasma containing hydrogen depends on the power of the discharge source.
  • the power is preferably between 30 and 1000 W per liter of the discharge volume in order to ensure the formation of a more homogeneous plasma in a pressure range between 10 and 5000 Pa.
  • the gas may be a mixture of argon and hydrogen with a ratio between the gases that allows the highest concentration of hydrogen radicals in the plasma.
  • the hydrogen-containing plasma is preferably generated by the same generator and in the same vacuum system as the plasma containing oxygen radicals.
  • the hydrogen radicals can also be generated by a direct current glow discharge.
  • the samples can be negatively biased against the wall of the discharge chamber by an additional DC voltage. It is believed that the reduction of oxidizing contaminants by hydrogen radicals is caused by a pure potential interaction of the radicals with the surface contaminants.
  • the rate of reduction at room temperature is between 1 and 10 nm / minute. Since a typical thickness of oxide layers on components is of the order of 10 nm, the cleaning time in a gaseous plasma which contains an oxidizing gas is a few minutes.
  • the flow rate of the gas through the vacuum system is preferably in a range from about 100 to 10,000 sccm per m 2 of treated surface, but is particularly preferably, converted to standard conditions, more than 1 liter per minute per m 2 of treated surface, so that the rapid removal of the Reaction products is ensured.
  • the oxide layer is completely reduced during the hydrogen plasma treatment. Many other oxidizing contaminants including chlorides and sulfides are also reduced.
  • the hydrogen plasma treatment therefore ensures a virtually atomically clean surface (FIG. 2d).
  • the cleaning process therefore includes treatment with oxygen radicals followed by treatment with hydrogen radicals. If the amount of organic impurities is small, treatment with only hydrogen radicals can be used. It is believed that hydrogen radicals also react with organic contaminants, but the reaction rate is slower than that of oxygen radicals.
  • FIG. 2a An example of an untreated copper surface is shown in FIG. 2a.
  • the surface is contaminated with various contaminants that were left on the surface during the mechanical treatment.
  • the type and concentration of the contaminants in the thin sample surface layer was determined by Auger electron spectroscopy (AES) depth profiling in a PHI545 scanning Auger microsensor with a base pressure in the vacuum chamber of less than 1.3 x 10 ⁇ 7 Pa.
  • a static primary electron beam with an energy of 3 keV, a current of 3.5 ⁇ A and a beam diameter of approximately 40 ⁇ m was used.
  • the angle of incidence of the electron beam with respect to the normal to the surface plane was 47 degrees.
  • the samples were sputtered using two symmetrically inclined Ar + ion beams with the kinetic energy of 1 keV, which ensured etching of the sample.
  • the sputtering time corresponds to the depth, ie, one minute corresponds to 4 nm.
  • the depth profile of the sample after wet chemical cleaning is shown in Figure 2b.
  • the samples were cleaned with tetrachlorethylene and then rinsed carefully with distilled water. It is noticeable that the thickness of a carbon film has been reduced, but still is some carbon persists in the upper thin surface layer. The thickness of the contaminant film was reduced by more than a factor of three on average from unpurified samples.
  • the AES depth profile of a sample that was exposed to an oxygen plasma with approximately 7 ⁇ 10 24 radicals per square meter is shown in FIG. 2c.
  • the sample With the exception of the outermost surface and presumably due to secondary contamination, the sample is almost free of a carbon film (organic contamination).
  • An oxide film is formed on the surface. Reactive particles of the oxygen plasma apparently reacted with the layer of organic contaminants and removed them completely. However, an undesirable oxide layer was formed during a rather brief exposure to the oxygen plasma.
  • the sample which was first exposed to the oxygen plasma, was then exposed to a hydrogen plasma with approximately 2 x 10 25 radicals per square meter.
  • the AES depth profile after treatment is shown in Figure 2d. With the exception of an extremely low concentration of oxygen, carbon and sulfur and presumably due to secondary contamination after exposure to air before the AES analysis, there is almost no contamination on the surface.
  • the electrical resistance measurements were made on series of ten samples, and the average resistance of the copper parts cleaned by various methods was measured.
  • the resistance of the copper device samples cleaned with the wet chemical process decreased by about 16%.
  • the resistance of the copper samples, which were cleaned with a combination of oxygen and hydrogen plasma was even better, since the resistance decreased by about 28%.
  • the most effective method of cleaning a copper surface is a combined vacuum Erstoff-hydrogen plasma treatment, which leads to a de facto contamination-free surface without a surface contamination film and leads to a twice as good improvement in electrical conductivity. This is confirmed by AES depth profiling ( Figure 2a, Figure 2b, Figure 2c, Figure 2d) and measurements of the electrical resistance.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • ing And Chemical Polishing (AREA)
  • Manufacture And Refinement Of Metals (AREA)
  • Solid-Phase Diffusion Into Metallic Material Surfaces (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

Ein Verfahren zur Behandlung von elektronischen Bauteilen, die aus Kupfer oder Nickel oder ihren Legierungen untereinander oder mit anderen Materialien wie etwa Messing hergestellt sind oder damit überzogen sind, umfasst folgende Schritte: Anordnen der Bauteile in einer Behandlungskammer; Evakuieren der Behandlungskammer; Einleiten von Sauerstoff in die Behandlungskammer; Sicherstellen eines Drucks im Bereich von 10-1 bis 50 mbar in der Behandlungskammer und Anregen eines Plasmas in der Kammer durch einen Hochfrequenzgenerator mit einer Frequenz von mehr als etwa 1 MHz; Einwirken von Sauerstoffradikalen auf die Bauelemente, wobei der Fluss der Radikale auf die Bauteiloberfläche mehr als etwa 1021 Radikale pro Quadratmeter pro Sekunde beträgt; Auspumpen der Kammer; Einleiten von Wasserstoff in die Behandlungskammer; Sicherstellen eines Drucks im Bereich von 10-1 bis 50 mbar in der Behandlungskammer und Anregen eines Plasmas in der Kammer durch einen Hochfrequenzgenerator mit einer Frequenz von mehr als etwa 1 MHz; Einwirken von Wasserstoffradikalen auf die Bauelemente, wobei der Fluss der Radikale auf die Bauteiloberfläche mehr als etwa 1021 Radikale pro Quadratmeter pro Sekunde beträgt

Description

PLASMABEHANDLUNG ZUR REINIGUNG VON KUPFER ODER NICKEL
TECHNISCHES GEBIET
Die vorliegende Erfindung betrifft ein Verfahren zur Behandlung, insbesondere zur Reinigung elektronischer Bauelemente, die aus Kupfer oder Nickel oder ihren Legierungen wie etwa Messing hergestellt sind oder damit überzogen sind, unter Verwendung reaktiver Plasmen.
HINTERGRUND DER ERFINDUNG
Bauelemente, die aus Kupfer oder Nickel oder ihren Legierungen wie etwa Messing hergestellt sind oder damit überzogen sind, sind typischerweise mit einer Schicht von Verunreinigungen bedeckt. Es ist immer zumindest eine native Schicht von Oxid auf der Oberfläche vorhanden. Häufiger sind die Bauelemente auch mit verschiedenen organischen und anorganischen Verunreinigungen verschmutzt. Organische Verunreinigungen sind oft Rückstände von Öl oder Fett, das während der Bearbeitung aufgebracht wurde. Anorganische Verunreinigungen beinhalten Oxide wie auch Chloride und Sulfide. Die Dicke anorganischer Verunreinigungen auf Oberflächen hängt von der Umgebung, in der die Bauelemente gelagert wurden, wie auch von der Temperatur ab. Je höher die Temperatur ist, desto dicker ist die Schicht von anorganischen Verunreinigungen.
Die Schicht von Verunreinigungen auf Bauelementen sollte vor der Weiterverarbeitung, insbesondere dem Drucken, Lackieren, Kleben, Löten oder Schweißen entfernt werden, um eine gute Verarbeitungsqualität zu gewährleisten. STAND DER TECHNIK
Herkömmliche Verfahren der Reinigung von Oberflächen metallischer Bauteile beinhalten mechanische und chemische Behandlungen. Eine mechanische Reinigung wird oft durch Bürsten oder Sandstrahlen durchgeführt, während eine chemische Reinigung durch Eintauchen der Bauelemente in eine Lösung von Chemikalien gefolgt von einem Abspülen mit destilliertem Wasser und anschließendem Trocknen durchgeführt wird.
Keines dieser Verfahren gewährleistet jedoch eine perfekte Reinheit der Bauelemente. Es besteht noch immer eine dünne Schicht an Verunreinigungen an der Oberfläche. Für eine anschließende Hochtemperaturverarbeitung wie Schweißen und Hartlöten ist dies normalerweise günstig, zumindest nicht schädlich. Jedoch liegt auf dem Gebiet der Mikroelektronik die gewünschte Reinheit im allgemeinen jenseits der Grenzen der herkömmlichen Verfahren; denn bei einer Niedertemperaturverarbeitung wie Kleben, Lackieren und Drucken, wie sie bei elektronischen Bauteilen häufig stattfindet, können oberflächliche Restverunreinigungen, die Verarbeitungsqualität beeinflussen. Daher besteht ein Bedarf an einem verbesserten Reinigungsvorgang, um alle Oberflächenverunreinigungen zu entfernen und eine faktisch atomar reine Oberfläche zu erhalten.
Was speziell Kupfer betrifft, so wird dieses Element gegenwärtig als ein Zwischenverbindungsmaterial betrachtet, da Kupfer einen geringen spezifischen Widerstand und eine vergleichsweise hohe Strombelastbarkeit aufweist. Kupfer ist jedoch für eine Oxidation sehr anfällig. Bei Kupferablagerungen wird die Oxidation als ein Nachteil betrachtet, und sie stört die Haftung an der benachbarten Schicht, beeinträchtigt die Leitfähigkeit des Kupferstrukturelements und verringert die Verläßlichkeit des gesamten Schaltkreises. Daher wird ein äußerst wirksames Verfahren zum Reini- gen von Kupferablagerungen in Vorrichtungen mit integrierten Schaltkreisen benötigt.
Neuartige Reinigungsverfahren wurden in einem oder mehreren Schritten der Herstellung von Vorrichtungen mit integrierten Schaltkreisen angewendet. Die neuartigen Verfahren beruhen auf der Anwendung eines ungleichgewichtigen Zustands von Gasen - häufig ein Niederdruckplasma, wie dies beispielsweise in dem Artikel "Plasmaverfahren in der Elektronikfertigung" von J. Messelhäuser, mo, Jahrg. 55 (2001) 8, S. 33 bis 36, beschrieben ist, oder ein Nachglühen, das reich an reaktiven Teilchen ist. Sie wurden zur Entfernung sowohl organischer als auch anorganischer Verunreinigungen, die während der Herstellungsphasen auf Oberflächen auftreten, wie auch zur Reinigung der Herstellungskammer benutzt. Auch in der deutschen Offenlegungsschrift DE 19702124 AI wird ein Verfahren zum Reinigen der Oberflächen von Werkstücken beschrieben. Demgemäß können hierfür verschiedene Gase, alleine oder als zwei- oder mehrkomponenten Gasgemische, zur Erzeugung eines Plasmas eingesetzt werden. Die DE 4034842 C2 beschreibt ein plasmachemisches Reinigungsverfahren mit Sauerstoff und Wasserstoff als aufeinanderfolgende Arbeitsgase und eine anschließende PVD- oder PECVD-Beschichtung von Metallsubstraten. Hierbei erfolgt eine Anregung des Plasmas mit Frequenzen im Mikrowellenbereich, wobei hiermit ein hoher Anteil an Radikalen sowie an Ionen angestrebt wird. Eine weitere Möglichkeit der Vorbehandlung einer Oberfläche wird in der japanische Patentanmeldung JP 62158859 A beschrieben, bei der die Oberfläche zunächst mit Ionen eines Edelgases und dann mit Wasserstoff-Ionen beschossen wird.
Kupferreinigungsverfahren, die eine Plasmareinigung umfassen, wurden in verschiedenen Zusammenhängen beschrieben und patentiert, z.B. als eine Bearbeitung, die während der Herstellung von Vorrichtungen mit integrierten Schaltkreisen als Verfahren zur Vorreinigung angewendet wird (US 6,107,192, TW 411497, FR 2801905), zur Entfernung der Oxidschicht auf Seitenwänden, Verbindungen und Durchgängen (TW 471126, US 2001-049,181, US 6,323,121, US 6,309,957, US 6,204,192, EP-1 041 614, WO 00/29642) oder auf Kupferanschlußstellen (WO 02/073687, US 2002-127,825), oder zur Verbesserung der Kupferverfahrensintegration (US 6,395,642), oder zur Reinigung von Vorrichtungen mit integrierten Halbleiterschaltkreisen, die vergrabene Zwischenverbindungen aufweisen, welche Kupfer in den Hauptleiterschichten aufweisen (US 2002-042,193). Das empfohlene Gas für die Kupferreinigung ist ein Gemisch aus Wasserstoff und Stickstoff, oder Ammoniak. In der Patentschrift TW 471126 wird ein Gemisch aus Argon und Wasserstoff empfohlen. Dieses Gemisch ist auch zur Entfernung fluorhaltiger Ätzrückstände geeignet (TW 472319) .
Die Plasmareinigung wurde auch als ein Verfahren zur Entfernung von abgelagerten Ätznebenprodukten von Oberflächen einer Halbleiterverarbeitungskammer nach einem Kupferätzvorgang patentiert (US 6,352,081, TW 466629, WO 01/04936). Dieses Verfahren umfaßt die Aufbringung eines oxidierenden Plasmas und eines Plasmas, das eine reaktive Fluorart enthält.
KURZDARSTELLUNG DER ERFINDUNG
Die vorliegende Erfindung hat sich zum Ziel gesetzt, ein Verfahren zur Behandlung von elektronischen Bauteilen, die aus Kupfer oder Nickel oder ihren Legierungen untereinander oder mit anderen Materialien wie etwa Messing hergestellt sind oder damit überzogen sind, zu schaffen, durch welches die Oberfläche der betreffenden Bauteile gereinigt und in besonderer Weise für eine anschließende Niedertemperaturverarbeitung mit höchster Qualität vorbereitet werden. Gelöst wird diese Aufgabenstellung durch das in Anspruch 1 angegebene Verfahren. Demnach werden gemäß der vorliegenden Erfindung die Bauteile nacheinander einem Sauerstoffplasma und einem Wasserstoffplasma ausgesetzt, um zunächst organische und anschließend oxidative Verunreinigungen zu beseitigen, wobei während der beiden Plasmabehandlungsschritte spezifische Bedingungen hinsichtlich des Drucks in der Behandlungskammer (10_1 bis 50 mbar), der Art der Anregung des Plasmas in der Kammer (durch einen Hochfrequenzgenerator mit einer Frequenz von mehr als etwa 1 MHz) und der Intensität des Einwirkens von Sauerstoffradikalen auf die Bauelemente (der Fluß der Radikale auf die Bauteiloberflache übersteigt etwa 1021 Radikale pro Quadratmeter) eingehalten werden. Hierdurch wird die Weiterverarbeitung begünstigt, indem insbesondere das anschließende Anhaften von Klebstoff oder Lötmetall auf der Oberfläche verbessert und der Widerstand von Verbindungsstellen verringert wird. Dieses Verfahren ist eine hinsichtlich der Umwelt günstige Alternative zu industriellen Reinigungsvorgängen, die gegenwärtig die nasse chemische Reinigung einsetzen.
Die vorliegende Erfindung stellt ein Verfahren zur Entfernung organischer und anorganischer Verunreinigungen von Oberflächen von elektronischen Bauelementen, die aus Kupfer oder Nickel oder ihren Legierungen wie etwa Messing hergestellt sind oder damit überzogen sind, bereit. Die Bauelemente werden in einer Vakuumkammer angeordnet, die vorzugsweise auf einen Druck von 10 Pa oder weniger evakuiert wird. Die Kammer wird sodann mit einem oxidierenden Gas gefüllt. In der bevorzugten Ausführungsform ist das oxidierende Gas reiner Sauerstoff oder ein Gemisch von Argon oder einem sonstigen Edelgas mit Sauerstoff und ist der gesamte Druck 10 bis 5000 Pa. Gemäß einer alternativen Ausführungsform kann auch die Einbringung von Wasserdampf oder eines Gemisches von Argon oder einem sonstigen Edelgas mit Wasserdampf vorgesehen sein. Argon kann durch jedwedes Edelgas ersetzt werden. Ein Plasma wird durch eine Hochfrequenzentladung angeregt. Sauerstoffradikale, die in der Entladung erzeugt werden, treten in Wechselwirkung mit den organischen Oberflächenverunreinigungen und oxidieren sie zu Wasser und Kohlenstoffoxid, die aus der Oberfläche desorbiert und abgepumpt werden. Nach der oxidierenden Plasmabehandlung wird die Oberfläche frei von organischen Verunreinigungen.
Anorganische Verunreinigungen (hauptsächlich Kupfer- oder Nickeloxide) werden durch Einbringung von Wasserstoff oder eines Gemisches von Argon und Wasserstoff in die Vakuumkammer entfernt. Argon kann durch jedwedes Edelgas ersetzt werden. Ein Plasma wird durch eine Hochfrequenzentladung angeregt. Wasserstoffradikale, die in der Entladung erzeugt werden, treten in Wechselwirkung mit den anorganischen Oberflächenverunreinigungen und reduzieren sie zu Wasser und anderen einfachen Molekülen wie HC1, H2S, HF usw. , die aus der Oberfläche desorbiert und abgepumpt werden. Nach der Wasserstoffplasmabehandlung wird die Oberfläche faktisch frei von jedweden Verunreinigungen.
Ein besonderer Aspekt der vorliegenden Erfindung ist darin zu sehen, daß aufgrund der spezifischen Bedingungen während der Behandlung kein oder nur ein geringer Beschüß der Oberfläche mit hochenergetischen Ionen erfolgt, was sich als besonders günstig erweist.
Bei der Verwendung des erfindungsgemäßen Verfahrens zur Behandlung von elektronischen Bauelementen, die aus Kupfer oder Nickel hergestellt sind oder damit überzogen sind, gibt es eine Anzahl von klaren Vorteilen. Es ermöglicht eine gute Haftung von jedwedem auf der Oberfläche abgelagertem Material einschließlich Klebstoff, Farbe und Niedertemperatur-Lötmetall, es stellt eine gute elektrische Leitfähigkeit durch die Kontaktfläche von Bauelement und Überzug sicher, es ist ökologisch günstig, und seine Be- triebskosten und seine Wartung sind minimal. Die Erfindung berücksichtigt dabei die erkenntnis, daß die Plasmabearbeitung durch die Verringerung des Gehalts an Verunreinigungen an der Oberfläche der Bauelemente die Haftung der benachbarten Schicht erhöht und den elektrischen Widerstand durch die Verbindungsfläche verringert .
Die erfindungsgemäß plasmabehandelte Oberfläche ist passiviert, was zu einer längeren Widerstandsfähigkeit gegenüber einer Luft- oder Wasserkorrosion führt. Daneben ermöglicht eine solche Oberfläche eine sehr gute Haftung eines jedweden auf der Oberfläche abgelagerten Materials einschließlich Klebstoff, Farbe und Lötmetall.
KURZE BESCHREIBUNG DER ZEICHNUNGEN
Figur 1 ist eine schematische Darstellung des Systems, die ein Beispiel eines Systems darstellt, das zur Plasmareinigung von Kupfer oder Nickel bestimmt ist.
Figur 2a ist ein AES (Auger-Elektronenspektroskopie) -Tie- fenprofilschaubild, das eine Konzentration von chemischen Elementen auf der nichtbehandelten Kupferprobenoberfläche als eine Funktion der Sputterzeit darstellt.
Figur 2b ist ein AES-Tiefenprofilschaubild, das eine Konzentration von chemischen Elementen auf der naß chemisch behandelten Kupferprobenoberfläche als eine Funktion der Sputterzeit darstellt.
Figur 2c ist ein AES-Tiefenprofilschaubild, das eine Konzentration von chemischen Elementen auf der sauerstoffplas- mabehandelten Kupferprobenoberfläche als eine Funktion der Sputterzeit darstellt. Figur 2d ist ein AES-Tiefenprofilschaubild, das eine Konzentration von chemischen Elementen auf der Sauerstoff- und wasserstoffplasmabehandelten Kupferprobenoberfläche als eine Funktion der Sputterzeit darstellt.
AUSFÜHRLICHE BESCHREIBUNG VON ÄUSFÜHRUNGSBEISPIELEN DER
ERFINDUNG
Ein Beispiel eines Systemaufbaus zur Plasmabehandlung von Kupfer oder Nickel ist in der schematischen Darstellung von Figur 1 gezeigt. Das System besteht aus einer Entladungskammer 7, einer Vakuumpumpe 1 mit einem Ventil 2, einem Auffanggefäß mit Sieben 3, drei unterschiedlichen Ausströmventilen 8 und drei Gasflaschen 9 - Sauerstoff, Wasserstoff und ein anderes Gas (insbesondere Edelgas) , und ergibt eine wirksame und wirtschaftliche Behandlung. Die Plasmaparameter während des Ätzvorgangs wie die Dosis der Radikale in der Entladungskammer werden durch ein Vakuummeter 4 und zwei oder mehr Sonden wie etwa katalytische Sonden 5 und Langmuir-Sonden 6 kontrolliert. Der Fluß der Radikale wird auf mehr als etwa 1021, vorzugsweise mehr als 1022 oder, noch günstiger, mehr als 1024 Radikale pro Quadratmeter pro Sekunde eingestellt.
Die Geschwindigkeit, mit der die Radikale im gasförmigen Plasma, das ein oxidierendes Gas (vorzugsweise Sauerstoff oder Wasserdampf) enthält, gebildet werden, hängt von der Leistung der Entladungsquelle ab. Die Leistung liegt vorzugsweise zwischen 30 und 1000 W pro Liter des Entladungsvolumens, um die Bildung eines eher homogenen Plasmas in einem Druckbereich zwischen 10 und 5000 Pa sicherzustellen. Das Gas kann ein Gemisch aus Argon und oxidierendem Gas mit einem solchen Verhältnis zwischen den Gasen sein, daß die höchste Konzentration von Sauerstoffradikalen im Plasma gestattet wird. Das Plasma wird durch einen Hochfrequenzgenerator erzeugt, der vorzugsweise induktiv gekoppelt ist. Die Frequenz beträgt dabei mehr als etwa 1 MHz, vorzugsweise mehr als 3 MHz, damit eine Erhitzung von Ionen verhindert wird. Da die Frequenz mit einem Hochfrequenzgenerator erzeugt wird, liegt sie nicht im Mikrowellenbereich. In Verbindung mit der induktiven Koppelung des Hochfrequenzgenerators kann hierdurch zudem verhindert werden, daß Ionen mit einer Energie von mehr als 50 eV auf die Bauteile treffen. Es wird angenommen, daß energetische Ionen ein Sputtern des Materials von der Bauelementenoberfläche verursachen würden, wenn die Frequenz des Plasmagenerators unter 3 MHz liegen würde. Es wird angenommen, daß die Entfernung organischer Verunreinigungen durch Sauerstoffradikale durch eine reine Potentialwechselwirkung der Radikale mit den organischen Oberflächenverunreinigungen verursacht wird. Die Entfernungsgeschwindigkeit bei Raumtemperatur liegt zwischen 10 und 100 nm/Minute. Da eine kennzeichnende Dicke von organischen Verunreinigungen auf Bauelementen in einer Größenordnung von 10 nm liegt, beträgt die Reinigungszeit in einem gasförmigen Plasma, das ein oxidierendes Gas enthält, etwa eine Minute. Die Flußgeschwindigkeit des Gases durch das Vakuumsystem liegt bevorzugt in einem Bereich von etwa 100 bis 10000 sccm pro m2 behandelter Oberfläche, beträgt jedoch besonders bevorzugt, umgerechnet auf Normbedingungen, mehr als 1 Liter pro Minute (1000 sccm) pro m2 behandelter Oberfläche, damit eine rasche Entfernung der Reaktionsprodukte sichergestellt ist. Während der Sauerstoffplasmabehandlung wird auf der Oberfläche von Bauelementen eine Oxidschicht gebildet (Figur 2c) .
Dünne Filme von Oxiden auf Oberflächen von Kupfer oder Nikkei oder ihren Legierungen werden am besten durch Einleitung eines gasförmigen Plasmas, das aus reinem Wasserstoff oder einem Gemisch aus Wasserstoff und einem Edelgas, vorzugsweise Argon, besteht, zu reinen Metallen reduziert. Die Geschwindigkeit, mit der Wasserstoffradikale im gasförmigen Plasma, das Wasserstoff enthält, gebildet werden, hängt von der Leistung der Entladungsquelle ab. Die Leistung liegt vorzugsweise zwischen 30 und 1000 W pro Liter des Entladungsvolumens, um die Bildung eines eher homogenen Plasmas in einem Druckbereich zwischen 10 und 5000 Pa sicherzustellen. Das Gas kann ein Gemisch aus Argon und Wasserstoff mit einem solchen Verhältnis zwischen den Gasen sein, daß die höchste Konzentration von Wasserstoffradikalen im Plasma gestattet wird. Das wasserstoffhaltige Plasma wird vorzugsweise durch den gleichen Generator und im gleichen Vakuumsystem wie das Sauerstoffradikalhaltige Plasma erzeugt. Alternativ können die Wasserstoffradikale jedoch auch durch eine Gleichstrom-Glimmentladung erzeugt werden. Die Proben können durch eine zusätzliche Gleichstromspannung negativ gegen die Wand der Entladungskammer vorgespannt sein. Es wird angenommen, daß die Reduktion der oxidierenden Verunreinigungen durch Wasserstoffradikale durch eine reine Potentialwechselwirkung der Radikale mit den Oberflächenverunreinigungen verursacht wird. Die Reduktionsgeschwindigkeit bei Raumtemperatur beträgt zwischen 1 und 10 nm/Minute. Da eine kennzeichnende Dicke von Oxidschichten auf Bauelementen in einer Größenordnung von 10 nm liegt, beträgt die Reinigungszeit in einem gasförmigen Plasma, das ein oxidierendes Gas enthält, wenige Minuten. Die Flußgeschwindigkeit des Gases durch das Vakuumsystem liegt bevorzugt in einem Bereich von etwa 100 bis 10000 sccm pro m2 behandelter Oberfläche, beträgt jedoch besonders bevorzugt, umgerechnet auf Normbedingungen, mehr als 1 Liter pro Minute pro m2 behandelter Oberfläche, damit eine rasche Entfernung der Reaktionsprodukte sichergestellt ist. Während der Wasserstoffplasmabehandlung wird die Oxidschicht völlig reduziert. Viele andere oxidierende Verunreinigungen einschließlich Chloriden und Sulfiden werden ebenfalls reduziert. Die Wasserstoffplasmabehandlung gewährleistet daher eine faktisch atomar reine Oberfläche (Figur 2d) . Der Reinigungsvorgang beinhaltet daher eine Behandlung mit Sauerstoffradikalen gefolgt von einer Behandlung mit Wasserstoffradikalen. Falls die Menge an organischen Verunreinigungen gering ist, kann eine Behandlung nur mit Wasserstoffradikalen angewendet werden. Es wird angenommen, daß Wasserstoffradikale auch mit organischen Verunreinigungen reagieren, doch ist die Reaktionsgeschwindigkeit geringer als jene von Sauerstof radikalen.
In Figur 2a ist ein Beispiel einer nichtbehandelten Kupferoberfläche gezeigt. Die Oberfläche ist mit verschiedenen Verunreinigungen, die während der mechanischen Behandlung auf der Oberfläche zurückbelassen wurden, verschmutzt. Die Art und die Konzentration der Verunreinigungen in der dünnen Probenoberflächenschicht wurde durch Auger-Elektronenspektroskopie (AES) -Tiefenprofilierung in einer PHI545- Scanning-Auger-Mikrosonde mit einem Basisdruck in der Vakuumkammer von unter 1,3 x 10~7 Pa bestimmt. Ein statischer Primärelektronenstrahl mit einer Energie von 3 keV, einem Strom von 3,5 μA und einem Strahldurchmesser von etwa 40 μm wurde verwendet. Der Einfallswinkel des Elektronenstrahls in bezug auf die Senkrechte zur Oberflächenebene war 47 Grad. Die Proben wurden unter Verwendung von zwei symmetrisch geneigten Ar+-Ionenstrahlen mit der kinetischen Energie von 1 keV gesputtert, wodurch eine Ätzung der Probe gewährleistet wurde. Die Sputterzeit entspricht der Tiefe, d.h., eine Minute entspricht 4 nm. Die atomaren Konzentrationen wurden aus den Auger-Spitze-zu-Spitze-Höhen durch Anwenden der relativen elementaren Empfindlichkeitsfaktoren SCu = 0,22, Sc = 0,18, S0 = 0,50, Ss = 0,80 und SCι = 1,05 als eine Funktion der Sputterzeit quantifiziert.
Das Tiefenprofil der Probe nach der nassen chemischen Reinigung ist in Figur 2b gezeigt. Die Proben wurden mit Tet- rachlorethylen gereinigt und dann sorgfältig mit destilliertem Wasser abgespült. Es ist bemerkbar, daß die Dicke eines Kohlenstoffilms verringert wurde, aber nach wie vor etwas Kohlenstoff in der oberen dünnen Oberflächenschicht weiterbesteht. Die Dicke des Verunreinigungsfilms wurde von nichtgereinigten Proben durchschnittlich um mehr als einen Faktor von Drei verringert.
Das AES-Tiefenprofil einer Probe, die einem Sauerstoffplasma mit etwa 7 x 1024 Radikalen pro Quadratmeter ausgesetzt war, ist in Figur 2c gezeigt. Die Probe ist, mit Ausnahme an der äußersten Oberfläche und vermutlich aufgrund einer sekundären Verunreinigung, beinahe frei von einem Kohlen- stoffilm (organischen Verunreinigungen) . Ein Oxidfilm ist an der Oberfläche gebildet. Reaktive Teilchen des Sauerstoffplasmas reagierten offensichtlich mit der Schicht von organischen Verunreinigungen und entfernten diese völlig. Während einer eher kurzen Einwirkung durch das Sauerstoffplasma wurde jedoch eine unerwünschte Oxidschicht gebildet.
Die Probe, die zuerst dem Sauerstoffplasma ausgesetzt wurde, wurde dann einem Wasserstoffplasma mit etwa 2 x 1025 Radikalen pro Quadratmeter ausgesetzt. Das AES-Tiefenprofil nach der Behandlung ist in Figur 2d gezeigt. Es gibt, mit Ausnahme einer äußerst geringen Konzentration von Sauerstoff, Kohlenstoff und Schwefel und vermutlich aufgrund einer sekundären Verunreinigung nach einer Einwirkung von Luft vor der AES-Analyse, beinahe keine Verunreinigung auf der Oberfläche.
Die Messungen des elektrischen Widerstands wurden an Serien von zehn Proben durchgeführt und der durchschnittliche Widerstand der durch verschiedene Verfahren gereinigten Kupferteile wurde gemessen. Der Widerstand der Kupferbauelementproben, die mit dem nassen chemischen Vorgang gereinigt wurden, sank um etwa 16 %. Der Widerstand der Kupferproben, die mit einer Kombination aus Sauerstoff- und Wasserstoffplasma gereinigt wurden, war jedoch noch besser, da der Widerstand um etwa 28 % sank. Das wirksamste Verfahren zur Reinigung einer Kupferoberfläche ist eine kombinierte Sau- erstoff-Wasserstoff-Plasmabehandlung, die zu einer faktisch verunreinigungsfreien Oberfläche ohne Oberflächenverunreinigungsfilm führt und zu einer doppelt so guten Verbesserung der elektrischen Leitfähigkeit führt. Dies ist durch AES-Tiefenprofilierung (Figur 2a, Figur 2b, Figur 2c, Figur 2d) und Messungen des elektrischen Widerstands bestätigt.

Claims

PATENTANSPRÜCHE
1. Verfahren zur Behandlung von elektronischen Bauteilen, die aus Kupfer oder Nickel oder ihren Legierungen untereinander oder mit anderen Materialien wie etwa Messing hergestellt sind oder damit überzogen sind, das folgende Schritte umfaßt:
Anordnen der Bauteile in einer Behandlungskammer;
Evakuieren der Behandlungskammer;
Einleiten von Sauerstoff in die Behandlungskammer;
Sicherstellen eines Drucks im Bereich von 10"1 bis
50 mbar in der Behandlungskammer und Anregen eines
Plasmas in der Kammer durch einen
Hochfrequenzgenerator mit einer Frequenz von mehr als etwa 1 MHz;
Einwirken von Sauerstoffradikalen auf die Bauelemente, wobei der Fluß der Radikale auf die Bauteiloberflache mehr als etwa 1021 Radikale pro Quadratmeter pro
Sekunde beträgt;
Auspumpen der Kammer;
Einleiten von Wasserstoff in die Behandlungskammer;
Sicherstellen eines Drucks im Bereich von 10"1 bis
50 mbar in der Behandlungskammer und Anregen eines
Plasmas in der Kammer durch einen
Hochfrequenzgenerator mit einer Frequenz von mehr als etwa 1 MHz;
Einwirken von Wasserstoffradikalen auf die
Bauelemente, wobei der Fluß der Radikale auf die
Bauteiloberflache mehr als etwa 1021 Radikale pro
Quadratmeter pro Sekunde beträgt.
2. Verfahren nach Anspruch 1, wobei Sauerstoff durch ein Gemisch aus einem Edelgas und Sauerstoff ersetzt ist.
3. Verfahren nach Anspruch 1, wobei Sauerstoff durch ein Gemisch aus einem Edelgas und Wasserdampf ersetzt ist.
4. Verfahren nach Anspruch 1, wobei Wasserstoff durch ein Gemisch aus einem Edelgas und Wasserstoff ersetzt ist.
5. Verfahren nach Anspruch 1, wobei das Plasma durch Bereitstellen einer Leistungsdichte von etwa 30 bis etwa 1000 W pro Liter des Entladungsvolumens angeregt wird.
6. Verfahren nach Anspruch 1, wobei die Gase während der Plasmabehandlungsschritte mit einer Geschwindigkeit von etwa 100 bis etwa 10000 sccm pro m2 behandelter Oberfläche durch die Kammer hindurchgeleitet werden.
7. Verfahren nach Anspruch 1, wobei der Hochfrequenzgenerator induktiv gekoppelt ist.
8. Verfahren nach Anspruch 1, wobei die Bauelemente durch eine zusätzliche Gleichstromenergieversorgung negativ vorgespannt werden.
9. Verfahren nach Anspruch 1, wobei Wasserstoffradikale in einer Gleichstrom-Glimmentladung erzeugt werden.
10. Behandlung von elektronischen Bauteilen, die aus Kupfer oder Nickel oder ihren Legierungen untereinander oder mit anderen Materialien wie etwa Messing hergestellt sind oder damit überzogen sind, umfassend zunächst eine Behandlung nach Anspruch 1 und anschließendes Kleben, Löten oder Schweißen eines anderen Materials an die so behandelte Oberfläche des elektronischen Bauteils.
PCT/EP2004/004904 2003-05-08 2004-05-07 Plasmabehandlung zur reinigung von kupfer oder nickel WO2004098259A2 (de)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP04739149A EP1620581B1 (de) 2003-05-08 2004-05-07 Plasmabehandlung zur reinigung von kupfer oder nickel
JP2006505401A JP2006525426A (ja) 2003-05-08 2004-05-07 銅またはニッケルを洗浄するためのプラズマ処理
MXPA05011822A MXPA05011822A (es) 2003-05-08 2004-05-07 Tratamiento con plasma para limpieza de cobre o niquel.
DE502004003406T DE502004003406D1 (de) 2003-05-08 2004-05-07 Plasmabehandlung zur reinigung von kupfer oder nickel
US11/270,256 US20060054184A1 (en) 2003-05-08 2005-11-08 Plasma treatment for purifying copper or nickel

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10320472.5 2003-05-08
DE10320472A DE10320472A1 (de) 2003-05-08 2003-05-08 Plasmabehandlung zur Reinigung von Kupfer oder Nickel

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/270,256 Continuation US20060054184A1 (en) 2003-05-08 2005-11-08 Plasma treatment for purifying copper or nickel

Publications (2)

Publication Number Publication Date
WO2004098259A2 true WO2004098259A2 (de) 2004-11-18
WO2004098259A3 WO2004098259A3 (de) 2005-02-24

Family

ID=33394282

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2004/004904 WO2004098259A2 (de) 2003-05-08 2004-05-07 Plasmabehandlung zur reinigung von kupfer oder nickel

Country Status (9)

Country Link
US (1) US20060054184A1 (de)
EP (1) EP1620581B1 (de)
JP (1) JP2006525426A (de)
KR (1) KR20050121273A (de)
CN (1) CN100393914C (de)
AT (1) ATE358735T1 (de)
DE (2) DE10320472A1 (de)
MX (1) MXPA05011822A (de)
WO (1) WO2004098259A2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004058452A1 (de) * 2004-12-03 2006-06-08 Vacuumschmelze Gmbh & Co. Kg Stromerfassungseinrichtung und Verfahren zum Herstellen einer solchen Stromerfassungseinrichtung
WO2012099548A1 (en) 2011-01-20 2012-07-26 Institut ''jožef Stefan'' Device for high-frequency gas plasma excitation

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8272122B2 (en) * 2004-06-09 2012-09-25 Mill Masters, Inc. Tube mill with in-line braze coating process
SK51082006A3 (sk) * 2006-12-05 2008-07-07 Fakulta Matematiky, Fyziky A Informatiky Univerzitfakulta Matematiky, Fyziky A Informatiky Univerzity Komensk�Hoy Komensk�Ho Zariadenie a spôsob úpravy povrchov kovov a metaloZariadenie a spôsob úpravy povrchov kovov a metaloidov, oxidov kovov a oxidov metaloidov a nitridovidov, oxidov kovov a oxidov metaloidov a nitridovkovov a nitridov metaloidovkovov a nitridov metaloidov
DE102008002079A1 (de) * 2008-02-20 2009-08-27 Baumüller Nürnberg GmbH Entfernen von Oxidschichten von einer Metalloberfläche insbesondere beim Abisolieren von lackisolierten Kupferdrähten
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2014099246A (ja) * 2011-03-01 2014-05-29 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9114438B2 (en) * 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114664656A (zh) 2020-05-22 2022-06-24 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
DE4228551A1 (de) * 1992-08-27 1994-03-03 Linde Ag Verfahren zur reinigenden Behandlung von Oberflächen mit einem Niederdruckplasma
DE4414263A1 (de) * 1994-04-23 1995-10-26 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur plasmachemischen Reinigung von Substraten
DE19644153A1 (de) * 1996-10-24 1998-04-30 Roland Dr Gesche Mehrstufiges Verfahren zum Plasmareinigen
DE19717698A1 (de) * 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
US5882423A (en) * 1994-02-03 1999-03-16 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
DE19903243A1 (de) * 1999-01-28 2000-08-03 Linde Tech Gase Gmbh Kombinierte Reinigung und Niederdruckplasmabehandlung
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20030062333A1 (en) * 2001-09-28 2003-04-03 Applied Materials, Inc. Method and apparatus for cleaning substrates

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5669382A (en) * 1979-11-08 1981-06-10 Toshiba Corp Surface treatment by plasma
JPS62158859A (ja) * 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
GB9600210D0 (en) * 1996-01-05 1996-03-06 Vanderstraeten E Bvba Improved sputtering targets and method for the preparation thereof
US6033582A (en) * 1996-01-22 2000-03-07 Etex Corporation Surface modification of medical implants
JPH09307219A (ja) * 1996-05-14 1997-11-28 Tamura Seisakusho Co Ltd はんだ付け用処理方法
US6243112B1 (en) * 1996-07-01 2001-06-05 Xerox Corporation High density remote plasma deposited fluoropolymer films
DE19702124A1 (de) * 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
JP2000040881A (ja) * 1998-07-23 2000-02-08 Matsushita Electric Ind Co Ltd 多層基板のスミア除去装置およびスミア除去方法
JP4239310B2 (ja) * 1998-09-01 2009-03-18 ソニー株式会社 半導体装置の製造方法
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
EP1073091A3 (de) * 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Elektrode für Plasmaerzeugung, Anwendung dieser Elektrode in einem Plasmabehandlungsgerät, und Plasmabehandlung mittels dieses Gerätes
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6309957B1 (en) * 2000-04-03 2001-10-30 Taiwan Semiconductor Maufacturing Company Method of low-K/copper dual damascene
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6693020B2 (en) * 2001-03-12 2004-02-17 Motorola, Inc. Method of preparing copper metallization die for wirebonding
TWI243404B (en) * 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US6579730B2 (en) * 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6886573B2 (en) * 2002-09-06 2005-05-03 Air Products And Chemicals, Inc. Plasma cleaning gas with lower global warming potential than SF6

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
DE4228551A1 (de) * 1992-08-27 1994-03-03 Linde Ag Verfahren zur reinigenden Behandlung von Oberflächen mit einem Niederdruckplasma
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
US5882423A (en) * 1994-02-03 1999-03-16 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages
DE4414263A1 (de) * 1994-04-23 1995-10-26 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur plasmachemischen Reinigung von Substraten
DE19644153A1 (de) * 1996-10-24 1998-04-30 Roland Dr Gesche Mehrstufiges Verfahren zum Plasmareinigen
DE19717698A1 (de) * 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
DE19903243A1 (de) * 1999-01-28 2000-08-03 Linde Tech Gase Gmbh Kombinierte Reinigung und Niederdruckplasmabehandlung
US20030062333A1 (en) * 2001-09-28 2003-04-03 Applied Materials, Inc. Method and apparatus for cleaning substrates

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004058452A1 (de) * 2004-12-03 2006-06-08 Vacuumschmelze Gmbh & Co. Kg Stromerfassungseinrichtung und Verfahren zum Herstellen einer solchen Stromerfassungseinrichtung
US7692526B2 (en) 2004-12-03 2010-04-06 Vacuumschmelze Gmbh & Co. Kg Inductive component and method for the manufacture of such a component
WO2012099548A1 (en) 2011-01-20 2012-07-26 Institut ''jožef Stefan'' Device for high-frequency gas plasma excitation
DE112012000015T5 (de) 2011-01-20 2013-05-08 Institut "Jožef Stefan" Vorrichtung für die Anregung eines Hochfrequenz-Gasplasmas
DE112012000015B4 (de) * 2011-01-20 2016-04-21 Institut "Jožef Stefan" Vorrichtung für die Anregung eines Hochfrequenz-Gasplasmas

Also Published As

Publication number Publication date
EP1620581B1 (de) 2007-04-04
ATE358735T1 (de) 2007-04-15
EP1620581A2 (de) 2006-02-01
DE10320472A1 (de) 2004-12-02
JP2006525426A (ja) 2006-11-09
DE502004003406D1 (de) 2007-05-16
MXPA05011822A (es) 2006-02-17
WO2004098259A3 (de) 2005-02-24
KR20050121273A (ko) 2005-12-26
US20060054184A1 (en) 2006-03-16
CN100393914C (zh) 2008-06-11
CN1777702A (zh) 2006-05-24

Similar Documents

Publication Publication Date Title
EP1620581B1 (de) Plasmabehandlung zur reinigung von kupfer oder nickel
DE68928402T2 (de) Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
DE69033663T2 (de) Verfahren zur Behandlung eines Aluminium enthaltenden Musters
DE3872859T2 (de) Verfahren zur metallisierung eines kieselsaeure-, quartz-, glas- oder saphirsubstrates und so erhaltenes substrat.
DE2922791A1 (de) Verfahren zum trockenaetzen von aluminium und aluminiumlegierungen
DE2933850C2 (de) Plasma-Ätzvorrichtung
DE4201661A1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE3427599A1 (de) Bauelement-herstellungsverfahren
DE202007019709U1 (de) Vorrichtung zur Oberflächenbehandlung von Metallen und Halbmetallen, Metalloxiden und Halbmetalloxiden, und Metallnitriden und Halbmetallnitriden
DE112006002987T5 (de) Aluminiumlegierungselement mit hervorragender Korrosionsbeständigkeit
DE112009005052T9 (de) Verfahren und Vorrichtung zum Schutz von Plasmakammerflächen
DE69837112T2 (de) Verfahren und zusammensetzung zur entfernung von photoresist in der halbleiterfertigung
DE2647088B2 (de) Verfahren und Vorrichtung zum Reinigen von Oberflächen
DE3925070C2 (de) Verfahren zum Erhalt einer sauberen Siliziumoberfläche
DE19751784A1 (de) Verfahren zum Herstellen einer Barriereschicht
DE60038539T2 (de) Plasmabehandlung zur entfernung von polymeren nach dem ätzen eines kontaktloches
DE19717698A1 (de) Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
DE10223702A1 (de) Ultraschall-Reinigungsverfahren für Halbleiter-Herstellungseinrichtungen
DE4113523A1 (de) Verfahren zur behandlung von oberflaechen
DE10227867A1 (de) Zusammensetzung zum Entfernen von Sidewall-Residues
DE3030814C2 (de) Verfahren zum Plasmaätzen eines Werkstücks
DE102014211386B3 (de) Verfahren zur Beschichtung eines Substrats
AT504466B1 (de) Verfahren und vorrichtung zur entfettung von gegenständen oder materialien mittels oxidativer radikale
DE10017233B4 (de) Verfahren zum Deponieren einer Schicht und zur Herstellung eines akustischen Wellengerätes
DE2449731A1 (de) Aetzverfahren

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004739149

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2004810539X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: PA/a/2005/011822

Country of ref document: MX

Ref document number: 1020057020909

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006505401

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 11270256

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020057020909

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004739149

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 11270256

Country of ref document: US

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWG Wipo information: grant in national office

Ref document number: 2004739149

Country of ref document: EP