WO2004030052A2 - Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces - Google Patents

Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces Download PDF

Info

Publication number
WO2004030052A2
WO2004030052A2 PCT/US2003/031136 US0331136W WO2004030052A2 WO 2004030052 A2 WO2004030052 A2 WO 2004030052A2 US 0331136 W US0331136 W US 0331136W WO 2004030052 A2 WO2004030052 A2 WO 2004030052A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
fluid
wafer
proximity
head
Prior art date
Application number
PCT/US2003/031136
Other languages
French (fr)
Other versions
WO2004030052A3 (en
Inventor
John M. De Larios
James P. Garcia
Carl Woods
Mike Ravkin
Fritz Redeker
John Boyd
Afshin Nickhou
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/261,839 external-priority patent/US7234477B2/en
Priority claimed from US10/611,140 external-priority patent/US7264007B2/en
Priority to KR1020107013940A priority Critical patent/KR101060542B1/en
Priority to DE60314508T priority patent/DE60314508T2/en
Priority to IL16155003A priority patent/IL161550A0/en
Priority to AU2003277212A priority patent/AU2003277212A1/en
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to JP2004540334A priority patent/JP4559226B2/en
Priority to EP03798815A priority patent/EP1500128B1/en
Priority to KR1020047006142A priority patent/KR101055997B1/en
Publication of WO2004030052A2 publication Critical patent/WO2004030052A2/en
Priority to IL16155004A priority patent/IL161550A/en
Publication of WO2004030052A3 publication Critical patent/WO2004030052A3/en
Priority to IL190454A priority patent/IL190454A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Definitions

  • the present invention relates to semiconductor wafer cleaning and drying and, more particularly, to apparatuses and techniques for more efficiently removing fluids from wafer surfaces while reducing contamination and decreasing wafer cleaning cost.
  • the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable, h order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted residues.
  • the wafer After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IP A, or Marangoni drying.
  • FIG 1A illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process. i this drying process, a wet wafer is rotated at a high rate by rotation 14.
  • SRD spin rinse drying
  • the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16.
  • a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses.
  • the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (i.e., as a result of molecular hydrogen bonding).
  • acoustic energy is a highly advanced, non-contact, cleaning technology for removing small-particles from substrates such as semiconductor wafers in various states of fabrication, flat panel displays, micro-electro-mechanical systems (MEMS), micro-opto-electro-mechanical systems (MOEMS), and the like.
  • the cleaning process typically involves the propagation of acoustic energy through a liquid medium to remove particles from, and clean, a surface of a substrate.
  • the megasonic energy is typically propagated in a frequency range of between about 600 kHz (0.6 Megahertz (MHz)) to about 1.5 MHz, inclusive.
  • the typical liquid medium that can be utilized is deionized water or any one or more of several substrate cleaning chemicals and combinations thereof such as a dilute ammonium hydroxide/hydrogen peroxide solution in DI water.
  • the propagation of acoustic energy through a liquid medium achieves non-contact substrate cleaning chiefly through the formation and collapse of bubbles from dissolved gases in the liquid medium, herein referred to as cavitation, microstreaming, and chemical reaction enhancement when chemicals are used as the liquid medium through improved mass transport, optimizing the zeta potential to favor particle entrainment in the liquid medium and inhibiting re-deposition, or providing activation energy to facilitate the chemical reactions.
  • Figure IB is a diagram of a typical batch substrate cleaning system 10.
  • Figure 1C is a top view of the batch substrate cleaning system 10.
  • a tank 11 is filled with a cleaning solution 16 such as deionized water or other substrate cleaning chemicals.
  • One or more transducers 18 A, 18B, 18C generate the emitted acoustic energy 15 that is propagated through the cleaning solution 16.
  • the relative location and distance between the substrates 14 and the transducers 18 A, 18B and 18C are typically approximately constant from one batch of substrates 14 to another through use of locating fixtures 19A, 19B that contact and locate the carrier 12.
  • a batch substrate cleaning process typically requires lengthy processing times, and also can consume excessive volumes of cleaning chemicals 16. Additionally, consistency and substrate-to-substrate control are difficult to achieve.
  • Figure ID is a prior art, schematic 30 of an RF supply to supply one or more of the transducers 18A, 18B, 18C.
  • An adjustable voltage controlled oscillator (NCO) 32 outputs a signal 33, at a selected frequency, to an RF generator 34.
  • the RF generator 34 amplifies the signal 33 to produce a signal 35 with an increased power.
  • the signal 35 is output to the transducer 18B.
  • a power sensor 36 monitors the signal 35.
  • the transducer 18B outputs emitted energy 15.
  • the typical megasonic system has the problem of slow chemical exchange and a large effective reactor chamber volume. This can lead to contaminants being left in a megasonic reaction chamber to be redeposited on the wafer. Consequently, this can lead to inefficient cleaning and lowered wafer processing yields.
  • hot- spots or cold spots in the batch cleaning system can be generated by constructive or destructive interference of the acoustic wave due to reflections from the substrates and tank walls. These hot or cold spots can either damage sensitive structures present on the substrate, or cause inefficient or non-uniform cleaning.
  • the present invention fills these needs by providing a cleaning and drying apparatus that is capable of removing fluids from wafer surfaces quickly while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • a substrate preparation system which includes a head having a head surface where the head surface is proximate to a surface of the substrate when in operation.
  • the system also includes a first conduit for delivering a first fluid to the surface of the substrate through the head, and a second conduit for delivering a second fluid to the surface of the substrate through the head, where the second fluid is different than the first fluid.
  • the system also includes a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate where the first conduit, the second conduit and the third conduit act substantially simultaneously when in operation.
  • a method for processing substrate includes applying a first fluid onto a surface of a substrate, and applying a second fluid onto the surface of the substrate where the second fluid is applied in close proximity to the application of the first fluid.
  • the method also includes removing the first fluid and the second fluid from the surface of the substrate where the removing is processed just as the first fluid and the second fluid are applied to the surface of the substrate. The applying and the removing forms a controlled meniscus.
  • a substrate preparation apparatus to be used in substrate processing operations.
  • the apparatus includes a proximity head being configured to move toward a substrate surface.
  • the proximity head includes at least one of a first source inlet where the first source inlet applies a first fluid towards the substrate surface when the proximity head is in a position that is close to the substrate surface.
  • the apparatus also includes at least one of a second source inlet where the second source inlet is configured to apply a second fluid towards the substrate surface when the proximity head is in the position that is close to the substrate surface.
  • the apparatus further includes at least one of a source outlet where the source outlet is configured to apply a vacuum pressure to remove the first fluid and the second fluid from the substrate surface when the proximity head is in the position that is close to the substrate surface.
  • a wafer cleaner and dryer to be used in wafer manufacturing operations which includes a proximity head carrier assembly that travels in a linear movement along a radius of a wafer.
  • the proximity head carrier assembly includes a first proximity head capable of being disposed over a wafer and a second proximity head capable of being disposed under the wafer.
  • the proximity head carrier assembly also includes an upper arm connected with the first proximity head where the upper arm is configured so the first proximity head is movable into close proximity over the wafer to initiate one of a wafer cleaning and a wafer drying.
  • the proximity head carrier assembly also includes a lower arm connected with the second proximity head where the lower arm is configured so the second proximity head is movable into close proximity under the wafer to initiate one of the wafer cleaning and the wafer drying.
  • a method for cleaning and drying a semiconductor wafer provides a proximity head which includes at least one of a first source inlet, at least one of a second source inlet, and at least one of a source outlet.
  • the method also includes moving the proximity head toward a wafer surface, and generating a first pressure on a fluid film present on the wafer surface when the proximity head is in a first position that is close to the wafer surface.
  • the method further includes generating a second pressure on the fluid film present on the wafer surface when the proximity head is in a first position that is close to the wafer surface, and introducing a third pressure on the fluid film present on the wafer surface when the proximity head is in the first position.
  • the method also includes generating a pressure difference wherein the first pressure and the second pressure is greater than the third pressure, and the pressure difference causes the removal of the fluid film from the wafer surface.
  • a substrate preparation apparatus to be used in substrate processing operations.
  • the apparatus includes a proximity head carrier assembly configured to travel in a linear movement along a radius of a substrate.
  • the proximity head carrier assembly includes a first proximity head being disposed over a substrate and a second proximity head being disposed under the substrate.
  • the assembly also includes an upper arm connected with the first proximity head where the upper arm is configured so the first proximity head is movable into close proximity over the substrate to initiate substrate preparation.
  • the assembly further includes a lower arm connected with the second proximity head where the lower arm is configured so the second proximity head is movable into close proximity under the substrate to initiate substrate preparation.
  • a method for processing a substrate includes generating a fluid meniscus on a surface of the substrate and applying acoustic energy to the fluid meniscus. The method also includes moving the fluid meniscus over the surface the substrate to process the surface of the substrate.
  • a head used in a substrate preparation apparatus includes at least one of a first source inlet for delivering a first fluid to the surface of the substrate through the head, and at least one of a second source inlet for delivering a second fluid to the surface of the substrate through the head, the second fluid being different than the first fluid.
  • the head also includes at least one of a source outlet for removing each of the first fluid and the second fluid from the surface of the substrate where at least a portion of the at least one of the source outlet is located in between the at least one of the first source inlet and the at least one of the second source inlet.
  • the at least one of the first source inlet, the at least one of the second source inlet, and the at least one of the source outlet act substantially simultaneously when in operation.
  • the head also includes a transducer being capable of applying acoustic energy to the first fluid.
  • the at least one of the second source inlet surrounds at least a trailing edge side of the at least one of the source outlet.
  • a manifold for use in preparing a wafer surface.
  • the manifold includes a cleaning region in a first portion of the manifold being configured generate a first fluid meniscus on the wafer surface.
  • the manifold also includes a transducer being defined within the cleaning region capable of applying acoustic energy to the first fluid meniscus.
  • the head also includes a drying region in a second portion of the manifold being configured to generate a second fluid memscus on the wafer surface.
  • the advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein can effectively and efficiently clean a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer cleaning with lower levels of contamination.
  • the present invention enables the improved cleaning through the use of vacuum fluid removal in conjunction with fluid input and megasonic application.
  • the pressures generated on a wafer surface by the aforementioned forces enable optimal removal of contaminants at the wafer surface with a significant reduction in the redepositing of contamination as compared with other cleaning techniques.
  • the present invention may utilize application of an isopropyl alcohol (IP A) vapor and cleaning chemistries towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time.
  • IP A isopropyl alcohol
  • This enables both the generation and intelligent control of a meniscus and the reduction of water surface tension along a cleaning chemistry interface and therefore enables optimal removal of fluids from the wafer surface without leaving contaminants.
  • megasonic waves may be applied to the memscus to provide megasonic based wafer cleaning without the problems of typical megasonic applications.
  • the cleaning meniscus generated by input of IP A, cleaning chemistries and output of fluids maybe moved along the surface of the wafer to clean the wafer.
  • the apparatus and methods described herein may both clean and dry the wafer while at the same time reducing contamination left on the wafer surfaces as compared with prior art cleaning and drying systems. Therefore, the present invention cleans wafer surfaces with extreme effectiveness while substantially reducing contaminant formation.
  • Additional advantages include efficiencies in drying and cleaning a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer drying with lower levels of contamination.
  • the present invention enables the improved drying and cleaning through the use of vacuum fluid removal in conjunction with fluid input. The pressures generated on a fluid film at the wafer surface by the aforementioned forces enable optimal removal of fluid at the wafer surface with a significant reduction in remaining contamination as compared with other cleaning and drying techniques.
  • the present invention may utilize application of an isopropyl alcohol (IP A) vapor and deionized water towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time.
  • IP A isopropyl alcohol
  • the memscus generated by input of IP A, DIW and output of fluids may be moved along the surface of the wafer to clean and dry the wafer. Therefore, the present invention evacuates fluid from wafer surfaces with extreme effectiveness while substantially reducing contaminant formation due to ineffective drying such as for example, spin drying.
  • Figure 1 A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
  • Figure IB is a diagram of a typical batch substrate cleaning system.
  • Figure 1C is a top view of the batch substrate cleaning system.
  • Figure ID is a prior art, schematic of an RF supply to supply one or more of the transducers.
  • Figure 2A shows a wafer cleaning and drying system in accordance with one embodiment of the present invention.
  • Figure 2B shows an alternate view of the wafer cleaning and drying system in accordance with one embodiment of present invention.
  • Figure 2C illustrates a side close-up view of the wafer cleaning and drying system holding a wafer in accordance with one embodiment of the present invention.
  • Figure 2D shows another side close-up view of the wafer cleaning and drying system in accordance with one embodiment of the present invention.
  • Figure 3 A shows a top view illustrating the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention.
  • Figure 3B illustrates a side view of the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention.
  • Figure 4A shows a top view of a wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention.
  • Figure 4B shows a side view of the wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention.
  • Figure 5 A shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
  • Figure 5B shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which extends across a diameter of the wafer in accordance with one embodiment of the present invention.
  • Figure 5C shows a top view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and or dry the wafer that is stationary in accordance with one embodiment of the present invention.
  • Figure 5D shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
  • Figure 5E shows a side view of a wafer cleaning and drying system with the proximity heads in a vertical configuration enabled to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
  • Figure 5F shows an alternate side view of a wafer cleaning and drying system that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention.
  • Figure 5G shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention.
  • Figure 5H shows a side view of a wafer cleaning and drying system with the proximity heads and in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention.
  • Figure 6A shows a proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
  • Figure 6B shows another proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
  • Figure 6C shows a further proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
  • Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head in accordance with one embodiment of the present invention.
  • Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head in accordance with one embodiment of the present invention.
  • Figure 6F shows another source inlet and outlet orientation where an additional source outlet may be utilized to input an additional fluid in accordance with one embodiment of the present invention.
  • Figure 7A illustrates a proximity head performing a drying operation in accordance with one embodiment of the present invention.
  • Figure 7B shows a top view of a portion of a proximity head in accordance with one embodiment of the present invention.
  • Figure 7C illustrates a proximity head with angled source inlets performing a drying operation in accordance with one embodiment of the present invention.
  • Figure 7D illustrates a proximity head with angled source inlets and angled source outlets performing a drying operation in accordance with one embodiment of the present invention.
  • Figure 8 A illustrates a side view of the proximity heads for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • Figure 8B shows the proximity heads in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • Figure 9A shows a top view of a proximity head with a circular shape in accordance with one embodiment of the present invention.
  • Figure 9B shows a side view of the proximity head with a circular shape in accordance with one embodiment of the present invention.
  • Figure 9C illustrates a bottom view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention.
  • Figure 10A shows a proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • Figure 10B shows a top view of the proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • Figure 10C shows a side view of the proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • Figure 11A shows a top view of a proximity head with a rectangular shape in accordance with one embodiment of the present invention.
  • Figure 1 IB shows a side view of the proximity head with a rectangular shape in accordance with one embodiment of the present invention.
  • Figure 11C illustrates a bottom portion of the proximity head in a rectangular shape in accordance with one embodiment of the present invention.
  • Figure 12A shows a proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • Figure 12B shows a rear view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • Figure 12C shows a top view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • Figure 13A illustrates a top view of a proximity head with a circular shape similar to the proximity head shown in Figure 9A in accordance with one embodiment of the present invention.
  • Figure 13B shows the proximity head from a bottom view in accordance with one embodiment of the present invention.
  • Figure 13C illustrates the proximity head from a side view in accordance with one embodiment of the present invention.
  • Figure 14A shows a proximity head similar in shape to the proximity head shown in Figure 12A in accordance with one embodiment of the present invention.
  • Figure 14B illustrates a top view of the proximity head where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention.
  • Figure 14C shows a side view of a square end of the proximity head in accordance with one embodiment of the present invention.
  • Figure 15 A shows a bottom view of a 25 holes proximity head in accordance with one embodiment of the present invention.
  • Figure 15B shows a top view of the 25 holes proximity head in accordance with one embodiment of the present invention.
  • Figure 15C shows a side view of the 25 holes proximity head in accordance with one embodiment of the present invention.
  • Figure 16A illustrates a side view of the proximity heads for use in a wafer surface megasonic cleaning system in accordance with one embodiment of the present invention.
  • Figure 16B illustrates a side view of the proximity heads for use in a dual wafer surface megasonic cleaning system in accordance with one embodiment of the present invention.
  • Figure 17 illustrates a side view of a proximity head where a megasonic transducer is located between a source outlet and a source inlet in accordance with one embodiment of the present invention.
  • Figure 18 illustrates a side view of a proximity head with the configuration as discussed in reference to Figure 7A with a megasonic transducer is located between a source outlet and a source inlet on a leading edge side in accordance with one embodiment of the present invention.
  • Figure 19A shows a side view of proximity heads with a combination of a clean/megasonic region and a drying region in accordance with one embodiment of the present invention.
  • Figure 19B shows a side view of proximity heads with dual megasonic transducers in the clean/megasonic region in accordance with one embodiment of the present invention.
  • Figure 20 shows an exemplary process window with the plurality of source inlets and as well as the plurality of source outlets in accordance with one embodiment of the present invention.
  • Figure 21 shows a top view of a proximity head with a substantially rectangular shape in accordance with one embodiment of the present invention.
  • FIGS 2A through 2D below illustrate embodiments of an exemplary wafer processing system. It should be appreciated that the system is exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized.
  • the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a circular motion, in a spiral motion, in a zig-zag motion, etc.
  • the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments may be utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer.
  • the proximity head and the wafer cleaning and drying system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc.
  • the wafer cleaning and drying system may be utilized for either or both cleaning and drying the wafer depending on the configuration of the system.
  • Figure 2A shows a wafer cleaning and drying system 100 in accordance with one embodiment of the present invention.
  • the system 100 includes rollers 102a, 102b, and 102c which may hold and rotate a wafer to enable wafer surfaces to be dried.
  • the system 100 also includes proximity heads 106a and 106b that, in one embodiment, are attached to an upper arm 104a and to a lower arm 104b respectively.
  • the upper arm 104a and the lower arm 104b are part of a proximity head carrier assembly 104 which enables substantially linear movement of the proximity heads 106a and 106b along a radius of the wafer.
  • the proximity head carrier assembly 104 is configured to hold the proximity head 106a above the wafer and the proximity head 106b below the wafer in close proximity to the wafer. This may be accomplished by having the upper arm 104a and the lower arm 104b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106a and 106b can be moved vertically to a position in close proximity to the wafer.
  • the upper arm 104a and the lower arm 104b may be configured in any suitable way so the proximity heads 106a and 106b can be moved to enable wafer processing as described herein.
  • system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus as discussed be ⁇ ow in reference to Figures 6D through 8B. It should also be understood that close proximity may be any suitable distance from the wafer as long as a meniscus as discussed in further reference to Figure 6D through 8B may be maintained.
  • the proximity heads 106a and 106b may each be moved to between about 0.1 mm to about 10 mm from the wafer to initiate wafer processing operations, hi a preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.5 mm to about 4.5 mm from the wafer to initiate wafer processing operations, and in more preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may be moved to about 2 mm from the wafer to initiate wafer processing operations.
  • FIG. 2B shows an alternate view of the wafer cleaning and drying system 100 in accordance with one embodiment of present invention.
  • the system 100 in one embodiment, has the proximity head carrier assembly 104 that is configured to enable the proximity heads 106a and 106b to be moved from the center of the wafer towards the edge of the wafer. It should be appreciated that the proximity head carrier assembly 104 may be movable in any suitable manner that would enable movement of the proximity heads 106a and 106b to clean and/or dry the wafer as desired. In one embodiment, the proximity head carrier assembly 104 can be motorized to move the proximity head 106a and 106b from the center of the wafer to the edge of the wafer.
  • the wafer cleaning and drying system 100 is shown with the proximity heads 106a and 106b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc.
  • the proximity heads 106a and/or 106b of the wafer cleaning and drying system 100 may also be any suitable size or shape as shown by, for example, the proximity heads 106, 106-1, 106-2, 106-3, 106-4, 106-5, 106-6, 106-7 which are discussed in reference to Figures 6 through 15.
  • the different configurations described herein generate a fluid meniscus between the proximity head and the wafer. The fluid meniscus may be moved across the wafer to clean and dry the wafer by applying fluid to the wafer surface and removing the fluids from the surface.
  • the proximity heads 106a and 106b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may clean and dry one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • the system 100 may also be configured to clean one side of the wafer and dry another side of the wafer if desired by inputting and outputting different types of fluids. It should be appreciated that the system 100 may utilize the application of different chemicals top and bottom in the proximity heads 106a and 106b respectively depending on the operation desired.
  • the proximity heads can be configured to clean and dry the bevel edge of the wafer in addition to cleaning and/or drying the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off the edge the wafer which cleans the bevel edge. It should also be understood that the proximity heads 106a and 106b may be the same type of head or different types of heads.
  • Figure 2C illustrates a side close-up view of the wafer cleaning and drying system 100 holding a wafer 108 in accordance with one embodiment of the present invention.
  • the wafer 108 may be held and rotated by the rollers 102a, 102b, and 102c in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be cleaned or dried.
  • the roller 102b may be rotated by using a spindle 111
  • the roller 102c may held and rotated by a roller arm 109.
  • the roller 102a may also be rotated by its own spindle (as shown in Figure 3B.
  • the rollers 102a, 102b, and 102c can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction.
  • the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired.
  • the rotation imparted on the wafer 108 by the rollers 102a, 102b, and 102c serves to move a wafer area that has not been processed into close proximity to the proximity heads 106a and 106b.
  • the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer. Therefore, in an exemplary drying operation, the wet areas of the wafer would be presented to the proximity heads 106a and 106b through both the linear motion of the proximity heads 106a and 106b and through the rotation of the wafer 108.
  • the drying or cleaning operation itself is conducted by at least one of the proximity heads. Consequently, in one embodiment, a dry area of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as a drying operation progresses.
  • the drying movement may be changed to accommodate nearly any suitable type of drying path.
  • the proximity heads 106a and 106b may be configured to have at least one of first source inlet configured to input deionized water (DIW) (also known as a DIW inlet), at least one of a second source inlet configured to input isopropyl alcohol (IP A) in vapor form (also known as IPA inlet), and at least one source outlet configured to output fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet).
  • the vacuum utilized herein may also be suction.
  • other types of solutions may be inputted into the first source inlet and the second source inlet such as, for example, cleaning solutions, ammonia, HF, etc.
  • IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, etc. that may be miscible with water.
  • the at least one IPA vapor inlet is adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one DIW inlet to form an IPA- vacuum-DIW orientation.
  • orientations such as rPA-DIW-vacuum, DIW- vacuum-IP A, vacuum-IP A-DIW, etc. may be utilized depending on the wafer processes desired and what type of wafer cleaning and drying mechanism is sought to be enhanced, hi a preferable embodiment, the IPA-vacuum-DIW orientation may be utilized to intelligently and powerfully generate, control, and move the memscus located between a proximity head and a wafer to clean and dry wafers.
  • the DIW inlets, the IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained.
  • FIG. 2D shows another side close-up view of the wafer cleaning and drying system 100 in accordance with one embodiment of the present invention.
  • the proximity heads 106a and 106b have been positioned in close proximity to a top surface 108a and a bottom surface 108b of the wafer 108 respectively by utilization of the proximity head carrier assembly 104.
  • the proximity heads 106a and 106b may utilize the IPA and DIW source inlets and a vacuum source outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of removing fluids from a top surface 108a and a bottom surface 108b.
  • the wafer processing memscus may be generated in accordance with the descriptions in reference to Figures 6 through 9B where IPA vapor and DIW are inputted into the region between the wafer 108 and the proximity heads 106a and 106b. At substantially the same time the IPA and DIW is inputted, a vacuum may be applied in close proximity to the wafer surface to output the IPA vapor, the DIW, and the fluids that may be on a wafer surface.
  • IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, etc. that may be miscible with water.
  • the term "output" can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • the proximity heads 106a and 106b may be moved in a manner so all parts of the wafer 108 are cleaned, dried, or both without the wafer 108 being rotated, hi such an embodiment, the proximity head carrier assembly 104 may be configured to enable movement of the either one or both of the proximity heads 106a and 106b to close proximity of any suitable region of the wafer 108.
  • the proximity heads may be configured to move in a spiral manner from the center to the edge of the wafer 108 or vice versa.
  • the proximity heads 104a and 104b may be configured to move in a linear fashion back and forth across the wafer 108 so all parts of the wafer surfaces 108a and/or 108b maybe processed.
  • a configuration as discussed below in reference to Figure 5C through 5F may be utilized. Consequently, countless different configurations of the system 100 may be utilized in order to obtain an optimization of the wafer processing operation.
  • Figure 3 A shows a top view illustrating the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention.
  • the upper arm 104a may be configured to move and hold the proximity head 106a in a position in close proximity over the wafer 108.
  • the upper arm 104a may also be configured to move the proximity head 106a from a center portion of the wafer 108 towards the edge of the wafer 108 in a substantially linear fashion 113. Consequently, in one embodiment, as the wafer 108 moves as shown by rotation 112, the proximity head 106a is capable of removing a fluid film from the top surface 108a of the wafer 108 using a process described in further detail in reference to Figures 6 through 8.
  • the proximity head 106a may dry the wafer 108 in a substantially spiral path over the wafer 108.
  • Figure 3B illustrates a side view of the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention
  • the system 100 includes both the proximity head 106a capable of processing a top surface of the wafer 108 and the proximity head 106b capable of processing a bottom surface of the wafer 108.
  • spindles Il ia and 11 lb along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may be presented to the proximity heads 106a and 106b for drying and/or cleaning.
  • the proximity heads 106a and 106b are brought to close proximity of the wafer surfaces 108a and 108b by the arms 104a and 104b respectively.
  • the wafer drying or cleaning may be begun.
  • the proximity heads 106a and 106b may each remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as described in reference to Figure 6.
  • the system 100 may dry a 200 mm wafer in less than 3 minutes.
  • drying or cleaning time may be decreased by increasing the speed at which the proximity heads 106a and 106b travels from the center of the wafer 108 to the edge of the wafer 108.
  • the proximity heads 106a and 106b may be utilized with a faster wafer rotation to dry the wafer 108 in less time.
  • the rotation of the wafer 108 and the movement of the proximity heads 106a and 106b may be adjusted in conjunction to obtain an optimal drying/cleaning speed, hi one embodiment, the proximity heads 106a and 106b may move linearly from a center region of the wafer 108 to the edge of the wafer 108 at between about 5 mm per minute to about 500 mm per minute.
  • Figure 4A shows a top view of a wafer cleaning and drying system 100' which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention.
  • the system 100' includes an upper arm 104a-l and an upper arm 104a-2.
  • the system 100' also may include lower arm 104b-l and lower arm 104b-2 connected to proximity heads 106b-l and 106b-2 respectively.
  • the proximity heads 106a-l and 106a-2 (as well as 106b-l and 106b-2 if top and bottom surface processing is being conducted) work in conjunction so, by having two proximity heads processing a particular surface of the wafer 108, drying time or cleaning time may be cut to about half of the time. Therefore, in operation, while the wafer 108 is rotated, the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 start processing the wafer 108 near the center of the wafer 108 and move outward toward the edge of the wafer 108 in a substantially linear fashion.
  • the rotation 112 of the wafer 108 brings all regions of the wafer 108 in proximity with the proximity heads so as to process all parts of the wafer 108. Therefore, with the linear movement of the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 and the rotational movement of the wafer 108, the wafer surface being dried moves in a spiral fashion from the center of the wafer 108 to the edge of the wafer 108.
  • the proximity heads 106a-l and 106b-l may start processing the wafer 108 and after they have moved away from the center region of the wafer 108, the proximity heads 106a-2 and 106b-2 may be moved into place in the center region of the wafer 108 to augment in wafer processing operations. Therefore, the wafer processing time may be decreased significantly by using multiple proximity heads to process a particular wafer surface.
  • Figure 4B shows a side view of the wafer cleaning and drying system 100' which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention.
  • the system 100' includes both the proximity heads 106a-l and 106a-2 that are capable of processing the top surface 108a of the wafer 108, and proximity heads 106b-l and 106b-2 capable of processing the bottom surface 108b of the wafer 108.
  • the spindles Ilia and 11 lb along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively.
  • This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may brought in close proximity to the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 for wafer processing operations.
  • each of the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 may remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as shown, for example, in Figure 6 through 8.
  • the wafer processing operation i.e., cleaning and/or drying
  • the speed of the wafer rotation may be varied to any suitable speed as long as the configuration enables proper wafer processing.
  • the wafer processing time may be decreased when half a rotation of the wafer 108 is used to dry the entire wafer, hi such an embodiment, the wafer processing speed may be about half of the processing speed when only one proximity head is utilized per wafer side.
  • Figure 5 A shows a top view of a wafer cleaning and drying system 100" with a proximity head 106a-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention
  • the proximity head 106a-3 is held by an upper arm 104a-3 that extends across a diameter of the wafer 108.
  • the proximity head 106a-3 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-3 so the proximity head 106a-3 can be in a position that is in close proximity to the wafer 108.
  • the wafer processing operation of a top surface of the wafer 108 can take place.
  • Figure 5B shows a side view of a wafer cleaning and drying system 100" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity head 106a-3 and the proximity head 106b-3 both are elongated to be able to span the diameter of the wafer 108.
  • the proximity heads 106a-3 and 106b-3 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-3 respectively. Because the proximity heads 106a-3 and 106b-3 extend across the wafer 108, only half of a full rotation may be needed to clean/dry the wafer 108.
  • Figure 5C shows a top view of a wafer cleaning and drying system 100'" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean andVor dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the wafer 108 may be held stationary by any suitable type of wafer holding device such as, for example, an edge grip, fingers with edge attachments, etc.
  • the proximity head carrier assembly 104'" is configured to be movable from one edge of the wafer 108 across the diameter of the wafer 108 to an edge on the other side of the wafer 108 after crossing the entire wafer diameter.
  • the proximity head 106a-3 and/or the proximity head 106b-3 may move across the wafer following a path along a diameter of the wafer 108 from one edge to an opposite edge. It should be appreciated that the proximity heads 106a-3 and/or 106b-3 maybe move from any suitable manner that would enable moving from one edge of the wafer 108 to another diametrically opposite edge. In one embodiment, the proximity head 106a-3 and/or the proximity head 106b-3 may move in directions 121 (e.g., top to bottom or bottom to top of Figure 5C).
  • the wafer 108 may stay stationary without any rotation or movement and the proximity heads 106a-3 and/or the proximity head 106b-3 may move into close proximity of the wafer and, through one pass over the wafer 108, clean/dry the top and/or bottom surface of the wafer 108.
  • Figure 5D shows a side view of a wafer cleaning and drying system 100'" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the proximity head 106a-3 is in a horizontal position with the wafer 108 also in a horizontal position.
  • the wafer 108 may be cleaned and/or dried in one pass by moving proximity heads 106a-3 and 106b-3 in the direction 121 as discussed in reference to Figure 5C.
  • Figure 5E shows a side view of a wafer cleaning and drying system 100"" with the proximity heads 106a-3 and 106b-3 in a vertical configuration enabled to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the proximity heads 106a-3 and 106b-3 are in a vertical configuration, and the proximity heads 106a-3 and 106b-3 are configured to move either from left to right, or from right to left, beginning from a first edge of the wafer 108 to a second edge of the wafer 108 that is diametrically opposite to the first edge.
  • the proximity head carrier assembly 104' may move the proximity heads 104a-3 and 104b-3 in close proximity with the wafer 108 and also enable the movement of the proximity heads 104a-3 and 104b-3 across the wafer from one edge to another so the wafer 108 may be processed in one pass thereby decreasing the time to clean and/or dry the wafer 108.
  • Figure 5F shows an alternate side view of a wafer cleaning and drying system 100"" that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention.
  • the proximity head carrier assembly 104'" may be oriented in any suitable manner such as for example, having the proximity head carrier assembly 104"' rotated 180 degrees as compared with what is shown in Figure 5F.
  • Figure 5G shows a top view of a wafer cleaning and drying system 100-5 with a proximity head 106a-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106a-4 extends across less than a radius of a substrate being processed.
  • the proximity head 106a-4 may extend the radius of the substrate being processed. In a preferable embodiment, the proximity head 106a-4 extends over a radius of the wafer 108 so the proximity head may process both the center point of the wafer 108 as well as an edge of the wafer 108 so the proximity head 106a-4 can cover and process the center point of the wafer and the edge of the wafer, hi this embodiment, the proximity head 106a-4 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-4 so the proximity head 106a-4 can be in a position that is in close proximity to the wafer 108.
  • the proximity head 106a-4 Once the proximity head 106a-4 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place. Because, in one embodiment, the proximity head 106a-4 extends over the radius of the wafer, the wafer may be cleaned and/or dried in one rotation.
  • Figure 5H shows a side view of a wafer cleaning and drying system 100-5 with the proximity heads 106a-4 and 106b-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106a-4 and the proximity head 106b-4 both are elongated to be able to extend over and beyond the radius of the wafer 108. As discussed in reference to Figure 5G, depending on the embodiment desired, the proximity head 106a-4 may extend less than a radius, exactly a radius, or greater than a radius of the wafer 1 8.
  • the proximity heads 106a-4 and 106b-4 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-4 respectively. Because in one embodiment, the proximity heads 106a-4and 106b-4 extend across greater than the radius of the wafer 108, only a full rotation may be needed to clean/dry the wafer 108.
  • Figure 6 A shows a proximity head inlet/outlet orientation 117 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention, fri one embodiment, the orientation 117 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 maybe utilized in addition to the orientation 117 shown.
  • the orientation 117 may include a source inlet 306 on a leading edge 109 with a source outlet 304 in between the source inlet 306 and the source outlet 302.
  • Figure 6B shows another proximity head inlet/outlet orientation 119 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention.
  • the orientation 119 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 maybe utilized in addition to the orientation 119 shown.
  • the orientation 119 may include a source outlet 304 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source inlet 306.
  • Figure 6C shows a further proximity head inlet/outlet orientation 121 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention.
  • the orientation 121 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown.
  • the orientation 119 may include a source inlet 306 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source outlet 306.
  • Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head 106 in accordance with one embodiment of the present invention.
  • Figure 6 shows a top surface 108a being dried, it should be appreciated that the wafer drying process may be accomplished in substantially the same way for the bottom surface 108b of the wafer 108.
  • a source inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108a of the wafer 108
  • DIW deionized water
  • a source outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108a. It should be appreciated that any suitable combination of source inlets and source outlets may be utilized as long as at least one combination exists where at least one of the source inlet 302 is adjacent to at least one of the source outlet 304 which is in turn adjacent to at least one of the source inlet 306.
  • the IPA may be in any suitable form such as, for example, JPA vapor where IPA in vapor form is inputted through use of a N 2 gas.
  • DIW any other suitable fluid may be utilized that may enable or enhance the wafer processing such as, for example, water purified in other ways, cleaning fluids, etc.
  • an IPA inflow 310 is provided through the source inlet 302
  • a vacuum 312 may be applied through the source outlet 304
  • DIW inflow 314 maybe provided through the source inlet 306. Therefore, an embodiment of the IPA-vacuum-DIW orientation as described above in . reference to Figure 2 is utilized.
  • a first fluid pressure may be applied to the wafer surface by the IPA inflow 310
  • a second fluid pressure may be applied to the wafer surface by the DIW inflow 314
  • a third fluid pressure may be applied by the vacuum 312 to remove the DIW, IPA and the fluid film on the wafer surface.
  • any fluid on the wafer surface is intermixed with the DIW inflow 314.
  • the DIW inflow 314 that is applied toward the wafer surface encounters the IPA inflow 310.
  • the PA forms an interface 118 (also known as an IPA/DIW interface 118) with the DIW inflow 314 and along with the vacuum 312 assists in the removal of the DIW inflow 314 along with any other fluid from the surface of the wafer 108.
  • the IP A/DIW interface 118 reduces the surface of tension of the DIW.
  • the DIW is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the source outlet 304.
  • the DIW that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the memscus 116 are the IPA/D ⁇ W interfaces 118. Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface.
  • the nearly immediate removal of the DIW from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination drying on the wafer 108.
  • the pressure (which is caused by the flow rate of the PA) of the downward injection of PA also helps contain the meniscus 116.
  • the flow rate of the PA assists in causing a shift or a push of water flow out of the region between the proximity head and the wafer surface and into the source outlets 304 through which the fluids may be outputted from the proximity head. Therefore, as the PA and the DIW is pulled into the source outlets 304, the boundary making up the PA/DIW interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the source outlets 304 along with the fluids.
  • gas e.g., air
  • the flow into the source outlet 304 is discontinuous. This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas.
  • the proximity head 106 moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the PA DIW interface 118.
  • the any suitable number of source inlets 302, source outlets 304 and source inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired, hi another embodiment, the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet.
  • any suitable flow rate may be utilized for the PA, DIW, and vacuum as long as the meniscus 116 can be maintained, hi one embodiment, the flow rate of the DIW through a set of the source inlets 306 is between about 25 ml per minute to about 3,000 ml per minute, hi a preferable embodiment, the flow rate of the DIW through the set of the source inlets 306 is about 400 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more source inlets 302 and 306 and source outlets 304 More flow for larger head.
  • the flow rate of the PA vapor through a set of the source inlets 302 is between about 1 standard cubic feet per minute (SCFM) to about 100 SCFM.
  • SCFM standard cubic feet per minute
  • the PA flow rate is between about 10 and 40 SCFM.
  • the flow rate for the vacuum through a set of the source outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH.
  • SCFH standard cubic feet per hour
  • the flow rate for a vacuum though the set of the source outlets 304 is about 350 SCFH.
  • a flow meter may be utilized to measure the flow rate of the PA, DIW, and the vacuum.
  • Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head 106 in accordance with one embodiment of the present invention
  • the proximity head 106 may be moved over the top surface 108a of the wafer 108 so the meniscus may be moved along the wafer surface 108 a.
  • the meniscus applies fluid to the wafer surface and removes fluid from the wafer surface thereby cleaning and drying the wafer simultaneously
  • the source inlet 306 applies a DIW flow 314 toward the wafer surface 108a
  • the source inlet 302 applies PA flow 310 toward the wafer surface 108a
  • the source outlet 312 removes fluid from the wafer surface 108a.
  • the meniscus may be managed and controlled in any suitable manner.
  • the outflow through the source outlet 304 may be nearly all DIW and the fluids being removed from the wafer surface 108a.
  • the outflow through the source outlet 304 may be substantially a combination of DIW and air as well as fluids being removed from the wafer surface 108a.
  • Figure 6F shows another source inlet and outlet orientation where an additional source outlet 307 may be utilized to input an additional fluid in accordance with one embodiment of the present invention.
  • the orientation of inlets and outlets as shown in Figure 6E is the orientation described in further detail in reference to Figure 6D except the additional source outlet 307 is included adjacent to the source inlet 306 on a side opposite that of the source outlet 304.
  • DIW may be inputted through the source inlet 306 while a different solution such as, for example, a cleaning solution maybe inputted through the source inlet 307. Therefore, a cleaning solution flow 315 may be utilized to enhance cleaning of the wafer 108 while at substantially the same time drying the top surface 108a of the wafer 108.
  • FIG. 7A illustrates a proximity head 106 performing a drying operation in accordance with one embodiment of the present invention.
  • the proximity head 106 moves while in close proximity to the top surface 108a of the wafer 108 to conduct a cleaning and/or drying operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, etc.) the bottom surface 108b of the wafer 108. hi one embodiment, the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while fluid is removed from the top surface 108a.
  • the PA 310 through the source inlet 302
  • the vacuum 312 through source outlet 304, and the deionized water 314 through the source inlet 306 the meniscus 116 as discussed in reference to Figure 6 may be generated.
  • Figure 7B shows a top view of a portion of a proximity head 106 in accordance with one embodiment of the present invention.
  • the source inlets 302, the source inlets 306, and the source outlets 304 described herein may also be any suitable type of geometry such as for example, circular opening, square opening, etc. In one embodiment, the source inlets 302 and 306 and the source outlets 304 have circular openings.
  • Figure 7C illustrates a proximity head 106 with angled source inlets 302' performing a drying operation in accordance with one embodiment of the present invention.
  • the source inlets 302' and 306 and the source outlet(s) 304 described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
  • the angled source inlets 302' that input PA vapor onto the wafer 108 is angled toward the source inlets 306 such that the PA vapor flow is directed to contain the memscus 116.
  • Figure 7D illustrates a proximity head 106 with angled source inlets 302' and angled source outlets 304' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the angled source outlet(s) 304' described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
  • the angled source inlets 302' that input PA vapor onto the wafer 108 is angled at an angle ⁇ 500 toward the source inlets 306 such that the PA vapor flow is directed to contain the meniscus 116.
  • the angled source outlet 304' may, in one embodiment, be angled at an angle ⁇ 50 o towards the meniscus 116.
  • the angle ⁇ 500 and the angle ⁇ 5 o 2 may be any suitable angle that would optimize the management and control of the meniscus 116.
  • the angle ⁇ 5 0 0 is greater than 0 degrees and less than 90 degrees
  • the angle ⁇ 5 o 2 is greater than 0 degrees and less than 90 degrees.
  • the angle ⁇ 500 is about 15 degrees, and in another preferable embodiment, the angle angled at an angle ⁇ 502 is about 15 degrees.
  • the angle ⁇ 500 and the angle ⁇ 5 o adjusted in any suitable manner to optimize meniscus management, hi one embodiment, the angle ⁇ 50 o and the angle ⁇ 502 may be the same, and in another embodiment, the angle ⁇ 500 and the angle ⁇ 502 may be different.
  • the border of the meniscus maybe more clearly defined and therefore control the drying and/or cleaning the surface being processed.
  • Figure 8 A illustrates a side view of the proximity heads 106a and 106b for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • the meniscus 116 may be generated, hi addition, on the side of the source inlet 306 opposite that of the source inlet 302, there may be a source outlet 304 to remove DIW and to keep the meniscus 116 intact.
  • the source inlets 302 and 306 may be utilized for PA inflow 310 and DIW inflow 314 respectively while the source outlet 304 may be utilized to apply vacuum 312.
  • any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 may be utilized.
  • the proximity heads 106a and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figure 7A and 7B.
  • the proximity heads 106a and 106b may be of a configuration as shown below in reference to Figures 9 through 15. Any suitable surface coming into contact with the meniscus 116 may be dried by the movement of the meniscus 116 into and away from the surface.
  • FIG 8B shows the proximity heads 106a and 106b in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • the proximity head 106a processes the top surface 108a of the wafer 108
  • the proximity head 106b processes the bottom surface of 108b of the wafer 108.
  • the meniscus 116 may be formed between the proximity head 106a and the wafer 108 and between the proximity head 106b and the wafer 108.
  • the proximity heads 106a and 106b, and therefore the meniscus 116 may be moved over the wet areas of the wafer surface in an manner so the entire wafer 108 can be dried.
  • FIGS 9 through 15 illustrate exemplary embodiments of the proximity head 106.
  • the proximity head may be any suitable configuration or size that may enable the fluid removal process as described in Figures 6 to 8. Therefore, any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2A to 2D.
  • the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306. It should be appreciated that the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing.
  • the proximity heads described in Figures 9 through 15 enable usage of the PA- vacuum-DIW orientation or a variant thereof as described above in reference to Figures 2 and 6.
  • the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304.
  • the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows. It should be appreciated that the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable.
  • the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter. In a preferable embodiment, the size of the openings of the source inlets 302 and the source outlets 304 is about 0.03 inch, and the size of the openings of the source inlets 306 is about 0.06 inch. hi one embodiment the source inlets 302 and 306 in addition to the source outlets 304 are spaced about 0.03 inch and about 0.5 inch apart, hi a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.03 inch apart and the source inlets 302 are spaced about 0.03 inch apart.
  • Figure 9 A shows a top view of a proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention
  • the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies PA to a surface of the wafer 108.
  • the proximity head 106-1 also includes three of the source outlets 304 in a center portion of the head 106-1.
  • one of the source inlets 306 is located adjacent to the source inlets 302 and the source outlets 304.
  • another one the source inlets 306 is located on the other side of the source outlets 304.
  • the proximity head 106-1 shows that the three source outlets 304 are located in the center portion and is located within an indentation in the top surface of the proximity head 106-1.
  • the source inlets 302 are located on a different level than the source inlets 306.
  • the side of the proximity head 106-1 is the side that comes into close proximity with the wafer 108 for cleaning and/or drying operations.
  • FIG. 9B shows a side view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-1 has inputs at a bottom portion 343 which lead to the source inlets 302 and 306 and the source outlets 304 as discussed in further detail in reference to Figure 9C.
  • a top portion 341 of the proximity head 106-1 is smaller in circumference than the bottom portion 343.
  • the proximity head 106-1 as well as the other proximity heads described herein may have any suitable shape and/or configuration.
  • Figure 9C illustrates a bottom view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively.
  • ports 342a, 342b, and 342c By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306 as discussed in reference to Figure 9A.
  • the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306.
  • the embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein.
  • the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart, i a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • FIG. 10A shows a proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • the proximity head 106-2 includes the source inlets 302, source outlets 304, and source inlets 306.
  • the source inlets 302 are capable of applying PA toward a wafer surface region
  • the source inlets 306 are capable of applying DIW toward the wafer surface region
  • the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108.
  • the PA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • the proximity head 106-2 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired.
  • the meniscus 116 may be formed between the proximity head 106-2 and the wafer 108.
  • the shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-2.
  • Figure 10B shows a top view of the proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • the pattern of the source outlets 304 and the source inlets 302 and 306 is indicated. Therefore, in one embodiment, the proximity head 106-2 includes the source inlets 302 located outside of the source outlets 304 which are in turn located outside of the source inlets 306. Therefore, the source inlets 302 substantially surround the source outlets 304 which in turn substantially surround the source inlets 306 to enable the PA- vacuum-DIW orientation. In one embodiment, the source inlets 306 are located down the middle of the long axis of the of the proximity head 106-2.
  • the source inlets 302 and 306 input PA and DIW respectively to a region of the wafer 108 that is being dried and/or cleaned.
  • the source outlets 304 in this embodiment exert vacuum in close proximity of the region of the wafer 108 being dried thereby outputting the PA and the DIW from the source inlets 302 and 306 as well as other fluids from the region of the wafer 108 that is being dried. Therefore, in one embodiment, a drying/cleaning action as discussed in reference to Figure 6 may occur to clean dry the wafer 108 in an extremely effective manner.
  • Figure 10C shows a side view of the proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention.
  • the proximity head 106-2 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein.
  • Figure 11 A shows a top view of a proximity head 106-3 with a rectangular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-3 includes two rows of the source inlets 302 at the top of the figure, the source outlets 304 in a row below the source inlets 302, a row of source inlets 306 below the source outlets 304, and a row of the source outlets 304 below the source inlets 306.
  • PA and DIW may be inputted to the region of the wafer 108 that is being dried through the source inlets 302 and 306 respectively.
  • the source outlets 304 may be utilized to pull away fluids from the surface of the wafer 108 such as the PA and the DIW in addition to other fluids on the surface of the wafer 108.
  • FIG. 1 IB shows a side view of the proximity head 106-3 with a rectangular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-3 includes ports 342a, 342b, and 342c which, in one embodiment, may be utilized to input and/or output fluids through the source inlets 302 and 306 as well as the source outlets 304. It should be appreciated that any suitable number of ports 342a, 342b, and 342c may be utilized in any of the proximity heads described herein depending on the configuration and the source inlets and outlets desired.
  • FIG 11C illustrates a bottom portion of the proximity head 106-3 in a rectangular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-3 includes ports 342a, 342b, and 342c on a back portion while connecting holes 340 on the bottom portion may be utilized to attach the proximity head 106-3 to the top arm 104a as discussed above in reference to Figures 2 A through 2D .
  • Figure 12A shows a proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-4 includes one row of source inlets 306 that is adjacent on both sides to rows of source outlets 304.
  • One of the rows of source outlets 304 is adjacent to two rows of source inlets 302. Perpendicular to and at the ends of the rows described above are rows of source outlets 304.
  • Figure 12B shows a rear view of the proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-4 includes ports 342a, 342b, and 342c on a back side as shown by the rear view where the back side is the square end of the proximity head 106-4.
  • the ports 342a, 342b, and 342c may be utilized to input and/or output fluids through the source inlets 302 and 306 and the source outlets 304.
  • the ports 342a, 342b, and 342c correspond to the source inlets 302, the source outlets 304, and the source inlets 306 respectively.
  • Figure 12C shows a top view of the proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-4 includes a configuration of source inlets 302 and 306, and source outlets 304 which enable the usage of the PA-vacuum-DIW orientation.
  • Figure 13 A illustrates a top view of a proximity head 106-5 with a circular shape similar to the proximity head 106-1 shown in Figure 9 A in accordance with one embodiment of the present invention.
  • the pattern of source inlets and source outlets is the same as the proximity head 106-1, but as shown in Figure 13B, the proximity head 106-5 includes connecting holes 340 where the proximity head 106-5 can be connected with an apparatus which can move the proximity head close to the wafer.
  • Figure 13B shows the proximity head 106-5 from a bottom view in accordance with one embodiment of the present invention.
  • the proximity head 106-5 has the connecting holes 340 in various locations on a bottom end. The bottom end may be connected to either the upper arm 106a or the bottom arm 106b if the proximity head 106-5 is utilized in the system 100 as shown above in reference to Figure 2 A through 2D.
  • the proximity head 106-5 may have any suitable number or type of connecting holes as long as the proximity head 106-5 maybe secured to any suitable apparatus that can move the proximity head 106-5 as discussed above in reference to Figures 2A through 2D.
  • Figure 13C illustrates the proximity head 106-5 from a side view in accordance with one embodiment of the present invention.
  • the proximity head 106-5 has a side that is a larger circumference than the side that moves into close proximity with the wafer 108. It should be appreciated although the circumference of the proximity head 106-5 (as well as the other embodiments of the proximity head 106 that is described herein) may be any suitable size and may be varied depending on how much surface of the wafer 108 is desired to be processed at any given time.
  • Figure 14 A shows a proximity head 106-6 where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106-6 has a pattern of the source inlets 302 and 306 as well as the source outlets 304 similar to the pattern as shown in the proximity head 106-4 described in reference to Figure 12A except there are additional rows of source inlets 302 as can be seen from the top view of Figure 14B.
  • Figure 14B illustrates a top view of the proximity head 106-6 where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention.
  • the proximity head 106-6 includes a dual tiered surface with the configuration of source inlets 302 and 306 and source outlets 304 that enables the ability to apply the PA- vacuum-DIW orientation during wafer processing.
  • Figure 14C shows a side view of a square end of the proximity head 106-6 in accordance with one embodiment of the present invention.
  • the proximity head 106-6 includes the ports 342a, 342b, and 342c which enables input and output of fluid both to and from the source inlets 302 and 306 as well as the source outlets 304.
  • Figure 15A shows a bottom view of a 25 holes proximity head 106-7 in accordance with one embodiment of the present invention
  • the proximity head 106-7 includes 25 openings any of which maybe utilized as ports 342a, 342b, and 342c depending on the configuration desired, hi one embodiment, seven openings are the ports 342a, six openings are the source outlets 342b, and three openings are ports 342c. hi this embodiment, the other nine openings are left unused. It should be appreciated that the other holes may be used as ports 342a, 342b, and/or 342c depending on the configuration and type of function desired of the proximity head 106-7.
  • Figure 15B shows a top view of the 25 holes proximity head 106-7 in accordance with one embodiment of the present invention.
  • the side of the proximity head 106-7 shown by Figure 15B is the side that comes into close proximity with the wafer 108 to conduct drying and/or cleaning operations on the wafer 108.
  • the proximity head 106-7 includes an PA input region 382, a vacuum outlet regions 384, and a DIW input region 386 in a center portion of the proximity head 106-7.
  • the PA input region 382 includes a set of the source inlets 302
  • the vacuum outlet regions 384 each include a set of the source outlets 304
  • the DIW input region 386 includes a set of the source inlets 306.
  • a plurality of the source inlet 302 inputs PA into the PA input region
  • a plurality of the source outlet 304 generates a negative pressure (e.g., vacuum) in the vacuum outlet regions 384
  • a plurality of the source inlet 306 inputs DIW into the DIW input region 386. i this way, the PA-vacuum-DIW orientation may be utilized to intelligently dry a wafer.
  • Figure 15C shows a side view of the 25 holes proximity head 106-7 in accordance with one embodiment of the present invention.
  • a top surface of the proximity head 106-7 has a dual level surface.
  • the level with the plurality of the source inlet 302 is below the level with the plurality of the source outlet 304 and the plurality of the source inlet 306.
  • Figure 16A illustrates a side view of the proximity heads 106a and 106b for use in a wafer surface megasonic cleaning system in accordance with one embodiment of the present invention, hi this embodiment, by usage of source inlets 302 and 306' to input N 2 /PA and cleaning chemistry respectively along with the source outlet 304 to provide a vacuum, the meniscus 116 may be generated.
  • any suitable type of chemistry that can clean a wafer surface that is compatible with the material of the proximity heads 106a and 106b may be utilized, hi addition, on the side of the source inlet 306' opposite that of the source inlet 302, there may be a source outlet 304 to remove cleaning chemistry and to keep the meniscus 116 intact.
  • the source inlets 302 and 306' may be utilized for PA inflow 310 and cleaning chemistry inflow 314' respectively while the source outlet 304 may be utilized to apply vacuum 312. It should be appreciated that any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 may be utilized.
  • the proximity heads 106a and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figures 6 A.
  • the proximity heads 106a and 106b may be of a configuration as shown below in reference to Figures 6B through 8B.
  • the proximity heads 106a and 106b may have different configurations. Any suitable surface coming into contact with the meniscus 116 may be cleaned by the movement of the meniscus 116 into and away from the surface.
  • a transducer 406 may be defined within the proximity head(s) 106a. hi a preferable embodiment, a transducer 406 may be defined within the proximity head 106a between die source outlet 304 and the source inlet 306'. Once the meniscus 116 has been formed, an RF supply 408 can supply a transducer 406 with energy. The transducer 406 converts the energy from the RF supply 408 into acoustic energy.
  • the transducer may have any suitable configuration that would enable the conversion of RF to acoustic energy
  • the transducer 406 is a piezoelectric crystal 406a bonded to a body 406b.
  • the transducer is coated with a substance such as, for example, Teflon to protect the crystal 406a and the body 406b from the cleaning chemistry and contaminants that may exist on the surface of the wafer being cleaned.
  • the acoustic energy may produce megasonic (600 kHz - 1.5 MHz) or ultrasonic (below 600 kHz) waves, hi a preferable embodiment, the transducer 406 generates megasonic waves to create cavitations in the meniscus 116.
  • FIG. 16B illustrates a side view of the proximity heads 106a and 106b for use in a dual wafer surface megasonic cleaning system in accordance with one embodiment of the present invention.
  • the meniscuses 116 may be generated by the heads 106a and 106b on the top surface and the bottom surface of the wafer 108 respectively, fh one embodiment, the proximity head 106b may have the same configuration as the proximity head 106a except the proximity head 106b is positioned to process the other side of the wafer 108.
  • the megasonic transducer 406 maybe defined within each of the heads 106a and 106b.
  • the RF supply may provide RF energy for the piezoelectric crystal 406a to convert to acoustic energy. The acoustic energy may then be applied to the meniscuses 116 on both the top surface and the bottom surface of the wafer. Consequently, dual surface megasonic meniscus cleaning may be conducted.
  • Figure 17 illustrates a side view of a proximity head 106 where a megasonic transducer 406 is located between a source outlet 304 and a source inlet 306' in accordance with one embodiment of the present invention.
  • the proximity head 106 has a PA-vacuum-liquid-megasonic-vacuum configuration.
  • the PA/N 2 is inputted through the source inlet 302
  • the vacuum is applied through the source outlet 304
  • the liquid is applied through the source inlet 306'
  • megasonic acoustic waves are applied by the transducer 406 to the meniscus 116
  • the vacuum on the leading edge side of the proximity head 106 is applied by the source outlet 304.
  • the meniscus 116 comprising the cleaning chemistry may be formed and the megasonic transducer 406 which is in direct contact with the meniscus 116 may apply ultrasonic or megasonic acoustic waves.
  • the acoustic waves may generate cavitation in the meniscus 116 thereby enhancing the cleaning properties of the cleaning chemistry that is in contact with a surface of the wafer 108.
  • Figure 18 illustrates a side view of a proximity head 106 with the configuration as discussed in reference to Figure 7A with a megasonic transducer 406 is located between a source outlet 304 and a source inlet 306' on a leading edge side in accordance with one embodiment of the present invention, hi this embodiment, the meniscus 116 may be confined by PA vapor on both the leading edge and the trailing edge of the proximity head 106. The meniscus 116 is located on a leading edge side of the source inlet 306'.
  • Figure 19A shows a side view of proximity heads 106a and 106b with a combination of a clean/megasonic region 442 and a drying region 440 in accordance with one embodiment of the present invention
  • the clean/megasonic region 442 includes the source inlet 302, the source outlet 304, and the source inlet 306'.
  • the megasonic transducer 406 is defined in the head 106a such a way that the transducer 406 may contact the meniscus 116 in the clean/megasonic region 442.
  • the clean region 442 is located on a leading edge side of the proximity head 106 as compared with the location of the drying region 440.
  • the drying region 440 includes the source inlet 302, the source outlet 304, and the source inlet 306.
  • the source inlet 306 inputs deionized water, hi this way the wafer 108 may be cleaned in a highly efficient manner.
  • FIG 19B shows a side view of proximity heads 106a and 106b with dual megasonic transducers in the clean/megasonic region 442 in accordance with one embodiment of the present invention
  • both the proximity heads 106a and 106b each include transducers that may turn RF into acoustic energy
  • the proximity head 106b has the same configuration of the proximity head 106a except the proximity head 106b positioned to process the other side of the wafer 108.
  • the transducers 406 of both the heads 106a and 106b may be configured to output megasonic waves directly to the memscus 116.
  • the transducers 406 may be configured to output megasonic waves directly to the meniscuses 116 on both sides of the wafer 108. It should also be appreciated that the transducers may be located in any portion of the proximity heads 106a and 106b that may enable acoustic waves to be outputted directly to the meniscus 116 that is cleaning the wafer. In a preferable embodiment, the location of the transducers 406 may be in the location as described above in reference to Figure 19A.
  • Figure 20 shows an exemplary process window 538 with the plurality of source inlets 302 and 306 as well as the plurality of source outlets 304 in accordance with one embodiment of the present invention
  • the process window 538 in operation may be moved in direction 546 across a wafer during, for example, a wafer cleaning operation.
  • the process window 538 is the location where the meniscus 116 may be formed, hi such an embodiment, a proximity head 106 may encounter contaminated areas on a wafer surface on a leading edge region 548.
  • the leading edge region 548 is an area of the proximity head 106 that, in a cleaning process, encounters contaminants first.
  • a trailing edge region 560 is an area of the proximity head 106 that encounters the area being processed last.
  • the dirty area (or a wet area in a drying operation) of the wafer surface enter the process window 538 through the leading edge region 548. Then after processing of the unclean region (or a wet region in a drying process) of the wafer surface by the memscus that is generated and confrollably maintained and managed by the process window 538, the unclean region is cleaned and the cleaned region of the wafer (or substrate) leaves the process window 538 through a trailing edge region 560 of the proximity head 106.
  • a wet region is dried and the dried region of the wafer leaves the process window 538 through the trailing edge region 560 of the proximity head 106.
  • the transducer 406 may be defined between the source inlets and the source outlets. Therefore, the transducer 406 may be defined within the process window 538 in a manner that enables the transducer 406 to directly apply acoustic waves to a meniscus formed by the process window 538. Therefore the cleaning chemistry that make up the meniscus 116 and the cavitations formed within the meniscus 116 may optimally clean the surface of the wafer.
  • any of the different embodiments of the proximity head 106 described may be used as one or both of the proximity heads 106a and 106b described above in reference to Figures 2A through 5H.
  • the proximity head may be any suitable configuration or size that may enable the fluid removal and/or cleaning process as described, hi addition, exemplary proximity heads and their respective patterns of the source inlets 302 and 304 as well as the source outlets 306 maybe seen in U.S. Patent Applications Nos. 10/261,839, 10/404,270, and 10/330, 897 which have been incorporated by reference.
  • any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2 A to 2D.
  • the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306.
  • the transducer 406 may be any suitable size, shape, and number as long the transducer 406 may apply acoustic waves to the meniscus 116. It should be appreciated that the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing.
  • the proximity head described in Figure 21 is a manifold that enables usage of the PA-vacuum-liquid orientation as described above, hi addition, the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304. hi addition, the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows.
  • the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable.
  • the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter.
  • the size of the openings of the source inlets 306 and the source outlets 304 is about 0.06 inch, and the size of the openings of the source inlets 302 is about 0.03 inch.
  • the source inlets 306 are spaced about 0.03 inch and about 0.5 inch apart, hi a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.125 inch apart and the source inlets 302 are spaced about 0.06 inch apart, hi one embodiment, the source inlets 302, the source outlets 304 maybe combined in the form of one or more slots or channels rather than multiple openings.
  • the source outlets 304 may be combined in the form of one or more channels that at least partially surrounds the area of the source outlets 306 for the portion of the meniscus.
  • the PA outlets 302 can be combined into one or more channels that lie outside the area of the source inlets 304.
  • the source outlets 306 can also be combined into one or more channels.
  • the proximity heads may not necessarily be a "head" in configuration but may be any suitable configuration, shape, and/or size such as, for example, a manifold, a circular puck, a bar, a square, an oval puck, a tube, plate, etc., as long as the source inlets 302, and 306, and the source outlets 304 may be configured in a manner that would enable the generation of a controlled, stable, manageable fluid meniscus.
  • a single proximity head can also include sufficient source inlets 302 and 306, and the source outlets 304 such that the single proximity head can also support multiple meniscuses.
  • the proximity head may be a type of manifold as described in reference to the Figures or other suitable configurations.
  • the size of the proximity heads may be varied to any suitable size depending on the application desired.
  • the length (from a top view showing the process window) of the proximity heads may be between 1.0 inch to about 18.0 inches and the width (from a top view showing the process window) may be between about 0.5 inch to about 6.0 inches.
  • the proximity head may be optimized to process any suitable size of wafers such as, for example, 200mm wafers, 300, wafers, etc.
  • the process windows of the proximity heads may be arranged in any suitable manner as long as such a configuration may generate a controlled stable and manageable fluid meniscus.
  • Figure 21 shows a top view of a proximity head 106-1 with a substantially rectangular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies PA to a surface of the wafer 108.
  • the source inlets 302 are capable of applying PA toward a wafer surface region
  • the source inlets 306 are capable of applying cleaning chemistry toward the wafer surface region
  • the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108.
  • the vacuum By the application of the vacuum, the PA, cleaning chemistry, and any other type of fluids that may reside on a wafer surface may be removed.
  • the proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively.
  • ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired.
  • the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108.
  • the shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106- 1. It should be appreciated that the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306.
  • the embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein, hi one embodiment, the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter, hi a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter, hi one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • the transducer 406 is located in between the source inlets 306 and the source outlets 304.
  • the transducer 406 may be located in any suitable region of the head 106-1 as long as the transducer 406 may apply acoustic waves to the memscus 116. Therefore, the transducer 406 may apply acoustic waves such as, for example, ultrasonic waves and/or megasonic waves to the meniscus 116 as described above. Consequently, by use of cleaning chemicals and megasonics, the cleaning of wafer surfaces maybe intelligently optimized and enhanced.

Abstract

One of many embodiments of a substrate preparation system is provided which includes a head having a head surface where the head surface is proximate to a surface of the substrate. The system also includes a first conduit for delivering a first fluid to the surface of the substrate through the head, and a second conduit for delivering a second fluid to the surface of the substrate through the head, where the second fluid is different than the first fluid. The system also includes a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate where the first conduit, the second conduit and the third conduit act substantially simultaneously. In an alternative embodiment, a method for processing a substrate is provided that includes generating a fluid meniscus on a surface of the substrate and applying acoustic energy to the fluid meniscus. The method also includes moving the fluid meniscus over the surface the substrate to process the surface of the substrate.

Description

METHOD AND APPARATUS FOR DRYING SEMICONDUCTOR WAFER
SURFACES USING A PLURALITY OF INLETS AND OUTLETS HELD IN CLOSE
PROXIMITY TO THE WAFER SURFACES
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to semiconductor wafer cleaning and drying and, more particularly, to apparatuses and techniques for more efficiently removing fluids from wafer surfaces while reducing contamination and decreasing wafer cleaning cost.
2. Description of the Related Art
In the semiconductor chip fabrication process, it is well-known that there is a need to clean and dry a wafer where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers. Examples of such a fabrication operation include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP). In CMP, a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt. This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing. Unfortunately, this process tends to leave an accumulation of slurry particles and residues at the wafer surface. If left on the wafer, the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable, h order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted residues.
After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain on the wafer surface after evaporation (e.g., and form spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IP A, or Marangoni drying. All of these drying techniques utilize some form of a moving liquid/gas interface on a wafer surface which, if properly maintained, results in drying of a wafer surface without the formation of droplets. Unfortunately, if the moving liquid/gas interface breaks down, as often happens with all of the aforementioned drying methods, droplets form and evaporation occurs resulting in contaminants being left on the wafer surface.
The most prevalent drying technique used today is spin rinse drying (SRD). Figure 1A illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process. i this drying process, a wet wafer is rotated at a high rate by rotation 14. In SRD, by use of centrifugal force, the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16. As the cleaning fluid is being pulled off of the wafer, a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses. In the example of Figure 1A, the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
In addition, the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (i.e., as a result of molecular hydrogen bonding). Therefore, because of the hydrophobic interactions and the surface tension, balls (or droplets) of aqueous cleaning fluid forms in an uncontrolled manner on the hydrophobic wafer surface. This formation of droplets results in the harmful evaporation and the contamination discussed previously. The limitations of the SRD are particularly severe at the center of the wafer, where centrifugal force acting on the droplets is the smallest. Consequently, although the SRD process is presently the most common way of wafer drying, this method can have difficulties reducing formation of cleaning fluid droplets on the wafer surface especially when used on hydrophobic wafer surfaces.
The use of acoustic energy is a highly advanced, non-contact, cleaning technology for removing small-particles from substrates such as semiconductor wafers in various states of fabrication, flat panel displays, micro-electro-mechanical systems (MEMS), micro-opto-electro-mechanical systems (MOEMS), and the like. The cleaning process typically involves the propagation of acoustic energy through a liquid medium to remove particles from, and clean, a surface of a substrate. The megasonic energy is typically propagated in a frequency range of between about 600 kHz (0.6 Megahertz (MHz)) to about 1.5 MHz, inclusive. The typical liquid medium that can be utilized is deionized water or any one or more of several substrate cleaning chemicals and combinations thereof such as a dilute ammonium hydroxide/hydrogen peroxide solution in DI water. The propagation of acoustic energy through a liquid medium achieves non-contact substrate cleaning chiefly through the formation and collapse of bubbles from dissolved gases in the liquid medium, herein referred to as cavitation, microstreaming, and chemical reaction enhancement when chemicals are used as the liquid medium through improved mass transport, optimizing the zeta potential to favor particle entrainment in the liquid medium and inhibiting re-deposition, or providing activation energy to facilitate the chemical reactions.
Figure IB is a diagram of a typical batch substrate cleaning system 10. Figure 1C is a top view of the batch substrate cleaning system 10. A tank 11 is filled with a cleaning solution 16 such as deionized water or other substrate cleaning chemicals. A substrate carrier 12, typically a cassette of substrates, holds a batch of substrates 14 to be cleaned. One or more transducers 18 A, 18B, 18C generate the emitted acoustic energy 15 that is propagated through the cleaning solution 16. The relative location and distance between the substrates 14 and the transducers 18 A, 18B and 18C are typically approximately constant from one batch of substrates 14 to another through use of locating fixtures 19A, 19B that contact and locate the carrier 12.
The emitted energy 15, with or without appropriate chemistry to control particle re- adhesion, achieves substrate cleaning through cavitation, acoustic streaming, and enhanced mass transport if cleaning chemicals are used. A batch substrate cleaning process typically requires lengthy processing times, and also can consume excessive volumes of cleaning chemicals 16. Additionally, consistency and substrate-to-substrate control are difficult to achieve.
Figure ID is a prior art, schematic 30 of an RF supply to supply one or more of the transducers 18A, 18B, 18C. An adjustable voltage controlled oscillator (NCO) 32 outputs a signal 33, at a selected frequency, to an RF generator 34. The RF generator 34 amplifies the signal 33 to produce a signal 35 with an increased power. The signal 35 is output to the transducer 18B. A power sensor 36 monitors the signal 35. The transducer 18B outputs emitted energy 15.
Unfortunately, the typical megasonic system has the problem of slow chemical exchange and a large effective reactor chamber volume. This can lead to contaminants being left in a megasonic reaction chamber to be redeposited on the wafer. Consequently, this can lead to inefficient cleaning and lowered wafer processing yields. Further, hot- spots or cold spots in the batch cleaning system can be generated by constructive or destructive interference of the acoustic wave due to reflections from the substrates and tank walls. These hot or cold spots can either damage sensitive structures present on the substrate, or cause inefficient or non-uniform cleaning.
Therefore, there is a need for a method and an apparatus that avoids the prior art by enabling quick and efficient cleaning of a semiconductor wafer, but at the same time reducing the redeposition of contaminants on the wafer following a cleaning operation while using low amounts of cleaning fluid as well as providing a uniform power density delivery to the substrate without hot or cold spots. Such deposits of contamination as often occurs today reduce the yield of acceptable wafers and increase the cost of manufacturing semiconductor wafers.
Therefore, there is also a need for a method and an apparatus that avoids the prior art by allowing quick and efficient cleaning and drying of a semiconductor wafer, but at the same time reducing the formation of numerous water or cleaning fluid droplets which may cause contamination to deposit on the wafer surface. Such deposits as often occurs today reduce the yield of acceptable wafers and increase the cost of manufacturing semiconductor wafers.
SUMMARY OF THE INVENTION
Broadly speaking, the present invention fills these needs by providing a cleaning and drying apparatus that is capable of removing fluids from wafer surfaces quickly while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
In one embodiment, a substrate preparation system is provided which includes a head having a head surface where the head surface is proximate to a surface of the substrate when in operation. The system also includes a first conduit for delivering a first fluid to the surface of the substrate through the head, and a second conduit for delivering a second fluid to the surface of the substrate through the head, where the second fluid is different than the first fluid. The system also includes a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate where the first conduit, the second conduit and the third conduit act substantially simultaneously when in operation.
In another embodiment, a method for processing substrate is provided which includes applying a first fluid onto a surface of a substrate, and applying a second fluid onto the surface of the substrate where the second fluid is applied in close proximity to the application of the first fluid. The method also includes removing the first fluid and the second fluid from the surface of the substrate where the removing is processed just as the first fluid and the second fluid are applied to the surface of the substrate. The applying and the removing forms a controlled meniscus.
In yet another embodiment, a substrate preparation apparatus to be used in substrate processing operations is provided. The apparatus includes a proximity head being configured to move toward a substrate surface. The proximity head includes at least one of a first source inlet where the first source inlet applies a first fluid towards the substrate surface when the proximity head is in a position that is close to the substrate surface. The apparatus also includes at least one of a second source inlet where the second source inlet is configured to apply a second fluid towards the substrate surface when the proximity head is in the position that is close to the substrate surface. The apparatus further includes at least one of a source outlet where the source outlet is configured to apply a vacuum pressure to remove the first fluid and the second fluid from the substrate surface when the proximity head is in the position that is close to the substrate surface. hi another embodiment, a wafer cleaner and dryer to be used in wafer manufacturing operations is provided which includes a proximity head carrier assembly that travels in a linear movement along a radius of a wafer. The proximity head carrier assembly includes a first proximity head capable of being disposed over a wafer and a second proximity head capable of being disposed under the wafer. The proximity head carrier assembly also includes an upper arm connected with the first proximity head where the upper arm is configured so the first proximity head is movable into close proximity over the wafer to initiate one of a wafer cleaning and a wafer drying. The proximity head carrier assembly also includes a lower arm connected with the second proximity head where the lower arm is configured so the second proximity head is movable into close proximity under the wafer to initiate one of the wafer cleaning and the wafer drying.
In yet another embodiment, a method for cleaning and drying a semiconductor wafer is provided. In this embodiment, the method provides a proximity head which includes at least one of a first source inlet, at least one of a second source inlet, and at least one of a source outlet. The method also includes moving the proximity head toward a wafer surface, and generating a first pressure on a fluid film present on the wafer surface when the proximity head is in a first position that is close to the wafer surface. The method further includes generating a second pressure on the fluid film present on the wafer surface when the proximity head is in a first position that is close to the wafer surface, and introducing a third pressure on the fluid film present on the wafer surface when the proximity head is in the first position. The method also includes generating a pressure difference wherein the first pressure and the second pressure is greater than the third pressure, and the pressure difference causes the removal of the fluid film from the wafer surface.
In another embodiment, a substrate preparation apparatus to be used in substrate processing operations is provided. The apparatus includes a proximity head carrier assembly configured to travel in a linear movement along a radius of a substrate. The proximity head carrier assembly includes a first proximity head being disposed over a substrate and a second proximity head being disposed under the substrate. The assembly also includes an upper arm connected with the first proximity head where the upper arm is configured so the first proximity head is movable into close proximity over the substrate to initiate substrate preparation. The assembly further includes a lower arm connected with the second proximity head where the lower arm is configured so the second proximity head is movable into close proximity under the substrate to initiate substrate preparation.
hi one embodiment, a method for processing a substrate is provided that includes generating a fluid meniscus on a surface of the substrate and applying acoustic energy to the fluid meniscus. The method also includes moving the fluid meniscus over the surface the substrate to process the surface of the substrate.
In another embodiment, a head used in a substrate preparation apparatus is provided. The head includes at least one of a first source inlet for delivering a first fluid to the surface of the substrate through the head, and at least one of a second source inlet for delivering a second fluid to the surface of the substrate through the head, the second fluid being different than the first fluid. The head also includes at least one of a source outlet for removing each of the first fluid and the second fluid from the surface of the substrate where at least a portion of the at least one of the source outlet is located in between the at least one of the first source inlet and the at least one of the second source inlet. The at least one of the first source inlet, the at least one of the second source inlet, and the at least one of the source outlet act substantially simultaneously when in operation. The head also includes a transducer being capable of applying acoustic energy to the first fluid. The at least one of the second source inlet surrounds at least a trailing edge side of the at least one of the source outlet.
In yet another embodiment, a manifold for use in preparing a wafer surface is provided. The manifold includes a cleaning region in a first portion of the manifold being configured generate a first fluid meniscus on the wafer surface. The manifold also includes a transducer being defined within the cleaning region capable of applying acoustic energy to the first fluid meniscus. The head also includes a drying region in a second portion of the manifold being configured to generate a second fluid memscus on the wafer surface.
The advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein can effectively and efficiently clean a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer cleaning with lower levels of contamination. The present invention enables the improved cleaning through the use of vacuum fluid removal in conjunction with fluid input and megasonic application. The pressures generated on a wafer surface by the aforementioned forces enable optimal removal of contaminants at the wafer surface with a significant reduction in the redepositing of contamination as compared with other cleaning techniques. The present invention may utilize application of an isopropyl alcohol (IP A) vapor and cleaning chemistries towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time. This enables both the generation and intelligent control of a meniscus and the reduction of water surface tension along a cleaning chemistry interface and therefore enables optimal removal of fluids from the wafer surface without leaving contaminants. At a substantially same time, megasonic waves may be applied to the memscus to provide megasonic based wafer cleaning without the problems of typical megasonic applications. In addition, the cleaning meniscus generated by input of IP A, cleaning chemistries and output of fluids maybe moved along the surface of the wafer to clean the wafer. Moreover, in an additional embodiment, the apparatus and methods described herein may both clean and dry the wafer while at the same time reducing contamination left on the wafer surfaces as compared with prior art cleaning and drying systems. Therefore, the present invention cleans wafer surfaces with extreme effectiveness while substantially reducing contaminant formation.
Additional advantages include efficiencies in drying and cleaning a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer drying with lower levels of contamination. The present invention enables the improved drying and cleaning through the use of vacuum fluid removal in conjunction with fluid input. The pressures generated on a fluid film at the wafer surface by the aforementioned forces enable optimal removal of fluid at the wafer surface with a significant reduction in remaining contamination as compared with other cleaning and drying techniques. In addition, the present invention may utilize application of an isopropyl alcohol (IP A) vapor and deionized water towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time. This enables both the generation and intelligent control of a meniscus and the reduction of water surface tension along a deionized water interface and therefore enables optimal removal of fluids from the wafer surface without leaving contaminants. The memscus generated by input of IP A, DIW and output of fluids may be moved along the surface of the wafer to clean and dry the wafer. Therefore, the present invention evacuates fluid from wafer surfaces with extreme effectiveness while substantially reducing contaminant formation due to ineffective drying such as for example, spin drying.
Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the present invention. BRΓEF DESCRIPTION OF THE DRAWINGS
The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements. Figure 1 A illustrates movement of cleaning fluids on a wafer during an SRD drying process.
Figure IB is a diagram of a typical batch substrate cleaning system.
Figure 1C is a top view of the batch substrate cleaning system.
Figure ID is a prior art, schematic of an RF supply to supply one or more of the transducers.
Figure 2A shows a wafer cleaning and drying system in accordance with one embodiment of the present invention.
Figure 2B shows an alternate view of the wafer cleaning and drying system in accordance with one embodiment of present invention. Figure 2C illustrates a side close-up view of the wafer cleaning and drying system holding a wafer in accordance with one embodiment of the present invention.
Figure 2D shows another side close-up view of the wafer cleaning and drying system in accordance with one embodiment of the present invention.
Figure 3 A shows a top view illustrating the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention.
Figure 3B illustrates a side view of the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention. Figure 4A shows a top view of a wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention.
Figure 4B shows a side view of the wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention. Figure 5 A shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
Figure 5B shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which extends across a diameter of the wafer in accordance with one embodiment of the present invention.
Figure 5C shows a top view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and or dry the wafer that is stationary in accordance with one embodiment of the present invention. Figure 5D shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention. Figure 5E shows a side view of a wafer cleaning and drying system with the proximity heads in a vertical configuration enabled to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
Figure 5F shows an alternate side view of a wafer cleaning and drying system that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention.
Figure 5G shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention.
Figure 5H shows a side view of a wafer cleaning and drying system with the proximity heads and in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention. Figure 6A shows a proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
Figure 6B shows another proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention. Figure 6C shows a further proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head in accordance with one embodiment of the present invention.
Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head in accordance with one embodiment of the present invention. Figure 6F shows another source inlet and outlet orientation where an additional source outlet may be utilized to input an additional fluid in accordance with one embodiment of the present invention.
Figure 7A illustrates a proximity head performing a drying operation in accordance with one embodiment of the present invention. Figure 7B shows a top view of a portion of a proximity head in accordance with one embodiment of the present invention.
Figure 7C illustrates a proximity head with angled source inlets performing a drying operation in accordance with one embodiment of the present invention.
Figure 7D illustrates a proximity head with angled source inlets and angled source outlets performing a drying operation in accordance with one embodiment of the present invention.
Figure 8 A illustrates a side view of the proximity heads for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention. Figure 8B shows the proximity heads in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
Figure 9A shows a top view of a proximity head with a circular shape in accordance with one embodiment of the present invention. Figure 9B shows a side view of the proximity head with a circular shape in accordance with one embodiment of the present invention.
Figure 9C illustrates a bottom view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention. Figure 10A shows a proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
Figure 10B shows a top view of the proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
Figure 10C shows a side view of the proximity head with an elongated ellipse shape in accordance with one embodiment of the present invention.
Figure 11A shows a top view of a proximity head with a rectangular shape in accordance with one embodiment of the present invention.
Figure 1 IB shows a side view of the proximity head with a rectangular shape in accordance with one embodiment of the present invention. Figure 11C illustrates a bottom portion of the proximity head in a rectangular shape in accordance with one embodiment of the present invention.
Figure 12A shows a proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
Figure 12B shows a rear view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
Figure 12C shows a top view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
Figure 13A illustrates a top view of a proximity head with a circular shape similar to the proximity head shown in Figure 9A in accordance with one embodiment of the present invention.
Figure 13B shows the proximity head from a bottom view in accordance with one embodiment of the present invention. Figure 13C illustrates the proximity head from a side view in accordance with one embodiment of the present invention.
Figure 14A shows a proximity head similar in shape to the proximity head shown in Figure 12A in accordance with one embodiment of the present invention. Figure 14B illustrates a top view of the proximity head where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention.
Figure 14C shows a side view of a square end of the proximity head in accordance with one embodiment of the present invention. Figure 15 A shows a bottom view of a 25 holes proximity head in accordance with one embodiment of the present invention.
Figure 15B shows a top view of the 25 holes proximity head in accordance with one embodiment of the present invention.
Figure 15C shows a side view of the 25 holes proximity head in accordance with one embodiment of the present invention.
Figure 16A illustrates a side view of the proximity heads for use in a wafer surface megasonic cleaning system in accordance with one embodiment of the present invention.
Figure 16B illustrates a side view of the proximity heads for use in a dual wafer surface megasonic cleaning system in accordance with one embodiment of the present invention.
Figure 17 illustrates a side view of a proximity head where a megasonic transducer is located between a source outlet and a source inlet in accordance with one embodiment of the present invention.
Figure 18 illustrates a side view of a proximity head with the configuration as discussed in reference to Figure 7A with a megasonic transducer is located between a source outlet and a source inlet on a leading edge side in accordance with one embodiment of the present invention. Figure 19A shows a side view of proximity heads with a combination of a clean/megasonic region and a drying region in accordance with one embodiment of the present invention.
Figure 19B shows a side view of proximity heads with dual megasonic transducers in the clean/megasonic region in accordance with one embodiment of the present invention.
Figure 20 shows an exemplary process window with the plurality of source inlets and as well as the plurality of source outlets in accordance with one embodiment of the present invention. Figure 21 shows a top view of a proximity head with a substantially rectangular shape in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
An invention for methods and apparatuses for cleaning and/or drying a wafer is disclosed. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be understood, however, by one of ordinary skill in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention. While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.
Figures 2A through 2D below illustrate embodiments of an exemplary wafer processing system. It should be appreciated that the system is exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized. In the embodiments shown, the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a circular motion, in a spiral motion, in a zig-zag motion, etc. hi addition, in one embodiment, the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments may be utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer. In addition, the proximity head and the wafer cleaning and drying system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc. The wafer cleaning and drying system may be utilized for either or both cleaning and drying the wafer depending on the configuration of the system. Figure 2A shows a wafer cleaning and drying system 100 in accordance with one embodiment of the present invention. The system 100 includes rollers 102a, 102b, and 102c which may hold and rotate a wafer to enable wafer surfaces to be dried. The system 100 also includes proximity heads 106a and 106b that, in one embodiment, are attached to an upper arm 104a and to a lower arm 104b respectively. The upper arm 104a and the lower arm 104b are part of a proximity head carrier assembly 104 which enables substantially linear movement of the proximity heads 106a and 106b along a radius of the wafer.
In one embodiment the proximity head carrier assembly 104 is configured to hold the proximity head 106a above the wafer and the proximity head 106b below the wafer in close proximity to the wafer. This may be accomplished by having the upper arm 104a and the lower arm 104b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106a and 106b can be moved vertically to a position in close proximity to the wafer. The upper arm 104a and the lower arm 104b may be configured in any suitable way so the proximity heads 106a and 106b can be moved to enable wafer processing as described herein. It should be appreciated that the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus as discussed beϊow in reference to Figures 6D through 8B. It should also be understood that close proximity may be any suitable distance from the wafer as long as a meniscus as discussed in further reference to Figure 6D through 8B may be maintained. In one embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.1 mm to about 10 mm from the wafer to initiate wafer processing operations, hi a preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.5 mm to about 4.5 mm from the wafer to initiate wafer processing operations, and in more preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may be moved to about 2 mm from the wafer to initiate wafer processing operations.
Figure 2B shows an alternate view of the wafer cleaning and drying system 100 in accordance with one embodiment of present invention. The system 100, in one embodiment, has the proximity head carrier assembly 104 that is configured to enable the proximity heads 106a and 106b to be moved from the center of the wafer towards the edge of the wafer. It should be appreciated that the proximity head carrier assembly 104 may be movable in any suitable manner that would enable movement of the proximity heads 106a and 106b to clean and/or dry the wafer as desired. In one embodiment, the proximity head carrier assembly 104 can be motorized to move the proximity head 106a and 106b from the center of the wafer to the edge of the wafer. It should be understood that although the wafer cleaning and drying system 100 is shown with the proximity heads 106a and 106b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc. The proximity heads 106a and/or 106b of the wafer cleaning and drying system 100 may also be any suitable size or shape as shown by, for example, the proximity heads 106, 106-1, 106-2, 106-3, 106-4, 106-5, 106-6, 106-7 which are discussed in reference to Figures 6 through 15. The different configurations described herein generate a fluid meniscus between the proximity head and the wafer. The fluid meniscus may be moved across the wafer to clean and dry the wafer by applying fluid to the wafer surface and removing the fluids from the surface. Therefore, the proximity heads 106a and 106b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may clean and dry one surface of the wafer or both the top surface and the bottom surface of the wafer.
In addition, besides cleaning or drying both the top and bottom surfaces and of the wafer, the system 100 may also be configured to clean one side of the wafer and dry another side of the wafer if desired by inputting and outputting different types of fluids. It should be appreciated that the system 100 may utilize the application of different chemicals top and bottom in the proximity heads 106a and 106b respectively depending on the operation desired. The proximity heads can be configured to clean and dry the bevel edge of the wafer in addition to cleaning and/or drying the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off the edge the wafer which cleans the bevel edge. It should also be understood that the proximity heads 106a and 106b may be the same type of head or different types of heads.
Figure 2C illustrates a side close-up view of the wafer cleaning and drying system 100 holding a wafer 108 in accordance with one embodiment of the present invention.
The wafer 108 may be held and rotated by the rollers 102a, 102b, and 102c in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be cleaned or dried. In one embodiment, the roller 102b may be rotated by using a spindle 111, and the roller 102c may held and rotated by a roller arm 109. The roller 102a may also be rotated by its own spindle (as shown in Figure 3B. In one embodiment, the rollers 102a, 102b, and 102c can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction. It should be understood that the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired. In one embodiment, the rotation imparted on the wafer 108 by the rollers 102a, 102b, and 102c serves to move a wafer area that has not been processed into close proximity to the proximity heads 106a and 106b. However, the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer. Therefore, in an exemplary drying operation, the wet areas of the wafer would be presented to the proximity heads 106a and 106b through both the linear motion of the proximity heads 106a and 106b and through the rotation of the wafer 108. The drying or cleaning operation itself is conducted by at least one of the proximity heads. Consequently, in one embodiment, a dry area of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as a drying operation progresses. By changing the configuration of the system 100 and the orientation of and movement of the proximity head 106a and/or the proximity head 106b, the drying movement may be changed to accommodate nearly any suitable type of drying path.
It should be understood that the proximity heads 106a and 106b may be configured to have at least one of first source inlet configured to input deionized water (DIW) (also known as a DIW inlet), at least one of a second source inlet configured to input isopropyl alcohol (IP A) in vapor form (also known as IPA inlet), and at least one source outlet configured to output fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet). It should be appreciated that the vacuum utilized herein may also be suction. In addition, other types of solutions may be inputted into the first source inlet and the second source inlet such as, for example, cleaning solutions, ammonia, HF, etc. It should be appreciated that although IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, etc. that may be miscible with water.
In one embodiment, the at least one IPA vapor inlet is adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one DIW inlet to form an IPA- vacuum-DIW orientation. It should be appreciated that other types of orientations such as rPA-DIW-vacuum, DIW- vacuum-IP A, vacuum-IP A-DIW, etc. may be utilized depending on the wafer processes desired and what type of wafer cleaning and drying mechanism is sought to be enhanced, hi a preferable embodiment, the IPA-vacuum-DIW orientation may be utilized to intelligently and powerfully generate, control, and move the memscus located between a proximity head and a wafer to clean and dry wafers. The DIW inlets, the IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained. For example, in addition to the IPA vapor inlet, the , vacuum outlet, and the DIW inlet, in an additional embodiment, there may be additional sets of PA vapor outlets, DIW inlets and/or vacuum outlets depending on the configuration of the proximity head desired. Therefore, another embodiment may utilize an rPA-vacuum-DIW-DIW-vacuum-IPA or other exemplary embodiments with an IPA source inlet, vacuum source outlet, and DIW source inlet configurations are described in reference to Figures 7 to 15 with a preferable embodiment being described in reference to Figure 6D.
Figure 2D shows another side close-up view of the wafer cleaning and drying system 100 in accordance with one embodiment of the present invention. In this embodiment, the proximity heads 106a and 106b have been positioned in close proximity to a top surface 108a and a bottom surface 108b of the wafer 108 respectively by utilization of the proximity head carrier assembly 104. Once in this position, the proximity heads 106a and 106b may utilize the IPA and DIW source inlets and a vacuum source outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of removing fluids from a top surface 108a and a bottom surface 108b. The wafer processing memscus may be generated in accordance with the descriptions in reference to Figures 6 through 9B where IPA vapor and DIW are inputted into the region between the wafer 108 and the proximity heads 106a and 106b. At substantially the same time the IPA and DIW is inputted, a vacuum may be applied in close proximity to the wafer surface to output the IPA vapor, the DIW, and the fluids that may be on a wafer surface. It should be appreciated that although IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, etc. that may be miscible with water. The portion of the DIW that is in the region between the proximity head and the wafer is the meniscus. It should be appreciated that as used herein, the term "output" can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term "input" can be the introduction of fluid to the region between the wafer 108 and the particular proximity head. In another exemplary embodiment, the proximity heads 106a and 106b may be moved in a manner so all parts of the wafer 108 are cleaned, dried, or both without the wafer 108 being rotated, hi such an embodiment, the proximity head carrier assembly 104 may be configured to enable movement of the either one or both of the proximity heads 106a and 106b to close proximity of any suitable region of the wafer 108. In one embodiment, the proximity heads may be configured to move in a spiral manner from the center to the edge of the wafer 108 or vice versa. In another embodiment, the proximity heads 104a and 104b may be configured to move in a linear fashion back and forth across the wafer 108 so all parts of the wafer surfaces 108a and/or 108b maybe processed. In yet another embodiment, a configuration as discussed below in reference to Figure 5C through 5F may be utilized. Consequently, countless different configurations of the system 100 may be utilized in order to obtain an optimization of the wafer processing operation.
Figure 3 A shows a top view illustrating the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention. As described above in reference to Figures 2A to 2D, the upper arm 104a may be configured to move and hold the proximity head 106a in a position in close proximity over the wafer 108. The upper arm 104a may also be configured to move the proximity head 106a from a center portion of the wafer 108 towards the edge of the wafer 108 in a substantially linear fashion 113. Consequently, in one embodiment, as the wafer 108 moves as shown by rotation 112, the proximity head 106a is capable of removing a fluid film from the top surface 108a of the wafer 108 using a process described in further detail in reference to Figures 6 through 8. Therefore, the proximity head 106a may dry the wafer 108 in a substantially spiral path over the wafer 108. hi another embodiment as shown in reference to Figure 3B, there maybe a second proximity head located below the wafer 108 to remove a fluid film from the bottom surface 108b of the wafer 108.
Figure 3B illustrates a side view of the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention, hi this embodiment, the system 100 includes both the proximity head 106a capable of processing a top surface of the wafer 108 and the proximity head 106b capable of processing a bottom surface of the wafer 108. In one embodiment, spindles Il ia and 11 lb along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may be presented to the proximity heads 106a and 106b for drying and/or cleaning. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a and 106b are brought to close proximity of the wafer surfaces 108a and 108b by the arms 104a and 104b respectively. Once the proximity heads 106a and 106b are brought into close proximity to the wafer 108, the wafer drying or cleaning may be begun. In operation, the proximity heads 106a and 106b may each remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as described in reference to Figure 6. hi one embodiment, by using the proximity heads 106a and 106b, the system 100 may dry a 200 mm wafer in less than 3 minutes. It should be understood that drying or cleaning time may be decreased by increasing the speed at which the proximity heads 106a and 106b travels from the center of the wafer 108 to the edge of the wafer 108. In another embodiment, the proximity heads 106a and 106b may be utilized with a faster wafer rotation to dry the wafer 108 in less time. In yet another embodiment, the rotation of the wafer 108 and the movement of the proximity heads 106a and 106b may be adjusted in conjunction to obtain an optimal drying/cleaning speed, hi one embodiment, the proximity heads 106a and 106b may move linearly from a center region of the wafer 108 to the edge of the wafer 108 at between about 5 mm per minute to about 500 mm per minute.
Figure 4A shows a top view of a wafer cleaning and drying system 100' which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the system 100' includes an upper arm 104a-l and an upper arm 104a-2. As shown in Figure 4B, the system 100' also may include lower arm 104b-l and lower arm 104b-2 connected to proximity heads 106b-l and 106b-2 respectively. In the system 100', the proximity heads 106a-l and 106a-2 (as well as 106b-l and 106b-2 if top and bottom surface processing is being conducted) work in conjunction so, by having two proximity heads processing a particular surface of the wafer 108, drying time or cleaning time may be cut to about half of the time. Therefore, in operation, while the wafer 108 is rotated, the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 start processing the wafer 108 near the center of the wafer 108 and move outward toward the edge of the wafer 108 in a substantially linear fashion. In this way, as the rotation 112 of the wafer 108 brings all regions of the wafer 108 in proximity with the proximity heads so as to process all parts of the wafer 108. Therefore, with the linear movement of the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 and the rotational movement of the wafer 108, the wafer surface being dried moves in a spiral fashion from the center of the wafer 108 to the edge of the wafer 108. In another embodiment, the proximity heads 106a-l and 106b-l may start processing the wafer 108 and after they have moved away from the center region of the wafer 108, the proximity heads 106a-2 and 106b-2 may be moved into place in the center region of the wafer 108 to augment in wafer processing operations. Therefore, the wafer processing time may be decreased significantly by using multiple proximity heads to process a particular wafer surface.
Figure 4B shows a side view of the wafer cleaning and drying system 100' which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the system 100' includes both the proximity heads 106a-l and 106a-2 that are capable of processing the top surface 108a of the wafer 108, and proximity heads 106b-l and 106b-2 capable of processing the bottom surface 108b of the wafer 108. As in the system 100, the spindles Ilia and 11 lb along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may brought in close proximity to the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 for wafer processing operations.
In operation, each of the proximity heads 106a-l, 106a-2, 106b-l, and 106b-2 may remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as shown, for example, in Figure 6 through 8. By having two proximity heads per wafer side, the wafer processing operation (i.e., cleaning and/or drying) may be accomplished in substantially less time. It should be appreciated that as with the wafer processing system described in reference to Figure 3A and 3B, the speed of the wafer rotation may be varied to any suitable speed as long as the configuration enables proper wafer processing. In one embodiment, the wafer processing time may be decreased when half a rotation of the wafer 108 is used to dry the entire wafer, hi such an embodiment, the wafer processing speed may be about half of the processing speed when only one proximity head is utilized per wafer side.
Figure 5 A shows a top view of a wafer cleaning and drying system 100" with a proximity head 106a-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106a-3 is held by an upper arm 104a-3 that extends across a diameter of the wafer 108. In this embodiment, the proximity head 106a-3 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-3 so the proximity head 106a-3 can be in a position that is in close proximity to the wafer 108. Once the proximity head 106a-3 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place.
Figure 5B shows a side view of a wafer cleaning and drying system 100" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-3 and the proximity head 106b-3 both are elongated to be able to span the diameter of the wafer 108. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a-3 and 106b-3 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-3 respectively. Because the proximity heads 106a-3 and 106b-3 extend across the wafer 108, only half of a full rotation may be needed to clean/dry the wafer 108.
Figure 5C shows a top view of a wafer cleaning and drying system 100'" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean andVor dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the wafer 108 may be held stationary by any suitable type of wafer holding device such as, for example, an edge grip, fingers with edge attachments, etc. The proximity head carrier assembly 104'" is configured to be movable from one edge of the wafer 108 across the diameter of the wafer 108 to an edge on the other side of the wafer 108 after crossing the entire wafer diameter. In this fashion, the proximity head 106a-3 and/or the proximity head 106b-3 (as shown below in reference to Figure 5D) may move across the wafer following a path along a diameter of the wafer 108 from one edge to an opposite edge. It should be appreciated that the proximity heads 106a-3 and/or 106b-3 maybe move from any suitable manner that would enable moving from one edge of the wafer 108 to another diametrically opposite edge. In one embodiment, the proximity head 106a-3 and/or the proximity head 106b-3 may move in directions 121 (e.g., top to bottom or bottom to top of Figure 5C). Therefore, the wafer 108 may stay stationary without any rotation or movement and the proximity heads 106a-3 and/or the proximity head 106b-3 may move into close proximity of the wafer and, through one pass over the wafer 108, clean/dry the top and/or bottom surface of the wafer 108.
Figure 5D shows a side view of a wafer cleaning and drying system 100'" with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-3 is in a horizontal position with the wafer 108 also in a horizontal position. By use of the proximity head 106a-3 and the proximity head 106b-3 that spans at least the diameter of the wafer 108, the wafer 108 may be cleaned and/or dried in one pass by moving proximity heads 106a-3 and 106b-3 in the direction 121 as discussed in reference to Figure 5C.
Figure 5E shows a side view of a wafer cleaning and drying system 100"" with the proximity heads 106a-3 and 106b-3 in a vertical configuration enabled to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the proximity heads 106a-3 and 106b-3 are in a vertical configuration, and the proximity heads 106a-3 and 106b-3 are configured to move either from left to right, or from right to left, beginning from a first edge of the wafer 108 to a second edge of the wafer 108 that is diametrically opposite to the first edge. Therefore, in such as embodiment, the proximity head carrier assembly 104'" may move the proximity heads 104a-3 and 104b-3 in close proximity with the wafer 108 and also enable the movement of the proximity heads 104a-3 and 104b-3 across the wafer from one edge to another so the wafer 108 may be processed in one pass thereby decreasing the time to clean and/or dry the wafer 108.
Figure 5F shows an alternate side view of a wafer cleaning and drying system 100"" that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention. It should be appreciated that the proximity head carrier assembly 104'" may be oriented in any suitable manner such as for example, having the proximity head carrier assembly 104"' rotated 180 degrees as compared with what is shown in Figure 5F. Figure 5G shows a top view of a wafer cleaning and drying system 100-5 with a proximity head 106a-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106a-4 extends across less than a radius of a substrate being processed. In another embodiment, the proximity head 106a-4 may extend the radius of the substrate being processed. In a preferable embodiment, the proximity head 106a-4 extends over a radius of the wafer 108 so the proximity head may process both the center point of the wafer 108 as well as an edge of the wafer 108 so the proximity head 106a-4 can cover and process the center point of the wafer and the edge of the wafer, hi this embodiment, the proximity head 106a-4 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-4 so the proximity head 106a-4 can be in a position that is in close proximity to the wafer 108. Once the proximity head 106a-4 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place. Because, in one embodiment, the proximity head 106a-4 extends over the radius of the wafer, the wafer may be cleaned and/or dried in one rotation.
Figure 5H shows a side view of a wafer cleaning and drying system 100-5 with the proximity heads 106a-4 and 106b-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106a-4 and the proximity head 106b-4 both are elongated to be able to extend over and beyond the radius of the wafer 108. As discussed in reference to Figure 5G, depending on the embodiment desired, the proximity head 106a-4 may extend less than a radius, exactly a radius, or greater than a radius of the wafer 1 8. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a-4 and 106b-4 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-4 respectively. Because in one embodiment, the proximity heads 106a-4and 106b-4 extend across greater than the radius of the wafer 108, only a full rotation may be needed to clean/dry the wafer 108.
Figure 6 A shows a proximity head inlet/outlet orientation 117 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention, fri one embodiment, the orientation 117 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 maybe utilized in addition to the orientation 117 shown. The orientation 117 may include a source inlet 306 on a leading edge 109 with a source outlet 304 in between the source inlet 306 and the source outlet 302. Figure 6B shows another proximity head inlet/outlet orientation 119 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the orientation 119 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 maybe utilized in addition to the orientation 119 shown. The orientation 119 may include a source outlet 304 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source inlet 306.
Figure 6C shows a further proximity head inlet/outlet orientation 121 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the orientation 121 is a portion of a proximity head 106 where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown. The orientation 119 may include a source inlet 306 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source outlet 306.
Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head 106 in accordance with one embodiment of the present invention. Although Figure 6 shows a top surface 108a being dried, it should be appreciated that the wafer drying process may be accomplished in substantially the same way for the bottom surface 108b of the wafer 108. In one embodiment, a source inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108a of the wafer 108, and a source inlet 306 may be utilized to apply deionized water (DIW) toward the top surface 108a of the wafer 108. hi addition, a source outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108a. It should be appreciated that any suitable combination of source inlets and source outlets may be utilized as long as at least one combination exists where at least one of the source inlet 302 is adjacent to at least one of the source outlet 304 which is in turn adjacent to at least one of the source inlet 306. The IPA may be in any suitable form such as, for example, JPA vapor where IPA in vapor form is inputted through use of a N2 gas. Moreover, although DIW is utilized herein, any other suitable fluid may be utilized that may enable or enhance the wafer processing such as, for example, water purified in other ways, cleaning fluids, etc. In one embodiment, an IPA inflow 310 is provided through the source inlet 302, a vacuum 312 may be applied through the source outlet 304 and DIW inflow 314 maybe provided through the source inlet 306. Therefore, an embodiment of the IPA-vacuum-DIW orientation as described above in . reference to Figure 2 is utilized. Consequently, if a fluid film resides on the wafer 108, a first fluid pressure may be applied to the wafer surface by the IPA inflow 310, a second fluid pressure may be applied to the wafer surface by the DIW inflow 314, and a third fluid pressure may be applied by the vacuum 312 to remove the DIW, IPA and the fluid film on the wafer surface.
Therefore, in one embodiment, as the DIW inflow 314 and the IPA inflow 310 is applied toward a wafer surface, any fluid on the wafer surface is intermixed with the DIW inflow 314. At this time, the DIW inflow 314 that is applied toward the wafer surface encounters the IPA inflow 310. The PA forms an interface 118 (also known as an IPA/DIW interface 118) with the DIW inflow 314 and along with the vacuum 312 assists in the removal of the DIW inflow 314 along with any other fluid from the surface of the wafer 108. hi one embodiment, the IP A/DIW interface 118 reduces the surface of tension of the DIW. hi operation, the DIW is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the source outlet 304. The DIW that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the memscus 116 are the IPA/DΓW interfaces 118. Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface. The nearly immediate removal of the DIW from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination drying on the wafer 108. The pressure (which is caused by the flow rate of the PA) of the downward injection of PA also helps contain the meniscus 116.
The flow rate of the PA assists in causing a shift or a push of water flow out of the region between the proximity head and the wafer surface and into the source outlets 304 through which the fluids may be outputted from the proximity head. Therefore, as the PA and the DIW is pulled into the source outlets 304, the boundary making up the PA/DIW interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the source outlets 304 along with the fluids. In one embodiment, as the vacuum from the source outlet 304 pulls the DIW, PA, and the fluid on the wafer surface, the flow into the source outlet 304 is discontinuous. This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas. Consequently, as the proximity head 106 moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the PA DIW interface 118. It should also be understood that the any suitable number of source inlets 302, source outlets 304 and source inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired, hi another embodiment, the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet. It should be appreciated any suitable flow rate may be utilized for the PA, DIW, and vacuum as long as the meniscus 116 can be maintained, hi one embodiment, the flow rate of the DIW through a set of the source inlets 306 is between about 25 ml per minute to about 3,000 ml per minute, hi a preferable embodiment, the flow rate of the DIW through the set of the source inlets 306 is about 400 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more source inlets 302 and 306 and source outlets 304 More flow for larger head.
In one embodiment, the flow rate of the PA vapor through a set of the source inlets 302 is between about 1 standard cubic feet per minute (SCFM) to about 100 SCFM. hi a preferable embodiment, the PA flow rate is between about 10 and 40 SCFM. hi one embodiment, the flow rate for the vacuum through a set of the source outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH. a preferable embodiment, the flow rate for a vacuum though the set of the source outlets 304 is about 350 SCFH. hi an exemplary embodiment, a flow meter may be utilized to measure the flow rate of the PA, DIW, and the vacuum.
Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head 106 in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106 may be moved over the top surface 108a of the wafer 108 so the meniscus may be moved along the wafer surface 108 a. The meniscus applies fluid to the wafer surface and removes fluid from the wafer surface thereby cleaning and drying the wafer simultaneously, hi this embodiment, the source inlet 306 applies a DIW flow 314 toward the wafer surface 108a, the source inlet 302 applies PA flow 310 toward the wafer surface 108a, and the source outlet 312 removes fluid from the wafer surface 108a. It should be appreciated that in this embodiment as well as other embodiments of the proximity head 106 described herein, additional numbers and types of source inlets and source outlets may be used in conjunction with the orientation of the source inlets 302 and 306 and the source outlets 304 shown in Figure 6E. hi addition, in this embodiment as well as other proximity head embodiments, by controlling the amount of flow of fluids onto the wafer surface 108a and by controlling the vacuum applied, the meniscus may be managed and controlled in any suitable manner. For example, in one embodiment, by increasing the DIW flow 314 and/or decreasing the vacuum 312, the outflow through the source outlet 304 may be nearly all DIW and the fluids being removed from the wafer surface 108a. In another embodiment, by decreasing the DIW flow 314 and/or increasing the vacuum 312, the outflow through the source outlet 304 may be substantially a combination of DIW and air as well as fluids being removed from the wafer surface 108a.
Figure 6F shows another source inlet and outlet orientation where an additional source outlet 307 may be utilized to input an additional fluid in accordance with one embodiment of the present invention. The orientation of inlets and outlets as shown in Figure 6E is the orientation described in further detail in reference to Figure 6D except the additional source outlet 307 is included adjacent to the source inlet 306 on a side opposite that of the source outlet 304. hi such an embodiment, DIW may be inputted through the source inlet 306 while a different solution such as, for example, a cleaning solution maybe inputted through the source inlet 307. Therefore, a cleaning solution flow 315 may be utilized to enhance cleaning of the wafer 108 while at substantially the same time drying the top surface 108a of the wafer 108.
Figure 7A illustrates a proximity head 106 performing a drying operation in accordance with one embodiment of the present invention. The proximity head 106, in one embodiment, moves while in close proximity to the top surface 108a of the wafer 108 to conduct a cleaning and/or drying operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, etc.) the bottom surface 108b of the wafer 108. hi one embodiment, the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while fluid is removed from the top surface 108a. By applying the PA 310 through the source inlet 302, the vacuum 312 through source outlet 304, and the deionized water 314 through the source inlet 306, the meniscus 116 as discussed in reference to Figure 6 may be generated.
Figure 7B shows a top view of a portion of a proximity head 106 in accordance with one embodiment of the present invention. In the top view of one embodiment, from left to right are a set of the source inlet 302, a set of the source outlet 304, a set of the source inlet 306, a set of the source outlet 304, and a set of the source inlet 302. Therefore, as PA and DIW are inputted into the region between the proximity head 106 and the wafer 108, the vacuum removes the PA and the DIW along with any fluid film that may reside on the wafer 108. The source inlets 302, the source inlets 306, and the source outlets 304 described herein may also be any suitable type of geometry such as for example, circular opening, square opening, etc. In one embodiment, the source inlets 302 and 306 and the source outlets 304 have circular openings.
Figure 7C illustrates a proximity head 106 with angled source inlets 302' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the source outlet(s) 304 described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process. In one embodiment, the angled source inlets 302' that input PA vapor onto the wafer 108 is angled toward the source inlets 306 such that the PA vapor flow is directed to contain the memscus 116.
Figure 7D illustrates a proximity head 106 with angled source inlets 302' and angled source outlets 304' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the angled source outlet(s) 304' described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
In one embodiment, the angled source inlets 302' that input PA vapor onto the wafer 108 is angled at an angle θ500 toward the source inlets 306 such that the PA vapor flow is directed to contain the meniscus 116. The angled source outlet 304' may, in one embodiment, be angled at an angle θ50o towards the meniscus 116. It should be appreciated that the angle θ500 and the angle θ5o2 may be any suitable angle that would optimize the management and control of the meniscus 116. h one embodiment, the angle Θ500 is greater than 0 degrees and less than 90 degrees, and the angle θ5o2 is greater than 0 degrees and less than 90 degrees. In a preferable embodiment, the angle Θ500 is about 15 degrees, and in another preferable embodiment, the angle angled at an angle θ502 is about 15 degrees. The angle θ500 and the angle θ5o adjusted in any suitable manner to optimize meniscus management, hi one embodiment, the angle θ50o and the angle θ502 may be the same, and in another embodiment, the angle Θ500 and the angle θ502 may be different. By angling the angled source inlet(s) 302' and/or angling the angled source outlet(s) 304', the border of the meniscus maybe more clearly defined and therefore control the drying and/or cleaning the surface being processed.
Figure 8 A illustrates a side view of the proximity heads 106a and 106b for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention. In this embodiment, by usage of source inlets 302 and 306 to input PA and DIW respectively along with the source outlet 304 to provide a vacuum, the meniscus 116 may be generated, hi addition, on the side of the source inlet 306 opposite that of the source inlet 302, there may be a source outlet 304 to remove DIW and to keep the meniscus 116 intact. As discussed above, in one embodiment, the source inlets 302 and 306 may be utilized for PA inflow 310 and DIW inflow 314 respectively while the source outlet 304 may be utilized to apply vacuum 312. It should be appreciated that any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 maybe utilized. For example, the proximity heads 106a and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figure 7A and 7B. hi addition, in yet more embodiments, the proximity heads 106a and 106b may be of a configuration as shown below in reference to Figures 9 through 15. Any suitable surface coming into contact with the meniscus 116 may be dried by the movement of the meniscus 116 into and away from the surface.
Figure 8B shows the proximity heads 106a and 106b in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a processes the top surface 108a of the wafer 108, and the proximity head 106b processes the bottom surface of 108b of the wafer 108. By the inputting of the PA and the DIW by the source inlets 302 and 306 respectively, and by use of the vacuum from the source outlet 304, the meniscus 116 may be formed between the proximity head 106a and the wafer 108 and between the proximity head 106b and the wafer 108. The proximity heads 106a and 106b, and therefore the meniscus 116, may be moved over the wet areas of the wafer surface in an manner so the entire wafer 108 can be dried.
Figures 9 through 15 illustrate exemplary embodiments of the proximity head 106. As shown by the exemplary figures that follow, the proximity head may be any suitable configuration or size that may enable the fluid removal process as described in Figures 6 to 8. Therefore, any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2A to 2D. In addition, the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306. It should be appreciated that the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing. All of the proximity heads described in Figures 9 through 15 enable usage of the PA- vacuum-DIW orientation or a variant thereof as described above in reference to Figures 2 and 6. hi addition, the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304. In addition, the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows. It should be appreciated that the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable. In one embodiment, the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter. In a preferable embodiment, the size of the openings of the source inlets 302 and the source outlets 304 is about 0.03 inch, and the size of the openings of the source inlets 306 is about 0.06 inch. hi one embodiment the source inlets 302 and 306 in addition to the source outlets 304 are spaced about 0.03 inch and about 0.5 inch apart, hi a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.03 inch apart and the source inlets 302 are spaced about 0.03 inch apart. Figure 9 A shows a top view of a proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies PA to a surface of the wafer 108. The proximity head 106-1 also includes three of the source outlets 304 in a center portion of the head 106-1. In one embodiment, one of the source inlets 306 is located adjacent to the source inlets 302 and the source outlets 304. hi this embodiment, another one the source inlets 306 is located on the other side of the source outlets 304.
In this embodiment, the proximity head 106-1 shows that the three source outlets 304 are located in the center portion and is located within an indentation in the top surface of the proximity head 106-1. In addition, the source inlets 302 are located on a different level than the source inlets 306. The side of the proximity head 106-1 is the side that comes into close proximity with the wafer 108 for cleaning and/or drying operations.
Figure 9B shows a side view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention. The proximity head 106-1 has inputs at a bottom portion 343 which lead to the source inlets 302 and 306 and the source outlets 304 as discussed in further detail in reference to Figure 9C. hi one embodiment, a top portion 341 of the proximity head 106-1 is smaller in circumference than the bottom portion 343. As indicated previously, it should be appreciated that the proximity head 106-1 as well as the other proximity heads described herein may have any suitable shape and/or configuration.
Figure 9C illustrates a bottom view of the proximity head 106-1 with a circular shape in accordance with one embodiment of the present invention. The proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306 as discussed in reference to Figure 9A.
It should be appreciated that the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306. The embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein. In one embodiment, the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart, i a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
Figure 10A shows a proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention. The proximity head 106-2 includes the source inlets 302, source outlets 304, and source inlets 306. In this embodiment, the source inlets 302 are capable of applying PA toward a wafer surface region, the source inlets 306 are capable of applying DIW toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the PA, DIW, and any other type of fluids that may reside on a wafer surface may be removed. The proximity head 106-2 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-2 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-2.
Figure 10B shows a top view of the proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention. In Figure 10B, the pattern of the source outlets 304 and the source inlets 302 and 306 is indicated. Therefore, in one embodiment, the proximity head 106-2 includes the source inlets 302 located outside of the source outlets 304 which are in turn located outside of the source inlets 306. Therefore, the source inlets 302 substantially surround the source outlets 304 which in turn substantially surround the source inlets 306 to enable the PA- vacuum-DIW orientation. In one embodiment, the source inlets 306 are located down the middle of the long axis of the of the proximity head 106-2. hi such an embodiment, the source inlets 302 and 306 input PA and DIW respectively to a region of the wafer 108 that is being dried and/or cleaned. The source outlets 304 in this embodiment exert vacuum in close proximity of the region of the wafer 108 being dried thereby outputting the PA and the DIW from the source inlets 302 and 306 as well as other fluids from the region of the wafer 108 that is being dried. Therefore, in one embodiment, a drying/cleaning action as discussed in reference to Figure 6 may occur to clean dry the wafer 108 in an extremely effective manner.
Figure 10C shows a side view of the proximity head 106-2 with an elongated ellipse shape in accordance with one embodiment of the present invention. It should be appreciated that the proximity head 106-2 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein.
Figure 11 A shows a top view of a proximity head 106-3 with a rectangular shape in accordance with one embodiment of the present invention. In this embodiment, as shown in Figure 11 A, the proximity head 106-3 includes two rows of the source inlets 302 at the top of the figure, the source outlets 304 in a row below the source inlets 302, a row of source inlets 306 below the source outlets 304, and a row of the source outlets 304 below the source inlets 306. hi one embodiment, PA and DIW may be inputted to the region of the wafer 108 that is being dried through the source inlets 302 and 306 respectively. The source outlets 304 may be utilized to pull away fluids from the surface of the wafer 108 such as the PA and the DIW in addition to other fluids on the surface of the wafer 108.
Figure 1 IB shows a side view of the proximity head 106-3 with a rectangular shape in accordance with one embodiment of the present invention. The proximity head 106-3 includes ports 342a, 342b, and 342c which, in one embodiment, may be utilized to input and/or output fluids through the source inlets 302 and 306 as well as the source outlets 304. It should be appreciated that any suitable number of ports 342a, 342b, and 342c may be utilized in any of the proximity heads described herein depending on the configuration and the source inlets and outlets desired.
Figure 11C illustrates a bottom portion of the proximity head 106-3 in a rectangular shape in accordance with one embodiment of the present invention. The proximity head 106-3 includes ports 342a, 342b, and 342c on a back portion while connecting holes 340 on the bottom portion may be utilized to attach the proximity head 106-3 to the top arm 104a as discussed above in reference to Figures 2 A through 2D .
Figure 12A shows a proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-4 includes one row of source inlets 306 that is adjacent on both sides to rows of source outlets 304. One of the rows of source outlets 304 is adjacent to two rows of source inlets 302. Perpendicular to and at the ends of the rows described above are rows of source outlets 304.
Figure 12B shows a rear view of the proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-4 includes ports 342a, 342b, and 342c on a back side as shown by the rear view where the back side is the square end of the proximity head 106-4. The ports 342a, 342b, and 342c may be utilized to input and/or output fluids through the source inlets 302 and 306 and the source outlets 304. hi one embodiment, the ports 342a, 342b, and 342c correspond to the source inlets 302, the source outlets 304, and the source inlets 306 respectively.
Figure 12C shows a top view of the proximity head 106-4 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. As shown this view, the proximity head 106-4 includes a configuration of source inlets 302 and 306, and source outlets 304 which enable the usage of the PA-vacuum-DIW orientation.
Figure 13 A illustrates a top view of a proximity head 106-5 with a circular shape similar to the proximity head 106-1 shown in Figure 9 A in accordance with one embodiment of the present invention. In this embodiment, the pattern of source inlets and source outlets is the same as the proximity head 106-1, but as shown in Figure 13B, the proximity head 106-5 includes connecting holes 340 where the proximity head 106-5 can be connected with an apparatus which can move the proximity head close to the wafer.
Figure 13B shows the proximity head 106-5 from a bottom view in accordance with one embodiment of the present invention. From the bottom view, the proximity head 106-5 has the connecting holes 340 in various locations on a bottom end. The bottom end may be connected to either the upper arm 106a or the bottom arm 106b if the proximity head 106-5 is utilized in the system 100 as shown above in reference to Figure 2 A through 2D. It should be appreciated that the proximity head 106-5 may have any suitable number or type of connecting holes as long as the proximity head 106-5 maybe secured to any suitable apparatus that can move the proximity head 106-5 as discussed above in reference to Figures 2A through 2D. Figure 13C illustrates the proximity head 106-5 from a side view in accordance with one embodiment of the present invention. The proximity head 106-5 has a side that is a larger circumference than the side that moves into close proximity with the wafer 108. It should be appreciated although the circumference of the proximity head 106-5 (as well as the other embodiments of the proximity head 106 that is described herein) may be any suitable size and may be varied depending on how much surface of the wafer 108 is desired to be processed at any given time.
Figure 14 A shows a proximity head 106-6 where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106-6 has a pattern of the source inlets 302 and 306 as well as the source outlets 304 similar to the pattern as shown in the proximity head 106-4 described in reference to Figure 12A except there are additional rows of source inlets 302 as can be seen from the top view of Figure 14B.
Figure 14B illustrates a top view of the proximity head 106-6 where one end is squared off while the other end is rounded in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-6 includes a dual tiered surface with the configuration of source inlets 302 and 306 and source outlets 304 that enables the ability to apply the PA- vacuum-DIW orientation during wafer processing.
Figure 14C shows a side view of a square end of the proximity head 106-6 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-6 includes the ports 342a, 342b, and 342c which enables input and output of fluid both to and from the source inlets 302 and 306 as well as the source outlets 304.
Figure 15A shows a bottom view of a 25 holes proximity head 106-7 in accordance with one embodiment of the present invention, hi this embodiment, the proximity head 106-7 includes 25 openings any of which maybe utilized as ports 342a, 342b, and 342c depending on the configuration desired, hi one embodiment, seven openings are the ports 342a, six openings are the source outlets 342b, and three openings are ports 342c. hi this embodiment, the other nine openings are left unused. It should be appreciated that the other holes may be used as ports 342a, 342b, and/or 342c depending on the configuration and type of function desired of the proximity head 106-7.
Figure 15B shows a top view of the 25 holes proximity head 106-7 in accordance with one embodiment of the present invention. The side of the proximity head 106-7 shown by Figure 15B is the side that comes into close proximity with the wafer 108 to conduct drying and/or cleaning operations on the wafer 108. The proximity head 106-7 includes an PA input region 382, a vacuum outlet regions 384, and a DIW input region 386 in a center portion of the proximity head 106-7. hi one embodiment, the PA input region 382 includes a set of the source inlets 302, the vacuum outlet regions 384 each include a set of the source outlets 304, and the DIW input region 386 includes a set of the source inlets 306.
Therefore, in one embodiment when the proximity head 106-7 is in operation, a plurality of the source inlet 302 inputs PA into the PA input region, a plurality of the source outlet 304 generates a negative pressure (e.g., vacuum) in the vacuum outlet regions 384, and a plurality of the source inlet 306 inputs DIW into the DIW input region 386. i this way, the PA-vacuum-DIW orientation may be utilized to intelligently dry a wafer.
Figure 15C shows a side view of the 25 holes proximity head 106-7 in accordance with one embodiment of the present invention. As shown in this view, a top surface of the proximity head 106-7 has a dual level surface. In one embodiment, the level with the plurality of the source inlet 302 is below the level with the plurality of the source outlet 304 and the plurality of the source inlet 306.
Figure 16A illustrates a side view of the proximity heads 106a and 106b for use in a wafer surface megasonic cleaning system in accordance with one embodiment of the present invention, hi this embodiment, by usage of source inlets 302 and 306' to input N2/PA and cleaning chemistry respectively along with the source outlet 304 to provide a vacuum, the meniscus 116 may be generated. It should be appreciated that any suitable type of chemistry that can clean a wafer surface that is compatible with the material of the proximity heads 106a and 106b may be utilized, hi addition, on the side of the source inlet 306' opposite that of the source inlet 302, there may be a source outlet 304 to remove cleaning chemistry and to keep the meniscus 116 intact. The source inlets 302 and 306' may be utilized for PA inflow 310 and cleaning chemistry inflow 314' respectively while the source outlet 304 may be utilized to apply vacuum 312. It should be appreciated that any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 may be utilized. For example, the proximity heads 106a and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figures 6 A. hi addition, in yet more embodiments, the proximity heads 106a and 106b may be of a configuration as shown below in reference to Figures 6B through 8B. hi another embodiment, the proximity heads 106a and 106b may have different configurations. Any suitable surface coming into contact with the meniscus 116 may be cleaned by the movement of the meniscus 116 into and away from the surface.
The cleaning of the wafer 108 may be enhanced through the use of megasonics. In one embodiment, a transducer 406 may be defined within the proximity head(s) 106a. hi a preferable embodiment, a transducer 406 may be defined within the proximity head 106a between die source outlet 304 and the source inlet 306'. Once the meniscus 116 has been formed, an RF supply 408 can supply a transducer 406 with energy. The transducer 406 converts the energy from the RF supply 408 into acoustic energy. It should be appreciated that the transducer may have any suitable configuration that would enable the conversion of RF to acoustic energy, hi one embodiment, the transducer 406 is a piezoelectric crystal 406a bonded to a body 406b. In a preferable embodiment, the transducer is coated with a substance such as, for example, Teflon to protect the crystal 406a and the body 406b from the cleaning chemistry and contaminants that may exist on the surface of the wafer being cleaned. The acoustic energy may produce megasonic (600 kHz - 1.5 MHz) or ultrasonic (below 600 kHz) waves, hi a preferable embodiment, the transducer 406 generates megasonic waves to create cavitations in the meniscus 116. The cavitations of the cleaning chemistry comprising the meniscus 116 enhance cleaning properties of the memscus 116. Therefore, contaminants cleaned off of the wafer surface by the memscus 116 is pulled off of the wafer through the source outlet 304. By using megasonics along with the controllable meniscus 116, the apparatus and methods described herein may enable usage of megasonic cleaning in a small volume space thereby enabling fast chemical exchange with improved mass transport during cleaning. Figure 16B illustrates a side view of the proximity heads 106a and 106b for use in a dual wafer surface megasonic cleaning system in accordance with one embodiment of the present invention. In this embodiment, by usage of source inlets 302 and 306' to input N /PA and cleaning chemistry respectively along with the source outlet 304 to provide a vacuum, the meniscuses 116 may be generated by the heads 106a and 106b on the top surface and the bottom surface of the wafer 108 respectively, fh one embodiment, the proximity head 106b may have the same configuration as the proximity head 106a except the proximity head 106b is positioned to process the other side of the wafer 108. In addition, the megasonic transducer 406 maybe defined within each of the heads 106a and 106b. The RF supply may provide RF energy for the piezoelectric crystal 406a to convert to acoustic energy. The acoustic energy may then be applied to the meniscuses 116 on both the top surface and the bottom surface of the wafer. Consequently, dual surface megasonic meniscus cleaning may be conducted.
Figure 17 illustrates a side view of a proximity head 106 where a megasonic transducer 406 is located between a source outlet 304 and a source inlet 306' in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106 has a PA-vacuum-liquid-megasonic-vacuum configuration. In operation, the PA/N2 is inputted through the source inlet 302, the vacuum is applied through the source outlet 304, the liquid is applied through the source inlet 306', and megasonic acoustic waves are applied by the transducer 406 to the meniscus 116, and the vacuum on the leading edge side of the proximity head 106 is applied by the source outlet 304. Therefore, in this way, the meniscus 116 comprising the cleaning chemistry may be formed and the megasonic transducer 406 which is in direct contact with the meniscus 116 may apply ultrasonic or megasonic acoustic waves. As discussed above, the acoustic waves may generate cavitation in the meniscus 116 thereby enhancing the cleaning properties of the cleaning chemistry that is in contact with a surface of the wafer 108.
Figure 18 illustrates a side view of a proximity head 106 with the configuration as discussed in reference to Figure 7A with a megasonic transducer 406 is located between a source outlet 304 and a source inlet 306' on a leading edge side in accordance with one embodiment of the present invention, hi this embodiment, the meniscus 116 may be confined by PA vapor on both the leading edge and the trailing edge of the proximity head 106. The meniscus 116 is located on a leading edge side of the source inlet 306'.
Figure 19A shows a side view of proximity heads 106a and 106b with a combination of a clean/megasonic region 442 and a drying region 440 in accordance with one embodiment of the present invention, hi one embodiment, the clean/megasonic region 442 includes the source inlet 302, the source outlet 304, and the source inlet 306'. The megasonic transducer 406 is defined in the head 106a such a way that the transducer 406 may contact the meniscus 116 in the clean/megasonic region 442. hi a preferable embodiment, the clean region 442 is located on a leading edge side of the proximity head 106 as compared with the location of the drying region 440. In one embodiment, the drying region 440 includes the source inlet 302, the source outlet 304, and the source inlet 306. In such an embodiment, the source inlet 306 inputs deionized water, hi this way the wafer 108 may be cleaned in a highly efficient manner.
Figure 19B shows a side view of proximity heads 106a and 106b with dual megasonic transducers in the clean/megasonic region 442 in accordance with one embodiment of the present invention, hi one embodiment, both the proximity heads 106a and 106b each include transducers that may turn RF into acoustic energy, hi one embodiment, the proximity head 106b has the same configuration of the proximity head 106a except the proximity head 106b positioned to process the other side of the wafer 108. hi one embodiment, the transducers 406 of both the heads 106a and 106b may be configured to output megasonic waves directly to the memscus 116. In a preferable embodiment, the transducers 406 may be configured to output megasonic waves directly to the meniscuses 116 on both sides of the wafer 108. It should also be appreciated that the transducers may be located in any portion of the proximity heads 106a and 106b that may enable acoustic waves to be outputted directly to the meniscus 116 that is cleaning the wafer. In a preferable embodiment, the location of the transducers 406 may be in the location as described above in reference to Figure 19A.
Figure 20 shows an exemplary process window 538 with the plurality of source inlets 302 and 306 as well as the plurality of source outlets 304 in accordance with one embodiment of the present invention, hi one embodiment, the process window 538 in operation may be moved in direction 546 across a wafer during, for example, a wafer cleaning operation. The process window 538 is the location where the meniscus 116 may be formed, hi such an embodiment, a proximity head 106 may encounter contaminated areas on a wafer surface on a leading edge region 548. The leading edge region 548 is an area of the proximity head 106 that, in a cleaning process, encounters contaminants first. Conversely a trailing edge region 560 is an area of the proximity head 106 that encounters the area being processed last. As the proximity head 106 and the process window 538 included therein move across the wafer in the direction 546, the dirty area (or a wet area in a drying operation) of the wafer surface enter the process window 538 through the leading edge region 548. Then after processing of the unclean region (or a wet region in a drying process) of the wafer surface by the memscus that is generated and confrollably maintained and managed by the process window 538, the unclean region is cleaned and the cleaned region of the wafer (or substrate) leaves the process window 538 through a trailing edge region 560 of the proximity head 106. hi an alternative embodiment, a wet region is dried and the dried region of the wafer leaves the process window 538 through the trailing edge region 560 of the proximity head 106. hi one embodiment, the transducer 406 may be defined between the source inlets and the source outlets. Therefore, the transducer 406 may be defined within the process window 538 in a manner that enables the transducer 406 to directly apply acoustic waves to a meniscus formed by the process window 538. Therefore the cleaning chemistry that make up the meniscus 116 and the cavitations formed within the meniscus 116 may optimally clean the surface of the wafer.
It should be appreciated any of the different embodiments of the proximity head 106 described may be used as one or both of the proximity heads 106a and 106b described above in reference to Figures 2A through 5H. The proximity head may be any suitable configuration or size that may enable the fluid removal and/or cleaning process as described, hi addition, exemplary proximity heads and their respective patterns of the source inlets 302 and 304 as well as the source outlets 306 maybe seen in U.S. Patent Applications Nos. 10/261,839, 10/404,270, and 10/330, 897 which have been incorporated by reference. Therefore, any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2 A to 2D. hi addition, the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306. Moreover, the transducer 406 may be any suitable size, shape, and number as long the transducer 406 may apply acoustic waves to the meniscus 116. It should be appreciated that the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing.
The proximity head described in Figure 21 is a manifold that enables usage of the PA-vacuum-liquid orientation as described above, hi addition, the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304. hi addition, the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows.
It should be appreciated that the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable. In one embodiment, the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter. In a preferable embodiment, the size of the openings of the source inlets 306 and the source outlets 304 is about 0.06 inch, and the size of the openings of the source inlets 302 is about 0.03 inch. In one embodiment the source inlets 302 and 306 in addition to the source outlets
304 are spaced about 0.03 inch and about 0.5 inch apart, hi a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.125 inch apart and the source inlets 302 are spaced about 0.06 inch apart, hi one embodiment, the source inlets 302, the source outlets 304 maybe combined in the form of one or more slots or channels rather than multiple openings. By way of example, the source outlets 304 may be combined in the form of one or more channels that at least partially surrounds the area of the source outlets 306 for the portion of the meniscus. Similarly, the PA outlets 302 can be combined into one or more channels that lie outside the area of the source inlets 304. The source outlets 306 can also be combined into one or more channels. Additionally, the proximity heads may not necessarily be a "head" in configuration but may be any suitable configuration, shape, and/or size such as, for example, a manifold, a circular puck, a bar, a square, an oval puck, a tube, plate, etc., as long as the source inlets 302, and 306, and the source outlets 304 may be configured in a manner that would enable the generation of a controlled, stable, manageable fluid meniscus. A single proximity head can also include sufficient source inlets 302 and 306, and the source outlets 304 such that the single proximity head can also support multiple meniscuses. The multiple meniscuses can simultaneously perform separate functions (e.g., etch, rinse, and drying processes), hi a preferable embodiment, the proximity head may be a type of manifold as described in reference to the Figures or other suitable configurations. The size of the proximity heads may be varied to any suitable size depending on the application desired. In one embodiment, the length (from a top view showing the process window) of the proximity heads may be between 1.0 inch to about 18.0 inches and the width (from a top view showing the process window) may be between about 0.5 inch to about 6.0 inches. Also when the proximity head may be optimized to process any suitable size of wafers such as, for example, 200mm wafers, 300, wafers, etc. The process windows of the proximity heads may be arranged in any suitable manner as long as such a configuration may generate a controlled stable and manageable fluid meniscus.
Figure 21 shows a top view of a proximity head 106-1 with a substantially rectangular shape in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies PA to a surface of the wafer 108.
In this embodiment, the source inlets 302 are capable of applying PA toward a wafer surface region, the source inlets 306 are capable of applying cleaning chemistry toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the PA, cleaning chemistry, and any other type of fluids that may reside on a wafer surface may be removed.
The proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids maybe inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106- 1. It should be appreciated that the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306. The embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein, hi one embodiment, the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter, hi a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter, hi one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart. hi one embodiment, the transducer 406 is located in between the source inlets 306 and the source outlets 304. It should be appreciated that the transducer 406 may be located in any suitable region of the head 106-1 as long as the transducer 406 may apply acoustic waves to the memscus 116. Therefore, the transducer 406 may apply acoustic waves such as, for example, ultrasonic waves and/or megasonic waves to the meniscus 116 as described above. Consequently, by use of cleaning chemicals and megasonics, the cleaning of wafer surfaces maybe intelligently optimized and enhanced.
While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.
What is claimed is:

Claims

1. A substrate preparation system, comprising: a h ead h aving a h ead s urface, t he h ead s urface c onfigured t o b e p roximate t o a surface of the substrate when in operation; a first conduit for delivering a first fluid to the surface of the substrate through the head; a second conduit for delivering a second fluid to the surface of the substrate through the head, the second fluid being different than the first fluid; and a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate, the first conduit, the second conduit and the third conduit configured to act substantially simultaneously when in operation.
2. A substrate preparation system as recited in claim 1, wherein the substrate moves so that the head traverses the surface of the substrate.
3. A substrate preparation system as recited in claim 1, wherein the head moves to traverses the surface of the substrate.
4. A substrate preparation system as recited in claim 1, wherein the head extends in size up to a diameter of the substrate.
5. A substrate preparation system as recited in claim 1, wherein the head has a length that is larger than a diameter of the substrate.
6. A substrate preparation system as recited in claim 1, wherein a second head is positioned on an opposite side of the head and made to be proximate to an undersurface of the substrate when in operation.
7. A substrate preparation system as recited in claim 1, wherein the first fluid is one of deionized water (DIW) and a cleaning fluid.
8. A substrate preparation system as recited in claim 1, wherein the second fluid is one of isopropyl alcohol (PA) vapor, nitrogen, organic compounds, hexanol, ethyl glycol, and compounds miscible with water.
9. A method for processing substrate, comprising: applying a first fluid onto a surface of a substrate; applying a second fluid onto the surface of the substrate, the second fluid being applied in close proximity to the application of the first fluid; and removing the first fluid and the second fluid from the surface of the substrate, the removing being processed just as first fluid and the second fluid are applied to the surface of the substrate; wherein the applying and the removing forming a controlled memscus.
10. A method for processing substrate as recited in claim 9, wherein the first fluid is one of DIW and a cleaning fluid.
11. A method for processing substrate as recited in claim 9, wherein the first fluid is one of isopropyl alcohol (PA) vapor, nitrogen, organic compounds, hexanol, ethyl glycol, and compounds miscible with water.
12. A method for processing substrate as recited in claim 9, wherein the removing the first fluid and the second fluid includes applying a vacuum in close proximity to the surface of the substrate.
13. A method for processing substrate as recited in claim 12, wherein applying the vacuum includes adjusting a magnitude of the vacuum to form the stable meniscus.
14. A substrate preparation apparatus to be used in substrate processing operations, comprising: a proximity head being configured to move toward a substrate surface, the proximity head including, at least one of a first source inlet, the first source inlet being configured to apply a first fluid towards the substrate surface when the proximity head is in a position that is close to the substrate surface, at least one of a second source inlet, the second source inlet being configured to apply a second fluid towards the substrate surface when the proximity head is in the position that is close to the substrate surface, and at least one of a source outlet, the source outlet being configured to apply a vacuum pressure to remove the first fluid, the second fluid from the substrate surface when the proximity head is in the position that is close to the substrate surface.
15. A substrate preparation apparatus as recited in claim 14, wherein the first inlet is configured to introduce an isopropyl alcohol (PA) vapor toward the substrate.
16. A substrate preparation apparatus as recited in claim 14, wherein the second inlet is configured to introduce deionized water (DIW) toward the substrate.
17. A substrate preparation apparatus as recited in claim 14, wherein the proximity head is configured to produce a memscus on the substrate when the proximity head is moved in close proximity to the substrate.
18. A substrate preparation apparatus as recited in claim 14, further comprising: a proximity head carrier assembly configured to move the proximity head in a linear movement along a radius of the substrate.
19. A substrate preparation apparatus to be used in substrate processing operations, comprising: a proximity head carrier assembly configured to travel in a linear movement along a radius of a substrate, the proximity head carrier assembly including, a first proximity head being disposed over a substrate; a second proximity head being disposed under the substrate; an upper arm connected with the first proximity head, the upper arm being configured so the first proximity head is movable into close proximity over the substrate to initiate substrate preparation; and a lower arm connected with the second proximity head, the lower arm being configured so the second proximity head is movable into close proximity under the substrate to initiate substrate preparation.
20. A method for processing a substrate , comprising: generating a fluid meniscus on a surface of the substrate; applying acoustic energy to the fluid meniscus; and moving the fluid meniscus over the surface the substrate to process the surface of the substrate.
21. A method for processing a substrate as recited in claim 20, wherein applying the acoustic energy to the fluid meniscus includes, generating the acoustic energy from a radio frequency being applied to a piezoelectric crystal in a transducer.
22. A method for processing a substrate as recited in claim 20, wherein applying the acoustic energy to the fluid meniscus generates cavitations in the fluid meniscus.
23. A method for processing a substrate as recited in claim 20, wherein generating the fluid meniscus includes, applying a first fluid onto a first region of the surface of the substrate; applying a second fluid onto a second region of the surface of the substrate; and removing the first fluid and the second fluid from the surface of the substrate, the removing occurring from a third region that substantially surrounds the first region; wherein the second r egion s ubstantially s urrounds a 11 east a p ortion o f t he t hird region, and the applying and the removing forms the fluid meniscus.
24. A method for processing substrate as recited in claim 23, wherein the first fluid is a cleaning fluid.
25. A method for processing substrate as recited in claim 23, wherein the second fluid is one of isopropyl alcohol (PA) vapor, organic compounds, hexanol, ethyl glycol, and compounds miscible with water.
26. A method for processing substrate as recited in claim 23, wherein the removing the first fluid and the second fluid includes applying a vacuum in close proximity to the surface of the substrate.
27. A method for processing substrate as recited in claim 20, wherein the acoustic energy is at least one of megasonics waves and ultrasonic waves.
28. A head used in a substrate preparation apparatus, comprising: at least one of a first source inlet for delivering a first fluid to the surface of the substrate through the head; at least one of a second source inlet for delivering a second fluid to the surface of the substrate through the head, the second fluid being different than the first fluid; and at least one of a source outlet for removing each of the first fluid and the second fluid from the surface of the substrate, at least a portion of the at least one of the source outlet being located in between the at least one of the first source inlet and the at least one of the second source inlet, and the at least one of the first source inlet, the at least one of the second source inlet, and the at least one of the source outlet being configured to act substantially simultaneously when in operation; and a transducer being capable of applying acoustic energy to the first fluid; wherein the at least one of the second source inlet surrounds at least a trailing edge side of the at least one of the source outlet.
29. A head used in a substrate preparation apparatus as recited in claim 28, wherein the first fluid is a cleaning chemistry.
30. A head used in a substrate preparation apparatus as recited in claim 28, wherein the transducer includes a body and a piezoelectric crystal defined in the body.
31. A head used in a substrate preparation apparatus as recited in claim 30, wherein the transducer is attached to an RF supply and the piezoelectric crystal within the transducer is capable of receiving RF and generating the acoustic energy.
32. A head used in a substrate preparation apparatus as recited in claim 28, wherein the acoustic energy are at least one of an ultrasonic waves and megasonic waves.
PCT/US2003/031136 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces WO2004030052A2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
KR1020047006142A KR101055997B1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying a semiconductor wafer surface using a plurality of inlets and outlets fixed close to the semiconductor wafer surface
EP03798815A EP1500128B1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
DE60314508T DE60314508T2 (en) 2002-09-30 2003-09-30 METHOD AND APPARATUS FOR DRYING SEMICONDUCTOR SURFACES USING A VARIETY OF INPUTS AND OUTPUTS NEAR THE DISC SURFACE
IL16155003A IL161550A0 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
AU2003277212A AU2003277212A1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
KR1020107013940A KR101060542B1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surface
JP2004540334A JP4559226B2 (en) 2002-09-30 2003-09-30 Method and apparatus for drying a semiconductor wafer surface using a plurality of inlets and outlets held in close proximity to the wafer surface
IL16155004A IL161550A (en) 2002-09-30 2004-04-21 Apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
IL190454A IL190454A (en) 2002-09-30 2008-03-26 Apparatus for cleaning substrate using megasonic power

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/261,839 US7234477B2 (en) 2000-06-30 2002-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US10/261,839 2002-09-30
US10/611,140 US7264007B2 (en) 2002-09-30 2003-06-30 Method and apparatus for cleaning a substrate using megasonic power
US10/611,140 2003-06-30

Publications (2)

Publication Number Publication Date
WO2004030052A2 true WO2004030052A2 (en) 2004-04-08
WO2004030052A3 WO2004030052A3 (en) 2004-07-29

Family

ID=32044980

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/031136 WO2004030052A2 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces

Country Status (12)

Country Link
EP (1) EP1500128B1 (en)
JP (1) JP4559226B2 (en)
KR (1) KR101055997B1 (en)
CN (1) CN100431092C (en)
AT (1) ATE365375T1 (en)
AU (1) AU2003277212A1 (en)
DE (1) DE60314508T2 (en)
IL (1) IL161550A0 (en)
PL (1) PL208012B1 (en)
RU (1) RU2338296C2 (en)
TW (1) TWI230397B (en)
WO (1) WO2004030052A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1612846A2 (en) 2004-06-30 2006-01-04 Lam Research Corporation Concentric processing head
JP2006049858A (en) * 2004-06-30 2006-02-16 Lam Res Corp Apparatus and method for using meniscus in substrate processing
JP2006148069A (en) * 2004-09-30 2006-06-08 Lam Res Corp Device and method of treating substrate
EP1612845A3 (en) * 2004-06-30 2009-12-02 Lam Research Corporation Cleaning Apparatus

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8635784B2 (en) 2005-10-04 2014-01-28 Applied Materials, Inc. Methods and apparatus for drying a substrate
KR100687504B1 (en) * 2005-10-27 2007-02-27 세메스 주식회사 Method of cleaning a substrate in a single wafer type
DE102013220252A1 (en) * 2013-10-08 2015-04-09 Rudolph Technologies Germany Gmbh Holding and rotating device for flat objects
DE102017111618B4 (en) * 2017-05-29 2021-03-11 CURO GmbH Device, system and method for drying a semiconductor wafer
US11352711B2 (en) * 2019-07-16 2022-06-07 Applied Materials, Inc. Fluid recovery in semiconductor processing
CN111312580B (en) * 2020-02-27 2022-07-15 至微半导体(上海)有限公司 Micro-amplitude vibration method for high aspect ratio graphic wafer
CN111211043B (en) * 2020-02-27 2022-10-18 至微半导体(上海)有限公司 Drying method for improving wafer drying efficiency
CN111312581B (en) * 2020-02-27 2022-07-15 至微半导体(上海)有限公司 Exhaust method capable of improving wafer drying efficiency

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0905746A1 (en) * 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
WO1999016109A1 (en) * 1997-09-24 1999-04-01 Interuniversitair Micro-Elektronica Centrum Vereniging Zonder Winstbejag Method and apparatus for removing a liquid from a surface
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
WO2002001613A2 (en) * 2000-06-26 2002-01-03 Applied Materials, Inc. Method and apparatus for wafer cleaning
WO2002032825A1 (en) * 2000-10-13 2002-04-25 The Regents Of The University Of California Surface contouring by controlled application of processing fluid using marangoni effect
US20020125212A1 (en) * 1997-09-24 2002-09-12 Interuniversitair Micro-Elektronica Centrum, Vzw Method and apparatus for localized liquid treatment of the surface of a substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08264626A (en) * 1994-04-28 1996-10-11 Hitachi Ltd Sample-and-hold method, method for treating fluid on sample surface, and devices for those methods
JPH1092784A (en) * 1996-09-10 1998-04-10 Toshiba Microelectron Corp Wafer treatment equipment and wafer treatment method
US6039059A (en) 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
JP4616948B2 (en) * 1997-09-24 2011-01-19 アイメック Method and apparatus for removing liquid from the surface of a rotating substrate
ATE320661T1 (en) * 1997-09-24 2006-04-15 Imec Inter Uni Micro Electr METHOD FOR REMOVAL PARTICLES AND LIQUID FROM THE SURFACE OF A SUBSTRATE
JP2000015159A (en) * 1998-07-02 2000-01-18 Dainippon Screen Mfg Co Ltd Processing liquid supply device
JP3873099B2 (en) * 2000-01-13 2007-01-24 アルプス電気株式会社 Substrate guide device and cleaning device using the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
EP0905746A1 (en) * 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
WO1999016109A1 (en) * 1997-09-24 1999-04-01 Interuniversitair Micro-Elektronica Centrum Vereniging Zonder Winstbejag Method and apparatus for removing a liquid from a surface
US20020125212A1 (en) * 1997-09-24 2002-09-12 Interuniversitair Micro-Elektronica Centrum, Vzw Method and apparatus for localized liquid treatment of the surface of a substrate
WO2002001613A2 (en) * 2000-06-26 2002-01-03 Applied Materials, Inc. Method and apparatus for wafer cleaning
WO2002032825A1 (en) * 2000-10-13 2002-04-25 The Regents Of The University Of California Surface contouring by controlled application of processing fluid using marangoni effect

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1612846A2 (en) 2004-06-30 2006-01-04 Lam Research Corporation Concentric processing head
JP2006049858A (en) * 2004-06-30 2006-02-16 Lam Res Corp Apparatus and method for using meniscus in substrate processing
JP2006073998A (en) * 2004-06-30 2006-03-16 Lam Res Corp Proximity type proximity processing head
EP1612845A3 (en) * 2004-06-30 2009-12-02 Lam Research Corporation Cleaning Apparatus
EP1612846A3 (en) * 2004-06-30 2009-12-02 Lam Research Corporation Concentric processing head
JP2006148069A (en) * 2004-09-30 2006-06-08 Lam Res Corp Device and method of treating substrate

Also Published As

Publication number Publication date
RU2004113416A (en) 2006-07-20
AU2003277212A1 (en) 2004-04-19
KR20050063748A (en) 2005-06-28
PL208012B1 (en) 2011-03-31
PL374502A1 (en) 2005-10-31
CN100431092C (en) 2008-11-05
WO2004030052A3 (en) 2004-07-29
KR101055997B1 (en) 2011-08-11
JP2006501655A (en) 2006-01-12
RU2338296C2 (en) 2008-11-10
EP1500128B1 (en) 2007-06-20
EP1500128A2 (en) 2005-01-26
DE60314508T2 (en) 2008-02-21
TWI230397B (en) 2005-04-01
JP4559226B2 (en) 2010-10-06
TW200411722A (en) 2004-07-01
DE60314508D1 (en) 2007-08-02
CN1579005A (en) 2005-02-09
ATE365375T1 (en) 2007-07-15
IL161550A0 (en) 2004-09-27

Similar Documents

Publication Publication Date Title
EP1801851B1 (en) Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7069937B2 (en) Vertical proximity processor
US7234477B2 (en) Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
EP1472720B1 (en) Method for substrate processing and drying proximity head
EP1582269B1 (en) Proximity meniscus manifold
US7127831B2 (en) Methods and systems for processing a substrate using a dynamic liquid meniscus
US7192488B2 (en) Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
EP1583138A1 (en) Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
EP1612846A2 (en) Concentric processing head
EP1500128B1 (en) Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2003798815

Country of ref document: EP

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 161550

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 1020047006142

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003801405X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 374502

Country of ref document: PL

WWE Wipo information: entry into national phase

Ref document number: 2004540334

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 2003798815

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 2004113416

Country of ref document: RU

Kind code of ref document: A

WWG Wipo information: grant in national office

Ref document number: 2003798815

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 190454

Country of ref document: IL

WWE Wipo information: entry into national phase

Ref document number: 1020107013940

Country of ref document: KR