WO2003040967A2 - Method for reducing emi and ir-drop in digital synchronous circuits - Google Patents

Method for reducing emi and ir-drop in digital synchronous circuits Download PDF

Info

Publication number
WO2003040967A2
WO2003040967A2 PCT/EP2002/012266 EP0212266W WO03040967A2 WO 2003040967 A2 WO2003040967 A2 WO 2003040967A2 EP 0212266 W EP0212266 W EP 0212266W WO 03040967 A2 WO03040967 A2 WO 03040967A2
Authority
WO
WIPO (PCT)
Prior art keywords
constraints
clock
circuit
storage elements
robustness
Prior art date
Application number
PCT/EP2002/012266
Other languages
French (fr)
Other versions
WO2003040967A3 (en
Inventor
Lars Svensson
Hans Lindkvist
Original Assignee
Telefonaktiebolaget Lm Ericsson (Publ)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Telefonaktiebolaget Lm Ericsson (Publ) filed Critical Telefonaktiebolaget Lm Ericsson (Publ)
Priority to EP02779526A priority Critical patent/EP1442401A2/en
Publication of WO2003040967A2 publication Critical patent/WO2003040967A2/en
Publication of WO2003040967A3 publication Critical patent/WO2003040967A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Definitions

  • the present invention relates generally to the field of digital circuit design; and, more particularly, to a method for designing a synchronous digital circuit which exploits clock skew so as to reduce EMI and IR-drop in the circuit.
  • clock signals are used to synchronize computations performed in the circuits.
  • the function of the clock signals is to reduce the uncertainty in delay between sending and receiving storage elements in the circuit.
  • Storage elements such as latches and flip-flops, for example, sample output signals of combinatorial logic, internally preserve the values as the state of the circuit, and make the state available for new computations after a certain delay.
  • a storage element makes its internal state available by driving its output signal to a corresponding voltage level.
  • a new voltage level is higher than a previous voltage level, current is briefly drawn from a voltage supply to charge the signal capacitance.
  • the new level is lower than the previous level, current is briefly dumped into the ground network.
  • Means to address these problems include advanced packaging and on-chip decoupling capacitance, both of which increase costs.
  • the present invention recognizes that by not balancing the clock tree; or, by deliberately making the clock tree unbalanced or skewed, problems such as those described above can be significantly reduced.
  • the present invention provides a method for designing a digital circuit that includes a plurality of storage elements connected to combinational logic, each of the plurality of storage elements being driven by a clock signal distributed to the plurality of storage elements from a clock device.
  • a method, according to the invention comprises the step of substantially maximizing clock skew in the circuit subject to one or more constraints on the design of the circuit.
  • the present invention uses the set of permissible ranges for clock skew, preferably calculated by means of Static Timing Analysis, to calculate a robust clock skew schedule that gives the circuit good EMI and IR-drop properties. In effect, a certain amount of robustness is decided upon, and then the rest of the permissible range is used to reduce EMI and IR-drop.
  • the clock signal is subjected to certain insertion delays as it is distributed to each of the plurality of storage elements
  • the step of substantially maximizing clock skew comprises selecting values for the insertion delay to each of the plurality of storage elements such that the variance of the insertion delays among the storage elements is maximized subject to the one or more constraints.
  • the one or more constraints include robustness constraints constraining the insertion delays such that overall global robustness reaches a maximum value allowed by loops and combinational logic blocks in the circuit, and external scheduling constraints. Since the variance is a quadratic form, Quadratic Programming is preferably used to find a set of values for the insertion delays which maximize the variance given the robustness constraints such as indicated above.
  • maximizing the variance of the insertion delays among the storage elements can be made subject to other constraints on the design of the circuit. For example, constraints may be included to reduce gate count and routing congestion. Also, some circuits designed according to the present invention may contain loops which are too closely coupled, and this may limit the amount of robustness that can be reached. According to other embodiments of the invention, this problem can be helped by adding buffers to the circuit at appropriate locations to make the shortest paths in the circuit longer, to optimize logic for maximizing the min-delays or by imposing opposite edge devices, flip-flops or latches at appropriate locations in the circuit to increase scheduling freedom.
  • a system that uses a clock distribution system designed in accordance with a method of the present invention will be more robust against uncontrollable clock skew than a system that utilizes the maximally-balanced schemes that prevail in the prior art.
  • the system will also have better EMI and IR-drop properties than a system designed using the maximally-balanced scheme or a system designed such that clock skew is optimized for performance only.
  • Fig. 1 schematically illustrates a digital circuit to assist in explaining the present invention
  • Fig. 2 is a flow chart illustrating steps of a digital circuit design method according to a presently preferred embodiment of the invention.
  • Fig. 1 schematically illustrates an integrated circuit (IC) chip, generally designated by reference number 10, that is provided to assist in explaining the present invention.
  • IC chip 10 will typically be carried on a larger circuit board (not shown) together with a number of other chips.
  • the circuit board may itself comprise an entire digital system or form a part of an even larger system.
  • Integrated circuit chip 10 may be specially formed, i.e., be an application specific integrated circuit (ASIC) or it may be a generally available off-the-shelf device such as a microprocessor, a synchronous random access memory, or the like.
  • ASIC application specific integrated circuit
  • the circuit 10 of Fig. 1 is a clocked circuit having three storage elements or registers 12,
  • the three registers are adapted to be driven by a clock signal originating from a clock device 28.
  • the clock signal from the clock 28 will be subjected to certain insertion delays as it is distributed to each of the registers; and the insertion delays for the registers 12, 14 and 16 are represented in Fig. 1 by blocks 32, 34 and 36, respectively.
  • the three registers 12, 14 and 16 are represented by three flip-flops FFa, FFb and FFc, and their corresponding insertion delays 32, 34 and 36 by ⁇ a, ⁇ b and ⁇ c.
  • - ⁇ i and ⁇ j are the insertion delays of the clock net from the source to the clock sinks i andj;
  • - T is the clock cycle time
  • - Dmax[i,j] is the longest combinational delay from the output of register i to the input of register j;
  • Equation 1 the formulation in Equation 1 above is limited to ideal rising- edge flip-flops. It will be readily recognized by those skilled in the art that, without undue difficulty, the formulation may be extended to cover latches, falling-edge registers, and multi-cycle paths. It may furthermore be extended to take setup times, hold times and propagation delays of the storage elements into account. Values for the components ⁇ i of the vector ⁇ such that the conditions of Equation 1 are met are found by "clock scheduling". As indicated previously, the most common clock scheduling method is to create a clock distribution so that the variance in ⁇ is minimized, i.e., there is a minimum clock skew distribution. Performance-directed clock scheduling, as is known in the prior art, also seeks to find values for ⁇ such that T can be reduced, the clock frequency increased, and the throughput improved.
  • the present invention seeks to find values for ⁇ such that the variance of ⁇ among the components in the circuit is maximized, given certain robustness constraints.
  • robustness generally implies “robustness with respect to uncontrollable variations in clock arrival times at some register”.
  • the "local" robustness at register j for a fixed clock schedule may be defined as the smallest change of ⁇ j which will cause the scheduling requirements of Equation 1 to be violated.
  • a local change to the schedule can increase the local robustness by centering ⁇ j in its allowable range.
  • the "potential" local robustness is defined as half of the range of ⁇ j allowed by the constraints imposed by all combinational blocks connected to the inputs and outputs of the register, with the clock insertion delays of the neighboring registers held constant.
  • Global robustness may be similarly defined as half of the allowable range of ⁇ j when the clock insertion delays for the other registers of the design are free variables.
  • the "overall" global robustness finally, can be defined as the lowest global robustness value for any register j of the design.
  • the overall global robustness is a measure of the degree of difficulty to implement any clock distribution network which will allow the circuit to work as intended. In a typical design, the global robustness will be very different for different registers - some logic blocks will be more critical than others. Importantly, it should be recognized that maximizing global robustness for registers whose global robustness is already high does not improve the overall implementability of the clock distribution network.
  • the insertion delays in the clock network are constrained such that the overall global robustness reaches the maximum value allowed by loops, combinational blocks and external scheduling constraints.
  • the insertion delays ( ⁇ i for all i) are chosen such that their variance is maximized.
  • the objective is to achieve a clock schedule that minimizes the problems caused by simultaneous switching while, at the same time, not making the clock distribution network more difficult to realize than is necessary.
  • the variance is a quadratic form
  • Quadratic Programming (which is a well-known optimization method) may be used to find a set of values for ⁇ that maximize the variance given the robustness constraints.
  • this problem can be alleviated by adding the additional constraint of keeping ⁇ i- ⁇ j small for closely placed registers while letting it be larger for registers which are placed far apart. Such a constraint may be included in the overall optimization problem.
  • circuits may contain too closely coupled loops which may limit the amount of robustness that can be reached. This problem can be helped by improving the potential robustness in advance. In this regard, there are several improvements that can be made:
  • Fig. 2 is a flow chart that summarizes steps of a method for designing a digital circuit according to a presently preferred embodiment of the invention. Initially, one or more constraints to be placed on the design of the circuit are decided. The one or more constraints include one or more constraints on robustness, as illustrated by block 52, and may include one or more additional constraints as described above and as illustrated by block 54.
  • values for the insertion delay to each of the plurality of storage elements are selected such that the variance of the insertion delays among the storage elements are maximized, subject to the one or more constraints as illustrated by block 56. Thereafter, design of the circuit is completed as illustrated by block 58.
  • a system that uses a clock distribution scheme according to the present invention is more robust against uncontrollable clock skew and has better EMI and IR-drop properties than a system that uses the prevailing maximally balanced scheme, or a system that has been optimized for performance only.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Manipulation Of Pulses (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A method for designing a synchronous digital circuit that exploits clock skew so as to reduce EMI and IR-drop. The circuit has a plurality of storage elements connected to combinational logic blocks, each of the storage elements being driven by a clock signal distributed from a clock device; and the method involves substantially maximizing the clock skew in the circuit subject to one or more constraints on the design of the circuit.

Description

METHOD FOR REDUCING EMI AND IR-DROP IN DIGITAL SYNCHRONOUS CIRCUITS
Background of the Invention
1. Field of the Invention The present invention relates generally to the field of digital circuit design; and, more particularly, to a method for designing a synchronous digital circuit which exploits clock skew so as to reduce EMI and IR-drop in the circuit. 2. Description of the Prior Art
In the design of synchronous digital circuits, clock signals are used to synchronize computations performed in the circuits. The function of the clock signals is to reduce the uncertainty in delay between sending and receiving storage elements in the circuit. Storage elements, such as latches and flip-flops, for example, sample output signals of combinatorial logic, internally preserve the values as the state of the circuit, and make the state available for new computations after a certain delay.
A storage element makes its internal state available by driving its output signal to a corresponding voltage level. When a new voltage level is higher than a previous voltage level, current is briefly drawn from a voltage supply to charge the signal capacitance. Conversely, when the new level is lower than the previous level, current is briefly dumped into the ground network.
Most currently utilized schemes for distributing clock signals to storage elements in digital circuits concentrate on ensuring a high degree of synchronicity of all clock signals. To achieve this, clocks are typically distributed in a tree-like structure in such a manner that delays in different branches of the structure can be balanced to a high degree. The major benefit of such schemes is that uniformity brings predictability and simplifies the overall problems associated with designing the circuit.
Alternatives to maximally-balanced clock distribution networks are also known in the art, but are less frequently utilized. For example, in unidirectional pipelines, it is common practice to distribute the clock signal in a direction opposite to the data flow. Complex ASIC (Application Specific Integrated Circuit) designs, however, are rarely suitable for this method, inasmuch as their data flow is complex and irregular. Performance tuning through intentional clock skew is also used, either through explicit designer decisions to re-distribute computation time between two pipeline stages, or through the use of special CAD tools, such as the tool "ClockWise" offered by Ultima Interconnect Technologies. An effect that is encountered in highly balanced clock distribution networks is that the outputs of all storage elements in the network are caused to toggle virtually simultaneously. As a result, the capacitive loads driven by the flip-flop outputs are also charged virtually simultaneously, thus briefly drawing a large current (a "current spike") from the supply. Such current spikes are undesirable for several reasons. For example, some significant problems that are caused by current spikes include:
- Metal migration in supply wires is a major reliability problem. The rate of migration depends strongly on the maximum current density which occurs in the wire. Large current spikes thus require wider supply wires with the concomitant cost in area.
- Large current spikes feature large values of dl/dt. Together with the parasitic inductance present in the IC package, the current spikes thus cause voltage fluctuations on the supply lines. These fluctuations can cause both a malfunction of the digital circuits and reduced performance level of co-located RF circuitry.
Means to address these problems include advanced packaging and on-chip decoupling capacitance, both of which increase costs.
- The large current spikes themselves can couple inductively into other parts of the design and cause a malfunction or a reduction in performance.
In addition to the above operational problems, highly balanced clock distribution schemes frequently cause problems during design of the circuits. For example, the arrival times of the clock signals to different registers depend on the detailed layout of the circuits; and is, therefore, difficult to predict. Practical design methods, therefore, usually include a margin of error to account for this imprecision. The introduction of this margin of error, however, reduces the maximum performance of the circuits; and, thus, high-performance circuits tend to be designed with small uncertainty margins for clock signal arrival, increasing the demands on the layout extraction process and complicating timing convergence.
Summary of the Invention
The present invention recognizes that by not balancing the clock tree; or, by deliberately making the clock tree unbalanced or skewed, problems such as those described above can be significantly reduced.
In particular, the present invention provides a method for designing a digital circuit that includes a plurality of storage elements connected to combinational logic, each of the plurality of storage elements being driven by a clock signal distributed to the plurality of storage elements from a clock device. A method, according to the invention comprises the step of substantially maximizing clock skew in the circuit subject to one or more constraints on the design of the circuit. Basically, the present invention uses the set of permissible ranges for clock skew, preferably calculated by means of Static Timing Analysis, to calculate a robust clock skew schedule that gives the circuit good EMI and IR-drop properties. In effect, a certain amount of robustness is decided upon, and then the rest of the permissible range is used to reduce EMI and IR-drop. According to an embodiment of the present invention, the clock signal is subjected to certain insertion delays as it is distributed to each of the plurality of storage elements, and the step of substantially maximizing clock skew comprises selecting values for the insertion delay to each of the plurality of storage elements such that the variance of the insertion delays among the storage elements is maximized subject to the one or more constraints. According to a presently preferred embodiment of the invention, the one or more constraints include robustness constraints constraining the insertion delays such that overall global robustness reaches a maximum value allowed by loops and combinational logic blocks in the circuit, and external scheduling constraints. Since the variance is a quadratic form, Quadratic Programming is preferably used to find a set of values for the insertion delays which maximize the variance given the robustness constraints such as indicated above.
According to further embodiments of the present invention, maximizing the variance of the insertion delays among the storage elements can be made subject to other constraints on the design of the circuit. For example, constraints may be included to reduce gate count and routing congestion. Also, some circuits designed according to the present invention may contain loops which are too closely coupled, and this may limit the amount of robustness that can be reached. According to other embodiments of the invention, this problem can be helped by adding buffers to the circuit at appropriate locations to make the shortest paths in the circuit longer, to optimize logic for maximizing the min-delays or by imposing opposite edge devices, flip-flops or latches at appropriate locations in the circuit to increase scheduling freedom. A system that uses a clock distribution system designed in accordance with a method of the present invention will be more robust against uncontrollable clock skew than a system that utilizes the maximally-balanced schemes that prevail in the prior art. The system will also have better EMI and IR-drop properties than a system designed using the maximally-balanced scheme or a system designed such that clock skew is optimized for performance only. Yet additional objects, features and advantages of the present invention will become apparent hereinafter in conjunction with the following detailed description of presently preferred embodiments.
Brief description of the Drawings
Fig. 1 schematically illustrates a digital circuit to assist in explaining the present invention; and
Fig. 2 is a flow chart illustrating steps of a digital circuit design method according to a presently preferred embodiment of the invention.
Detailed Description of Presently Preferred Embodiments
Fig. 1 schematically illustrates an integrated circuit (IC) chip, generally designated by reference number 10, that is provided to assist in explaining the present invention. As is known to those skilled in the art, IC chip 10 will typically be carried on a larger circuit board (not shown) together with a number of other chips. The circuit board may itself comprise an entire digital system or form a part of an even larger system.
Integrated circuit chip 10 may be specially formed, i.e., be an application specific integrated circuit (ASIC) or it may be a generally available off-the-shelf device such as a microprocessor, a synchronous random access memory, or the like. The circuit 10 of Fig. 1 is a clocked circuit having three storage elements or registers 12,
14 and 16 connected to two blocks of combinational logic, designated by reference numbers 22 and 24. It should be understood that this circuit is intended to be exemplary only, as it may include any number of storage elements and combinational logic blocks; and, typically, will include a larger number of such components. The three registers are adapted to be driven by a clock signal originating from a clock device 28. As is well-known to those skilled in the art; the clock signal from the clock 28 will be subjected to certain insertion delays as it is distributed to each of the registers; and the insertion delays for the registers 12, 14 and 16 are represented in Fig. 1 by blocks 32, 34 and 36, respectively. In Fig. 1, the three registers 12, 14 and 16 are represented by three flip-flops FFa, FFb and FFc, and their corresponding insertion delays 32, 34 and 36 by δa, δb and δc.
The following expressions formulate the basic clock scheduling requirements which must be fulfilled for a circuit such as shown in Fig. 1 to be functionally correct:
Figure imgf000007_0001
for all i, j where there is a combinational path from the output of register i to the input of register j, and wherein:
- δi and δj are the insertion delays of the clock net from the source to the clock sinks i andj;
- T is the clock cycle time; - Dmax[i,j] is the longest combinational delay from the output of register i to the input of register j; and
- Dmin[i j] is the shortest combinational delay from the output of register i to the input of register j.
In the interest of simplicity, the formulation in Equation 1 above is limited to ideal rising- edge flip-flops. It will be readily recognized by those skilled in the art that, without undue difficulty, the formulation may be extended to cover latches, falling-edge registers, and multi-cycle paths. It may furthermore be extended to take setup times, hold times and propagation delays of the storage elements into account. Values for the components δi of the vector δ such that the conditions of Equation 1 are met are found by "clock scheduling". As indicated previously, the most common clock scheduling method is to create a clock distribution so that the variance in δ is minimized, i.e., there is a minimum clock skew distribution. Performance-directed clock scheduling, as is known in the prior art, also seeks to find values for δ such that T can be reduced, the clock frequency increased, and the throughput improved.
The present invention, on the other hand, seeks to find values for δ such that the variance of δ among the components in the circuit is maximized, given certain robustness constraints.
As used herein, the term "robustness" generally implies "robustness with respect to uncontrollable variations in clock arrival times at some register". In addition:
- The "local" robustness at register j for a fixed clock schedule may be defined as the smallest change of δj which will cause the scheduling requirements of Equation 1 to be violated. - A local change to the schedule can increase the local robustness by centering δj in its allowable range. The "potential" local robustness is defined as half of the range of δj allowed by the constraints imposed by all combinational blocks connected to the inputs and outputs of the register, with the clock insertion delays of the neighboring registers held constant.
- "Global" robustness may be similarly defined as half of the allowable range of δj when the clock insertion delays for the other registers of the design are free variables.
- The "overall" global robustness, finally, can be defined as the lowest global robustness value for any register j of the design.
Large differences between the longest and the shortest combinational delay of a logic block reduce local robustness; accordingly, when such logic blocks occur generally, global robustness is reduced. The overall global robustness is limited by loops in the directed graph of registers (nodes) and logic blocks (edges), especially such loops containing many blocks with both short and long paths, and also by scheduling constraints on input and output ports.
The overall global robustness, as defined above, is a measure of the degree of difficulty to implement any clock distribution network which will allow the circuit to work as intended. In a typical design, the global robustness will be very different for different registers - some logic blocks will be more critical than others. Importantly, it should be recognized that maximizing global robustness for registers whose global robustness is already high does not improve the overall implementability of the clock distribution network.
In accordance with an embodiment of the present invention, the insertion delays in the clock network are constrained such that the overall global robustness reaches the maximum value allowed by loops, combinational blocks and external scheduling constraints. Within these new limits, the insertion delays (δi for all i) are chosen such that their variance is maximized. The objective is to achieve a clock schedule that minimizes the problems caused by simultaneous switching while, at the same time, not making the clock distribution network more difficult to realize than is necessary. Since the variance is a quadratic form, Quadratic Programming (which is a well-known optimization method) may be used to find a set of values for δ that maximize the variance given the robustness constraints.
In practicing the present invention as described above, blindly imposing a difference in arrival time for clock signals to reach adjacent registers might get costly in gate count and routing congestion. According to a further embodiment of the invention, this problem can be alleviated by adding the additional constraint of keeping δi-δj small for closely placed registers while letting it be larger for registers which are placed far apart. Such a constraint may be included in the overall optimization problem.
It is also possible that some circuits may contain too closely coupled loops which may limit the amount of robustness that can be reached. This problem can be helped by improving the potential robustness in advance. In this regard, there are several improvements that can be made:
a) Adding buffers to make the shortest paths longer. This can easily be done using logic synthesis tools that are currently available. b) Optimize logic for maximizing the min-delays, which is a novel logic optimization objective, c) Impose opposite edge devices, flip-flops or latches, for increasing the scheduling freedom. The locations at which these should be added in the circuit could be determined by identifying the closely coupled circuits that put limits on the clock scheduling for robustness.
The increased freedom provided from a), b) and c) above could be used for clock scheduling for either improved robustness, improved clock frequency, improved EMI and IR-drop properties, or any combination of the above. Fig. 2 is a flow chart that summarizes steps of a method for designing a digital circuit according to a presently preferred embodiment of the invention. Initially, one or more constraints to be placed on the design of the circuit are decided. The one or more constraints include one or more constraints on robustness, as illustrated by block 52, and may include one or more additional constraints as described above and as illustrated by block 54. Once the constraints on the circuit design are decided, values for the insertion delay to each of the plurality of storage elements are selected such that the variance of the insertion delays among the storage elements are maximized, subject to the one or more constraints as illustrated by block 56. Thereafter, design of the circuit is completed as illustrated by block 58.
As indicated previously, a system that uses a clock distribution scheme according to the present invention is more robust against uncontrollable clock skew and has better EMI and IR-drop properties than a system that uses the prevailing maximally balanced scheme, or a system that has been optimized for performance only.
It should be emphasized that the term "comprises/comprising" when used in this specification is taken to specify the presence of stated features, integers, steps or components, but does not preclude the presence or addition of one or more other features, integers, steps, components or groups thereof.
It should also be emphasized that while what has been described herein constitutes presently preferred embodiments of the invention, it is to be recognized that the invention can take numerous other forms. Accordingly, it should be understood that the invention should be limited only insofar as is required by the scope of the following claims.

Claims

Claims
1. A method for designing a digital circuit that includes a plurality of storage elements connected to combinational logic, each of the plurality of storage elements being driven by a clock signal distributed to said plurality of storage elements from a clock device, said method comprising: substantially maximizing clock skew in said circuit subject to one or more constraints on the design of said circuit.
2. The method according to Claim 1, wherein the clock signal distributed to each of said plurality of storage elements is subjected to an insertion delay, and wherein said step of substantially maximizing clock skew comprises selecting values for said insertion delays such that the variance in insertion delays among the storage elements is maximized subject to the one or more constraints.
3. The method according to Claim 2, wherein said step of selecting values for said insertion delays comprises using Quadratic Programming for finding said values.
4. The method according to Claim 1, wherein said one or more constraints comprise one or more robustness constraints.
5. The method according to Claim 4, wherein said one or more robustness constraints comprises a constraint that overall global robustness achieves a maximum value allowed by loops and combinational blocks in said circuit and external scheduling constraints.
6. The method according to Claim 4, wherein the one or more constraints include a constraint to lengthen the shortest paths in said circuit.
7. The method according to Claim 4, wherein the one or more constraints include a constraint for maximizing min-delays.
8. The method according to Claim 2, wherein basic clock scheduling requirements which must be fulfilled for the circuit are defined as follows:
Figure imgf000012_0001
for all i, j where there is a combinational path from the output of a storage device i to the input of a storage device, and wherein: δi and δj are the insertion delays of the clock net from the clock source to clock sinks i and j;
T is the clock cycle time;
Dmax[i j] is the longest combinational delay from the output of storage device i to the input of storage device j; and
Dminfij] is the shortest combinational delay from the output of storage device i to the input of storage device j, and wherein values for δ are selected such that the variance among the storage device is maximized subject to robustness constraints.
9. The method according to Claim 8, wherein a robustness constraint is that δi - δj is kept small for closely spaced storage devices and large for storage devices which are spaced farther apart.
10. A method for designing a digital circuit that includes a plurality of storage elements connected to combinational logic blocks, each of the plurality of storage elements being driven by a clock signal distributed to said plurality of storage elements from a clock device, said method comprising: selecting one or more constraints on the design of said circuit; and substantially maximizing clock skew in said circuit subject to said one or more selected constraints.
11. The method according to Claim 10, wherein said clock signal is subjected to certain insertion delays as said clock signal is distributed to each of said plurality of storage elements, and wherein said step of maximizing clock skew comprises the step of selecting values for said insertion delays such that the variance of the insertion delays among said plurality of storage registers is substantially maximized subject to said one or more constraints.
12. The method according to Claim 10, wherein said one or more constraints include one or more robustness constraints.
13. The method according to Claim 12, wherein said one or more robustness constraints includes that overall global robustness reaches a maximum value allowed by loops and combinational blocks in the circuit and external scheduling constraints.
14. A synchronous digital circuit comprising: a plurality of storage elements connected to combinatorial logic blocks, each of said plurality of storage elements being driven by a clock signal, said clock signal being subjected to certain insertion delays as the clock signal is distributed to each of said plurality of storage elements, wherein values of each of said insertion delays are selected such that the variance of the insertion delays among the storage elements is maximized subject to one or more constraints on the design of said circuit.
15. The circuit according to Claim 14, wherein said one or more constraints include one or more robustness constraints.
PCT/EP2002/012266 2001-11-08 2002-11-04 Method for reducing emi and ir-drop in digital synchronous circuits WO2003040967A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP02779526A EP1442401A2 (en) 2001-11-08 2002-11-04 Method for reducing emi and ir-drop in digital synchronous circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/035,398 US6647540B2 (en) 2001-11-08 2001-11-08 Method for reducing EMI and IR-drop in digital synchronous circuits
US10/035,398 2001-11-08

Publications (2)

Publication Number Publication Date
WO2003040967A2 true WO2003040967A2 (en) 2003-05-15
WO2003040967A3 WO2003040967A3 (en) 2003-12-24

Family

ID=21882435

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2002/012266 WO2003040967A2 (en) 2001-11-08 2002-11-04 Method for reducing emi and ir-drop in digital synchronous circuits

Country Status (3)

Country Link
US (1) US6647540B2 (en)
EP (1) EP1442401A2 (en)
WO (1) WO2003040967A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6715100B1 (en) * 1996-11-01 2004-03-30 Ivan Chung-Shung Hwang Method and apparatus for implementing a workgroup server array
US20030135836A1 (en) * 2001-12-18 2003-07-17 Jui-Ming Chang Gated clock tree synthesis
US6886152B1 (en) * 2002-08-09 2005-04-26 Xilinx, Inc. Delay optimization in signal routing
US7162704B2 (en) * 2003-05-09 2007-01-09 Synplicity, Inc. Method and apparatus for circuit design and retiming
DE10338745A1 (en) * 2003-08-22 2005-03-17 Infineon Technologies Ag Computer controlled determination of electronic switching circuit layout using synchronous tree structures
JP4361838B2 (en) * 2004-06-11 2009-11-11 富士通マイクロエレクトロニクス株式会社 LSI design method
US7386749B2 (en) * 2005-03-04 2008-06-10 Intel Corporation Controlling sequence of clock distribution to clock distribution domains
US7853911B1 (en) * 2005-11-04 2010-12-14 Altera Corporation Method and apparatus for performing path-level skew optimization and analysis for a logic design
US7743270B2 (en) * 2006-09-11 2010-06-22 International Business Machines Corporation Assigning clock arrival time for noise reduction
JP6318777B2 (en) * 2014-03-31 2018-05-09 株式会社ソシオネクスト DESIGN METHOD, DESIGN DEVICE, AND PROGRAM

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5974245A (en) * 1994-10-14 1999-10-26 Vsli Technology, Inc. Method and apparatus for making integrated circuits by inserting buffers into a netlist
WO2000075815A1 (en) * 1999-06-04 2000-12-14 Telefonaktiebolaget Lm Ericsson (Publ) An arrangement and a method relating to design of circuits
EP1085434A2 (en) * 1999-09-20 2001-03-21 Matsushita Electric Industrial Co., Ltd. Clock circuit and method of designing the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2695078B2 (en) 1991-06-10 1997-12-24 株式会社東芝 Data processing device clock signal distribution method
US5416918A (en) 1991-07-10 1995-05-16 Hewlett-Packard Company Low skew system for interfacing asics by routing internal clock off-chip to external delay element then feeding back to on-chip drivers
US5475830A (en) 1992-01-31 1995-12-12 Quickturn Design Systems, Inc. Structure and method for providing a reconfigurable emulation circuit without hold time violations
US5467033A (en) 1993-07-02 1995-11-14 Tandem Computers Incorporated Chip clock skew control method and apparatus
US5481209A (en) 1993-09-20 1996-01-02 Lsi Logic Corporation Clock distribution and control in an integrated circuit
US5691662A (en) 1994-04-07 1997-11-25 Hitachi Microsystems, Inc. Method for minimizing clock skew in integrated circuits and printed circuits
US5714904A (en) 1994-06-06 1998-02-03 Sun Microsystems, Inc. High speed serial link for fully duplexed data communication
JP3504739B2 (en) 1994-09-09 2004-03-08 富士通株式会社 Clock routing design method using hierarchical layout design
US6272667B1 (en) * 1997-10-09 2001-08-07 Kabushiki Kaisha Toshiba Method and apparatus for clock gated logic circuits to reduce electric power consumption
JP2868009B1 (en) * 1998-01-30 1999-03-10 日本電気株式会社 Delay calculation device, delay calculation method, and recording medium storing delay calculation program
US6442739B1 (en) * 1998-05-01 2002-08-27 Cadence Design Systems, Inc. System and method for timing abstraction of digital logic circuits
JP2001014368A (en) * 1999-06-30 2001-01-19 Mitsubishi Electric Corp Device and method for clock analysis
JP2001022816A (en) * 1999-07-12 2001-01-26 Matsushita Electric Ind Co Ltd Layout method for semiconductor integrated circuit device
US6470483B1 (en) * 1999-12-30 2002-10-22 Intel Corporation Method and apparatus for measuring internal clock skew
JP3317948B2 (en) * 2000-01-20 2002-08-26 エヌイーシーマイクロシステム株式会社 Layout design method of semiconductor integrated circuit and semiconductor integrated circuit
US6442737B1 (en) * 2001-06-06 2002-08-27 Lsi Logic Corporation Method of generating an optimal clock buffer set for minimizing clock skew in balanced clock trees

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5974245A (en) * 1994-10-14 1999-10-26 Vsli Technology, Inc. Method and apparatus for making integrated circuits by inserting buffers into a netlist
WO2000075815A1 (en) * 1999-06-04 2000-12-14 Telefonaktiebolaget Lm Ericsson (Publ) An arrangement and a method relating to design of circuits
EP1085434A2 (en) * 1999-09-20 2001-03-21 Matsushita Electric Industrial Co., Ltd. Clock circuit and method of designing the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KOURTEV I S ET AL: "Clock skew scheduling for improved reliability via quadratic programming" COMPUTER-AIDED DESIGN, 1999. DIGEST OF TECHNICAL PAPERS. 1999 IEEE/ACM INTERNATIONAL CONFERENCE ON SAN JOSE, CA, USA 7-11 NOV. 1999, PISCATAWAY, NJ, USA,IEEE, US, 7 November 1999 (1999-11-07), pages 239-243, XP010363865 ISBN: 0-7803-5832-5 *

Also Published As

Publication number Publication date
EP1442401A2 (en) 2004-08-04
US20030088835A1 (en) 2003-05-08
WO2003040967A3 (en) 2003-12-24
US6647540B2 (en) 2003-11-11

Similar Documents

Publication Publication Date Title
US11480993B2 (en) Methods for optimizing circuit performance via configurable clock skews
Tam et al. Clock generation and distribution for the first IA-64 microprocessor
US6204713B1 (en) Method and apparatus for routing low-skew clock networks
US7017132B2 (en) Methodology to optimize hierarchical clock skew by clock delay compensation
Pavlidis et al. Interconnect-based design methodologies for three-dimensional integrated circuits
US7805697B2 (en) Rotary clock synchronous fabric
US7096436B2 (en) Macro design techniques to accommodate chip level wiring and circuit placement across the macro
US9183336B1 (en) Automatic asynchronous signal pipelining
US6651232B1 (en) Method and system for progressive clock tree or mesh construction concurrently with physical design
US6647540B2 (en) Method for reducing EMI and IR-drop in digital synchronous circuits
US6260175B1 (en) Method for designing an integrated circuit using predefined and preverified core modules having prebalanced clock trees
Vishnu et al. Clock tree synthesis techniques for optimal power and timing convergence in soc partitions
US6539509B1 (en) Clock skew insensitive scan chain reordering
US6687889B1 (en) Method and apparatus for hierarchical clock tree analysis
Elboim et al. A clock-tuning circuit for system-on-chip
Hatamian Understanding clock skew in synchronous systems
Semba et al. Conversion from synchronous RTL models to asynchronous RTL models
Ferretti Single-track asynchronous pipeline template
Carrig et al. A new direction in ASIC high-performance clock methodology
US20060136854A1 (en) Method for placement of pipeline latches
US7046069B2 (en) Method to reduce inductive effects of current variations by internal clock phase shifting
Pedram et al. Power optimization in VLSI layout: a survey
US9024683B1 (en) Method and apparatus for reducing power spikes caused by clock networks
Smirnov et al. Gate transfer level synthesis as an automated approach to fine-grain pipelining
Siang et al. An automated clock distribution topology in SoC designs

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002779526

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2002779526

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2002779526

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP