WO2003021496A3 - Gate estimation process and method - Google Patents

Gate estimation process and method Download PDF

Info

Publication number
WO2003021496A3
WO2003021496A3 PCT/US2002/027009 US0227009W WO03021496A3 WO 2003021496 A3 WO2003021496 A3 WO 2003021496A3 US 0227009 W US0227009 W US 0227009W WO 03021496 A3 WO03021496 A3 WO 03021496A3
Authority
WO
WIPO (PCT)
Prior art keywords
circuit
design parameter
parameter file
component
estimation process
Prior art date
Application number
PCT/US2002/027009
Other languages
French (fr)
Other versions
WO2003021496A2 (en
Inventor
William Wheeler
Matthew Adiletta
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of WO2003021496A2 publication Critical patent/WO2003021496A2/en
Publication of WO2003021496A3 publication Critical patent/WO2003021496A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Abstract

A method comprising maintaining a circuit design parameter file for a circuit being designed by a circuit designer. The circuit design parameter file specifies a physical characteristic of the circuit. The method monitors a design environment to detect the addition of a circuitry component to the circuit and access a component design parameter file that specifies at least one design parameter for that added circuitry component. The method updates the circuit design parameter file based on the at least one design parameter included in the component design parameter file.
PCT/US2002/027009 2001-08-29 2002-08-23 Gate estimation process and method WO2003021496A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/941,519 US7073156B2 (en) 2001-08-29 2001-08-29 Gate estimation process and method
US09/941,519 2001-08-29

Publications (2)

Publication Number Publication Date
WO2003021496A2 WO2003021496A2 (en) 2003-03-13
WO2003021496A3 true WO2003021496A3 (en) 2004-02-19

Family

ID=25476628

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/027009 WO2003021496A2 (en) 2001-08-29 2002-08-23 Gate estimation process and method

Country Status (3)

Country Link
US (1) US7073156B2 (en)
TW (1) TW583562B (en)
WO (1) WO2003021496A2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104484541B (en) * 2015-01-13 2017-05-24 成都锐开云科技有限公司 Stray capacitance extraction method based on Markov transfer matrix bank

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5673198A (en) * 1996-03-29 1997-09-30 Xilinx, Inc. Concurrent electronic circuit design and implementation
US6132109A (en) * 1994-04-12 2000-10-17 Synopsys, Inc. Architecture and methods for a hardware description language source level debugging system

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703435A (en) 1984-07-16 1987-10-27 International Business Machines Corporation Logic Synthesizer
US5267175A (en) 1986-09-12 1993-11-30 Digital Equipment Corporation Data base access mechanism for rules utilized by a synthesis procedure for logic circuit design
US5212650A (en) 1986-09-12 1993-05-18 Digital Equipment Corporation Procedure and data structure for synthesis and transformation of logic circuit designs
US5301318A (en) 1988-05-13 1994-04-05 Silicon Systems, Inc. Hierarchical netlist extraction tool
US4970664A (en) 1988-06-10 1990-11-13 Kaiser Richard R Critical path analyzer with path context window
US5128871A (en) 1990-03-07 1992-07-07 Advanced Micro Devices, Inc. Apparatus and method for allocation of resoures in programmable logic devices
US5384710A (en) 1990-03-13 1995-01-24 National Semiconductor Corporation Circuit level netlist generation
US5553002A (en) 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5278769A (en) 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base
US5572437A (en) 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5220512A (en) 1990-04-19 1993-06-15 Lsi Logic Corporation System for simultaneous, interactive presentation of electronic circuit diagrams and simulation data
US5544067A (en) 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5287289A (en) 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5258919A (en) 1990-06-28 1993-11-02 National Semiconductor Corporation Structured logic design method using figures of merit and a flowchart methodology
US5717928A (en) 1990-11-07 1998-02-10 Matra Hachette Sa System and a method for obtaining a mask programmable device using a logic description and a field programmable device implementing the logic description
US5297053A (en) 1991-06-04 1994-03-22 Computervision Corporation Method and apparatus for deferred package assignment for components of an electronic circuit for a printed circuit board
US5422833A (en) * 1991-10-30 1995-06-06 Xilinx, Inc. Method and system for propagating data type for circuit design from a high level block diagram
US5269857A (en) 1992-03-31 1993-12-14 General Electric Company Minimization of quench cracking of superalloys
US5615356A (en) 1992-04-21 1997-03-25 Cpu Technology, Inc. Method and apparatus for interactively displaying signal information during computer simulation of an electrical circuit
JPH05315448A (en) 1992-04-27 1993-11-26 Nec Corp Integrated circuit device and its layout method
US5666289A (en) * 1992-10-07 1997-09-09 Lsi Logic Corporation Flexible design system
US5694579A (en) 1993-02-18 1997-12-02 Digital Equipment Corporation Using pre-analysis and a 2-state optimistic model to reduce computation in transistor circuit simulation
JP2815281B2 (en) 1993-04-19 1998-10-27 株式会社ピーエフユー Digital circuit design support system and method
JP2768889B2 (en) 1993-06-07 1998-06-25 株式会社東芝 Logic simulation equipment
JP2856640B2 (en) 1993-07-08 1999-02-10 株式会社日立製作所 Logic schematic editor system
US5513119A (en) * 1993-08-10 1996-04-30 Mitsubishi Semiconductor America, Inc. Hierarchical floorplanner for gate array design layout
JP3144967B2 (en) 1993-11-08 2001-03-12 株式会社日立製作所 Semiconductor integrated circuit and method of manufacturing the same
JP3154885B2 (en) 1993-12-28 2001-04-09 株式会社東芝 Application specific integrated circuit and method of configuring the same
US5506788A (en) 1994-01-13 1996-04-09 Lsi Logic Corporation Similarity-extraction force-oriented floor planner
US6044211A (en) 1994-03-14 2000-03-28 C.A.E. Plus, Inc. Method for graphically representing a digital device as a behavioral description with data and control flow elements, and for converting the behavioral description to a structural description
JP2972540B2 (en) * 1994-03-24 1999-11-08 松下電器産業株式会社 LSI automatic design system and LSI automatic design method
US5937190A (en) 1994-04-12 1999-08-10 Synopsys, Inc. Architecture and methods for a hardware description language source level analysis and debugging system
US5475605A (en) 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5604889A (en) 1994-06-15 1997-02-18 Texas Instruments Incorporated Memory management system for checkpointed logic simulator with increased locality of data
US6208954B1 (en) 1994-09-16 2001-03-27 Wind River Systems, Inc. Method for scheduling event sequences
US5528177A (en) * 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5903469A (en) * 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5629857A (en) 1994-11-15 1997-05-13 International Business Machines Corporation Method and system for indicating a status of a circuit design
JP2912174B2 (en) 1994-12-27 1999-06-28 日本電気株式会社 Library group and semiconductor integrated circuit using the same
JP3351651B2 (en) 1995-04-07 2002-12-03 富士通株式会社 Interactive circuit design equipment
JPH08287111A (en) * 1995-04-14 1996-11-01 Nec Ic Microcomput Syst Ltd Automatic layout system
US5706476A (en) 1995-06-05 1998-01-06 Synopsys, Inc. Method and apparatus for use of the undefined logic state and mixed multiple-state abstractions in digital logic simulation
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5809283A (en) 1995-09-29 1998-09-15 Synopsys, Inc. Simulator for simulating systems including mixed triggers
US5910898A (en) * 1995-12-14 1999-06-08 Viewlogic Systems, Inc. Circuit design methods and tools
US5831869A (en) 1995-12-15 1998-11-03 Unisys Corporation Method of compacting data representations of hierarchical logic designs used for static timing analysis
WO1997025681A1 (en) 1996-01-08 1997-07-17 Fujitsu Limited Interactive cad apparatus for designing packaging of logic circuit
US5724250A (en) 1996-02-07 1998-03-03 Unisys Corporation Method and apparatus for performing drive strength adjust optimization in a circuit design
US6236956B1 (en) 1996-02-16 2001-05-22 Avant! Corporation Component-based analog and mixed-signal simulation model development including newton step manager
US5963724A (en) 1996-02-16 1999-10-05 Analogy, Inc. Component-based analog and mixed-signal simulation model development
US5905883A (en) 1996-04-15 1999-05-18 Sun Microsystems, Inc. Verification system for circuit simulator
US6234658B1 (en) 1996-06-07 2001-05-22 Duality Semiconductor, Inc. Method and apparatus for producing signal processing circuits in the delta sigma domain
US5892682A (en) 1996-06-17 1999-04-06 Motorola, Inc. Method and apparatus for generating a hierarchical interconnection description of an integrated circuit design and using the description to edit the integrated circuit design
US5757655A (en) * 1996-08-26 1998-05-26 Micron Technology, Inc. Method and system for producing dynamic property forms and compacting property databases
US5949692A (en) 1996-08-28 1999-09-07 Synopsys, Inc. Hierarchical scan architecture for design for test applications
US6317860B1 (en) 1996-10-28 2001-11-13 Altera Corporation Electronic design automation tool for display of design profile
US6120549A (en) * 1997-01-06 2000-09-19 Xilinx, Inc. Method and apparatus for generating optimized functional macros
US6516456B1 (en) 1997-01-27 2003-02-04 Unisys Corporation Method and apparatus for selectively viewing nets within a database editor tool
US6233540B1 (en) 1997-03-14 2001-05-15 Interuniversitair Micro-Elektronica Centrum Design environment and a method for generating an implementable description of a digital system
JPH10327047A (en) 1997-05-22 1998-12-08 Sony Corp Semiconductor integrated circuit and its design method
US6053947A (en) 1997-05-31 2000-04-25 Lucent Technologies, Inc. Simulation model using object-oriented programming
US6152612A (en) 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
US6066179A (en) * 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US6233723B1 (en) * 1997-08-28 2001-05-15 Vlsi Technology, Inc. Circuit behavioral information analysis apparatus and a method of analyzing behavioral information of a circuit
US5974242A (en) 1997-09-25 1999-10-26 The United States Of America As Represented By The Secretary Of The Army Methods and computer programs for minimizing logic circuit design using identity cells
JPH11126215A (en) 1997-10-22 1999-05-11 Nec Corp Delay analytical result display device
JP4128251B2 (en) 1997-10-23 2008-07-30 富士通株式会社 Wiring density prediction method and cell placement apparatus
US6135647A (en) 1997-10-23 2000-10-24 Lsi Logic Corporation System and method for representing a system level RTL design using HDL independent objects and translation to synthesizable RTL code
US6421815B1 (en) 1998-01-09 2002-07-16 Synopsys, Inc. Method and apparatus for optimized partitioning of finite state machines synthesized from hierarchical high-level descriptions
US6145117A (en) 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6292931B1 (en) 1998-02-20 2001-09-18 Lsi Logic Corporation RTL analysis tool
US6243851B1 (en) 1998-03-27 2001-06-05 Xilinx, Inc. Heterogeneous method for determining module placement in FPGAs
US6178541B1 (en) 1998-03-30 2001-01-23 Lsi Logic Corporation PLD/ASIC hybrid integrated circuit
JP3461443B2 (en) 1998-04-07 2003-10-27 松下電器産業株式会社 Semiconductor device, semiconductor device design method, recording medium, and semiconductor device design support device
JP4090118B2 (en) 1998-06-19 2008-05-28 富士通株式会社 LSI manufacturing method and recording medium recording layout program
US6477688B1 (en) 1998-07-17 2002-11-05 David E. Wallace Logic equivalence leveraged placement and routing of an IC design
US6473885B1 (en) 1998-07-17 2002-10-29 Mentor Graphics Corporation Digital circuit layout techniques using circuit decomposition and pin swapping
US6219822B1 (en) 1998-08-05 2001-04-17 International Business Machines Corporation Method and system for tuning of components for integrated circuits
US6381565B1 (en) 1998-08-21 2002-04-30 Nec Corporation Functional logic circuit verification device
US6480985B1 (en) 1998-08-26 2002-11-12 Mentor Graphics Corporation Method and apparatus for graphically presenting an integrated circuit design
US6226780B1 (en) 1998-08-31 2001-05-01 Mentor Graphics Corporation Circuit design method and apparatus supporting a plurality of hardware design languages
US6272671B1 (en) 1998-09-11 2001-08-07 Lsi Logic Corporation Extractor and schematic viewer for a design representation, and associated method
US6505341B1 (en) 1998-11-10 2003-01-07 Scientronix, Inc. System and method for programming a logic control unit
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6353806B1 (en) 1998-11-23 2002-03-05 Lucent Technologies Inc. System level hardware simulator and its automation
US6401230B1 (en) 1998-12-04 2002-06-04 Altera Corporation Method of generating customized megafunctions
US6381563B1 (en) 1999-01-22 2002-04-30 Cadence Design Systems, Inc. System and method for simulating circuits using inline subcircuits
US6477683B1 (en) 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6353915B1 (en) 1999-04-01 2002-03-05 Unisys Corporation Methods for evaluating systems of electronic components
US6327693B1 (en) 1999-04-08 2001-12-04 Chung-Kuan Cheng Interconnect delay driven placement and routing of an integrated circuit design
JP3501674B2 (en) 1999-04-21 2004-03-02 日本電気株式会社 Printed circuit board characteristic evaluation apparatus, printed circuit board characteristic evaluation method, and storage medium
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6427223B1 (en) 1999-04-30 2002-07-30 Synopsys, Inc. Method and apparatus for adaptive verification of circuit designs
US6298468B1 (en) 1999-05-04 2001-10-02 Prosper Design Systems Pte. Ltd. Placement-based pin optimization method and apparatus for computer-aided circuit design
US6366874B1 (en) 1999-05-24 2002-04-02 Novas Software, Inc. System and method for browsing graphically an electronic design based on a hardware description language specification
JP2001022816A (en) 1999-07-12 2001-01-26 Matsushita Electric Ind Co Ltd Layout method for semiconductor integrated circuit device
US6519755B1 (en) 1999-08-16 2003-02-11 Sequence Design, Inc. Method and apparatus for logic synthesis with elaboration
US6574787B1 (en) 1999-08-16 2003-06-03 Sequence Design, Inc. Method and apparatus for logic synthesis (word oriented netlist)
US6438731B1 (en) 1999-09-13 2002-08-20 Synopsys, Inc. Integrated circuit models having associated timing exception information therewith for use in circuit design optimizations
US20020138244A1 (en) * 1999-09-30 2002-09-26 Meyer Steven J. Simulator independent object code HDL simulation using PLI
US6449762B1 (en) 1999-10-07 2002-09-10 Synplicity, Inc. Maintaining correspondence between text and schematic representations of circuit elements in circuit synthesis
US6539536B1 (en) 2000-02-02 2003-03-25 Synopsys, Inc. Electronic design automation system and methods utilizing groups of multiple cells having loop-back connections for modeling port electrical characteristics
US6769098B2 (en) * 2000-02-29 2004-07-27 Matsushita Electric Industrial Co., Ltd. Method of physical design for integrated circuit
US6591407B1 (en) 2000-03-01 2003-07-08 Sequence Design, Inc. Method and apparatus for interconnect-driven optimization of integrated circuit design
US6490545B1 (en) 2000-03-06 2002-12-03 Sony Corporation Method and apparatus for adaptive co-verification of software and hardware designs
US6519742B1 (en) 2000-03-06 2003-02-11 Synplicity, Inc. Local naming for HDL compilation
JP2001290853A (en) * 2000-04-05 2001-10-19 Nec Corp Developing method, developemnt assistance system, and storage medium stored with program
US7613599B2 (en) * 2000-06-02 2009-11-03 Synopsys, Inc. Method and system for virtual prototyping
US7003738B2 (en) * 2000-06-30 2006-02-21 Zenasis Technologies, Inc. Process for automated generation of design-specific complex functional blocks to improve quality of synthesized digital integrated circuits in CMOS using altering process
JP2002117092A (en) * 2000-10-05 2002-04-19 Fujitsu Ltd Method and system for designing semiconductor integrated circuit device
US6801884B2 (en) * 2001-02-09 2004-10-05 Hewlett-Packard Development Company, L.P. Method and apparatus for traversing net connectivity through design hierarchy
US6487698B1 (en) 2001-05-04 2002-11-26 Lsi Logic Corporation Process, apparatus and program for transforming program language description of an IC to an RTL description
US20030004699A1 (en) * 2001-06-04 2003-01-02 Choi Charles Y. Method and apparatus for evaluating an integrated circuit model
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6477689B1 (en) 2001-06-13 2002-11-05 The Boeing Company Architectural structure of a process netlist design tool
US7043393B2 (en) * 2001-08-15 2006-05-09 National Instruments Corporation System and method for online specification of measurement hardware

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6132109A (en) * 1994-04-12 2000-10-17 Synopsys, Inc. Architecture and methods for a hardware description language source level debugging system
US5673198A (en) * 1996-03-29 1997-09-30 Xilinx, Inc. Concurrent electronic circuit design and implementation

Also Published As

Publication number Publication date
TW583562B (en) 2004-04-11
WO2003021496A2 (en) 2003-03-13
US7073156B2 (en) 2006-07-04
US20030046652A1 (en) 2003-03-06

Similar Documents

Publication Publication Date Title
SG167659A1 (en) Method of selecting a reference picture
WO2004059539A3 (en) A method for accounting for process variation in the design of integrated circuits
AU2003303968A1 (en) An interconnection device for a printed circuit board, a method of manufacturing the same, and an interconnection assembly having the same
GB2382462B (en) A circuit including a semiconductor component and an operating method for the semiconductor component
AU2003222408A1 (en) Garment and method for producing the same
WO2002027484A3 (en) Method for processing grid and table layout using elastic properties
WO2004061632A3 (en) Microprocessor and microprocessor operation
GB2394121B (en) Circuit board support arrangement,method,and method for using the same
AU2003265440A1 (en) Method and apparatus for reducing electromagnetic emissions from electronic circuits
AU2003227244A1 (en) Circuit module and method for manufacturing the same
AU2002351666A1 (en) Molecular electronic component used to construct nanoelectronic circuits, molecular electronic component, electronic circuit and method for producing the same
WO2003063346A3 (en) A method for decreasing the dynamic range of a signal and electronic circuit
EP1681412A4 (en) An electronic code lock input device, input method and application thereof
WO2004025706A3 (en) Automatic insertion of clocked elements into an electronic design to improve system performance
AU2001252276A1 (en) Method for reducing the spread of computer viruses in an electronic mail network
AU2003213922A1 (en) Circuit and method for phase error cancellation in frequency diverses
EP1471643A4 (en) Logical operation circuit and logical operation method
WO2003021496A3 (en) Gate estimation process and method
GB2388840B (en) Bis(4-mercaptophenyl) sulfide derivative and method for producing the same and electronic component
AU2002357592A1 (en) Flip-chip mounting electronic component and method for producing the same, circuit board and method for producing the same, method for producing package
AU2002251595A1 (en) Oscillator circuit and oscillator biasing method
AU154270S (en) An electronic computer
AU2003286108A8 (en) Phase-control circuit arrangement and method for operating said circuit arrangement
AU156864S (en) An electronic computer
AU4035800A (en) Adaptive integrated circuit design simulation transistor modeling and evaluation

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG UZ VC VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP