WO1993024896A1 - Computer-aided design methods and apparatus for multilevel interconnect technologies - Google Patents

Computer-aided design methods and apparatus for multilevel interconnect technologies Download PDF

Info

Publication number
WO1993024896A1
WO1993024896A1 PCT/US1993/005186 US9305186W WO9324896A1 WO 1993024896 A1 WO1993024896 A1 WO 1993024896A1 US 9305186 W US9305186 W US 9305186W WO 9324896 A1 WO9324896 A1 WO 9324896A1
Authority
WO
WIPO (PCT)
Prior art keywords
data
interconnect
capacitance
spreadsheet
construction type
Prior art date
Application number
PCT/US1993/005186
Other languages
French (fr)
Inventor
Norman H. Chang
Keh-Jeng Chang
Keunmyung Lee
Soo-Young Oh
Original Assignee
Hewlett-Packard Company
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett-Packard Company filed Critical Hewlett-Packard Company
Priority to EP93914287A priority Critical patent/EP0597087B1/en
Priority to JP6500829A priority patent/JPH07501906A/en
Priority to DE69325770T priority patent/DE69325770T2/en
Publication of WO1993024896A1 publication Critical patent/WO1993024896A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • This invention generally relates to data processing methods and computer display systems for computer aided design and electrical performance prediction of multilevel device interconnect technologies.
  • the invention specifically relates to parameterized graphical display and computation tools for calculation and display of capacitance and other electrical characteristics of multilevel VLSI interconnects, as well as PCB and MCM interconnects.
  • VLSI integrated circuits are expensive to design, prototype, and test. It is impractical to construct a new VLSI chip simply to test its electrical characteristics or performance. Therefore, VLSI chip designers use computers and computer-aided engineering software to design new VLSI chips, using a high-resolution graphics workstation to display a schematic and physical layout of the VLSI chip. Software is commercially available for simulating electrical performance of complex VLSI chips. This software includes SPICE (originally developed by the University of California, Berkeley), and enhanced by Hewlett-Pachard Co.
  • SPICE SPICE User's Manual
  • part number 5955-5528 commercially available from Hewlett-Packard Company, Circuit Technology Group, 5301 Stevens Creek Boulevard, Santa Clara, California 95052 USA.
  • SPICE requires input in the form of a SPICE subcircuit data file, known in the art as a "SPICE deck,” which numerically describes the location and type of every conductor and component of the VLSI chip. Generation of SPICE decks to describe VLSI circuits is extremely tedious and time-consuming.
  • VLSI chip designers design of conductor interconnections between two VLSI chip devices such as transistors.
  • Some conductor interconnects are three-dimensional and follow a non-linear path, since VLSI chips often are constructed with several overlapping layers of metal, polysilicon, and dielectric materials.
  • the electrical characteristics (such as resistance, inductance, and capacitance) of these multilevel interconnects are determined by a complex relationship of numerous physical variables, including metal thickness, insulator thickness, dielectric constant, line width, inter-line spacing, and coupled line length between devices.
  • the relationship between capacitance and interline spacing is non-linear.
  • the variables listed above result in a large number of possible values for resistance, inductance and capacitance depending on the physical size, type, and combination of metals, or insulators selected by the designer.
  • VLSI circuit designers desire to have a computer system for generating resistance, inductance, and capacitance models for all possible interconnect layout patterns. Since such models for multilevel interconnects require much computation, VLSI circuit designers desire a computer system which can execute such computation in advance in an off-line batch mode.
  • VLSI chip designers also desire a computer system capable of computing the non-linear relationship of capacitance to interline spacing, given trace width and trace spacing, using polynomial or cubic spline curve fitting and interpolation.
  • VLSI chip designers further desire a computer system which can quickly generate parameterized SPICE subcircuit data files for interconnects after computing capacitance.
  • VLSI chip designers also desire a computer system which can interpolate on-chip capacitance values rapidly given the type of integrated circuit ("IC") fabrication process, trace width, and trace spacing, using linear polynomial or cubic spline curve fitting.
  • IC integrated circuit
  • VLSI chip designers also desire a computer system which can rapidly generate high-level parameterized SPICE subcircuit and circuit data files based on linear polynomial or cubic spline curve fitting given an IC process, rise time, trace width, trace spacing, and line coupling length.
  • VLSI chip designers also desire a computer system with an automatically updated spreadsheet display for viewing performance data and for displaying such data in response to variable changes entered by the designer, thereby enabling rapid evaluation of design changes and trade-offs.
  • fast clock frequency is used in VLSI chips, transmission line effects will appear on long on-chip interconnects, creating numerous design problems. As is known in the art, signals with 0.5 nanosecond (ns) rise time will incur transmission line effects when the interconnect length is equal to or longer than 3.0 cm.
  • VLSI chip die size cannot be dramatically increased due to defect density concerns. Therefore, uniform on-chip interconnect segments to be represented by parameterized subcircuit calls will not approach 3.0 cm for the near future. However, the rise time requirement for full-custom chips will be well below 0.5 nanosecond very soon. Accordingly, VLSI chip designers desire circuit simulators which can import multi-line R, L, C models for lossy, high-density sub-micron interconnect (SMI) transmission line simulations.
  • SMI sub-micron interconnect
  • SPICE decks To generate SPICE decks, most designers rely on schematic capture programs during pre-layout design and circuit extractors during physical layout. As is known in the art, a SPICE deck is a file of circuit definition data which is fed to the SPICE circuit simulator program. Use of a parameterized model library can relieve designers of all the interconnect modeling and most of the SPICE deck generation effort. Accordingly, designers would appreciate an automated SPICE deck generator for VLSI interconnects which can use parameterized libraries to increase the speed of generating SPICE decks.
  • Three-dimensional structures such as dual signal stripline, via, lead attachments, and trace bends require 3-D numerical simulations for accurate computation of impedance, noise margin and delay. Such simulations are time-consuming and tedious. Therefore, MLIT designers would appreciate accurate and immediate performance information.
  • High-speed chip designs also demand that engineers consider every combination of technologies from all packaging categories (i.e., IC processes, metal capacitance models (MCM) , printed circuit boards (PCB) , via, and lead attachment technologies) to determine which will satisfy chip performance specifications, such as delay and noise margin requirements.
  • MCM metal capacitance models
  • PCB printed circuit boards
  • a data processing system for interconnect modeling is therefore needed to integrate and optimize chip design and packaging selection.
  • MLIT designs also require knowledge of material science, electrical engineering, and mechanical engineering. Designers must consider each area of engineering in the search for an optimum design. Therefore, designers would appreciate a data processing system which can assist in evaluating cost-performance trade-offs among all different requirements.
  • This invention provides data processing methods and computer display systems for computer aided design and electrical performance prediction of multilevel device interconnects in VLSI integrated circuits, as well as PCB and MCM technologies.
  • the invention specifically relates to parameterized graphical display and computation tools for calculation and display of capacitance and other electrical characteristics of multilevel VLSI interconnects.
  • Four subsystems are integrated: (a) a batch-mode computation module that combines a 2-D/3-D finite difference numerical simulation and a fast interpolation algorithm; (b) an interactive design package with performance browsing, goal-directed synthesis, and online performance evaluation; (c) an interactive SPICE subcircuit generator and simulator; and (d) a spreadsheet- style graphical user interface.
  • SPICE subcircuit/circuit generation and simulation for an interconnect structure design including the layer assignment, risetime, width/spacing, and coupling length, can be generated and simulated automatically for signal integrity analysis.
  • Study of the chip-to-chip communication for optimizing driver, interconnect, and receiver design in terms of total delay, noise margin, and other goals can be done more easily and quickly than with other methods.
  • the invention provides engineers with access to interconnect models for all MLITs, and makes different levels of packaging design more compatible and eases the task of system interconnect simulation.
  • the invention drastically improves the productivity of circuit designers because accurate interconnect models can be specified easily without time-consuming capacitance simulations.
  • the model library is designed to free chip designers from the details of both interconnect geometry and process variations and provide them with a direct SPICE link. Errors commonly found during manual SPICE deck generations can be reduced significantly.
  • a commercial SPICE circuit simulator can be used without modification.
  • FIG. 1a is a block diagram showing interconnections of a data processing method and data files of the present invention
  • FIG. 1b is a block diagram of spreadsheet displays generated by the present invention.
  • FIGs. 2a, 2b, and 2c are graphs of capacitance versus interline spacing
  • FIG. 3 is a cross section view of a three-line stripline-type multilevel VLSI interconnect
  • FIG. 4 is a schematic diagram showing resistance, capacitance, and inductance relationships of the materials shown in FIG. 3 ;
  • FIG. 5 is a schematic diagram of an exemplary stripline interconnect. Detailed Description of Preferred Embodiments
  • the present invention integrates four sets of data processing methods to enable fast computer aided design of complex three-dimensional interconnect structures.
  • the data processing methods receive input of layout parameters and technology parameters identifying the circuit to be designed, and generate output data files for the SPICE circuit simulator.
  • the four data processing methods include (a) a batch-mode computation processing method that combines a 2-D/3-D finite difference numerical simulation and a fast interpolation algorithm; (b) an interactive design processing method with performance browsing, goal-directed synthesis, and on-line performance evaluation; (c) an interactive SPICE subcircuit generator and simulator; and (d) a spreadsheet-style graphical user interface.
  • the invention thus assists users in selecting interconnect technologies for design-for-performance goals and also in optimizing interconnect performance designs for different types of chip packaging.
  • designers can customize the operation of the invention for other variables such as reliability, thermal effects, and cost effects.
  • the batch mode element of the invention can be implemented by programming a general purpose data processor using standard equations in any suitable programming language.
  • the inventors have implemented this element in the C programming language.
  • the spreadsheet elements of the invention can be implemented using any of several commercially available spreadsheet computer programs. The inventors have implemented these elements using the commercially available WINGZ spreadsheet running under control of the UNIX operating system on a Hewlett-Packard workstation.
  • FIG. 1 shows main operational modes, process elements, and data files of the invention.
  • the invention operates in three modes, batch mode 100, analysis mode 200, and design/simulation mode 300.
  • process steps corresponding to each of the three modes are labeled with reference numerals corresponding to the reference numeral used for each mode.
  • Operation of the invention can begin either in the batch mode 100 or the analysis mode 200.
  • the batch mode 100 is used to prepare data files for a new VLSI chip/MCM/PCB construction technique, and therefore is used less frequently. Ordinarily a chip designer uses existing construction techniques and begins with analysis mode 200. Each mode is discussed below.
  • Batch Mode Batch mode 100 comprises steps 110, 112, 114 and 212 of FIG. la.
  • the batch mode has been implemented in a C language computer program.
  • the program receives VLSI chip manufacturing process parameters entered 110 by a user and stored in technology parameter file 400. Then the program calls a numerical method-based capacitance simulator 112 repeatedly for a plurality of interconnect configurations with two widths and a range of inter-line spacings. The resulting numerical capacitance database 402 is called CapFile. In one preferred embodiment of the invention, thirty-three interconnect configurations, listed in Table 1, are embedded in the capacitance simulator ("CapSim") program 112.
  • CapFile consists of tables representing two line widths for each of the configurations shown in Table 1.
  • the configurations of FIG. 1 apply to integrated circuits; other models are used for PCB/MCM.
  • Each table comprises one row for each configuration. Each row corresponds to an interline spacing in microns.
  • a typical table has rows for spacings of 0 microns to 15 microns in 1 micron increments. Each row comprises columns for the interline spacing in microns, the RC constant in ns per cm, total capacitance (Ct), interline capacitance (Ci), ground capacitance between two lines (Cg12), ground capacitance from one line to substrate (Cg1p) and a constant F (2Ci/Ct).
  • Some configurations do not have C g2 for lack of an upper metal, e.g., configurations 5 and 6. Substrate is considered as a conductor, so C g1 always exists. Computation of table values is described below.
  • Each row of the CapFile tables is computed using a curve fitting method shown in block 212.
  • the method has been implemented in a C language computer program called CurveFit, which uses the least-square method known in the art to model the capacitance changes between minimum spacing (0 microns) and 15 ⁇ m. Polynomials of degree six are used to curve-fit the non-linear relationship so that the maximum interpolation error can be within 5%. Cubic spline interpolation also can be used for curve-fitting.
  • the CurveFit program also reads a resistance file 404 which contains the sheet rho data for polysilicon, metal 1, metal 2 , and metal 3.
  • CurveFit is an equation file 406 containing curve-fit and linear-fit files, respectively shown in Table 2 and Table 3, with equations for C i , C g1 , C g2 , and R w arranged in SPICE format.
  • file-name curve-fit-m1m2sb
  • cgl gl.narrow+(w*le6-wid.min)/(wid.max-wid.min)*(gl.wide- gl. narrow)
  • cg2 g2.narrow+(w*le6-wid.min)/(wid.max-wid.min)*(g2.wide- g2. narrow)
  • FIG. 3 is a schematic cross section of an exemplary VLSI chip section 30 constructed with Metal 1 arrays under a Metal 2 plane above Substrate.
  • An insulation layer 32 covers the metal layer 34.
  • Three capacitance relationships exist related to the center metal 1 line 38b. Two ground capacitances (C g1 and C g2 ) are developed between line 38b and metal 2 and the substrate, respectively.
  • C g1 and C g2 Two ground capacitances
  • a one-sided inter-metal-1-line capacitance (C i ) is developed between line 38b and either of lines 38a, 38c. If there is no metal 2 (34) above the metal lines 38a, 38b, 38c, then no ground capacitance exists.
  • Equation 1 The relation of the three capacitance components and the commonly used total capacitance (C t ) is expressed in Equation 1 :
  • C i , C g1 , and C g2 are farads per micron (F/ ⁇ m).
  • C is calculated and then sectioned for distributed single-line R,C circuit simulation. It is valid when the two adjacent lines 38b, 38c remain in a quiet state. Due to electrical coupling in high-density interconnects, non-switching and long interconnect lines adjacent to an active line often are not quiet. The effective RC-delay, and cross-talk must be simulated with distributed multi-line R,C models. On the other hand, interconnects on different levels are required by design to run in perpendicular directions, so the coupling between different levels is small. Non-active conductor levels, e.g., power supplies, are considered as quiet.
  • a particular chip technology for VLSI design is affected by three layout parameters (line width, line length, and inter-line spacing of an interconnect line), and technology parameters (such as conductor thickness, dielectric thickness, dielectric constants, and tolerance values).
  • the layout parameters are user-adjustable but the technology parameters are fixed and cannot be changed by designers.
  • the values of C i , C g1 , and C g2 are affected by the three layout parameters.
  • the interline spacing affects the capacitance in a non-linear manner.
  • FIG. 2a contains a graph 2 showing the non-linear relationship of interline spacing to capacitance.
  • Line width is fixed at .9 microns and the construction is Ml arrays above substrate.
  • Vertical scale 4 indicates farads per micron.
  • Horizontal scale 6 indicates interline spacing from 1 to 5 microns.
  • Line 8 represents total capacitance
  • line 10 indicates interline capacitance
  • line 12 is ground capacitance
  • line 14 roughly indicates crosstalk noise.
  • FIG. 2c illustrates the non-linear capacitance relationship versus spacing, when metal 1 line width is fixed at 0.8 ⁇ m while the spacing is changed from 1.0 ⁇ m to 15 ⁇ m.
  • Line 20 represents interline C i
  • line 22 represents C g1
  • line 24 represents C g2 .
  • the same phenomena can be found in other conductor levels such as polysilicon, metal 2, and metal 3. Since capacitance is non-linear in relation to interline spacing but linear in relation to line width and line length, polynomials can be used to model capacitance as a function of inter-line spacing, as shown below:
  • the C wjdlh (s) is capacitance per unit length simulated with a fixed line width and s is a variable representing the inter-line spacing.
  • a least-square method known in the art can be used to curve-fit the non-linearity and generate coefficients for the above polynomial of degree six.
  • the three capacitance curves, C i , C g1 , C g2 in FIG. 2c are modeled as three polynomials: C imin (s), C g1min (s), and C g2min (s), where min stands for the minimum width specified by the design rule.
  • a reasonably wide width of 10 ⁇ m is chosen for polysilicon, metal 1, and metal 2; 20 ⁇ m is chosen for metal 3.
  • the coefficients of the six polynomials are embedded in a SPICE-format file shown in Tables 2 and 3.
  • wid min is the minimum width and wid max is the reasonably wide width; w(in ⁇ m) is the line width variable.
  • the C i (w,s), C g1 (w,s) and C g2 (w,s) above are to be multiplied by the length parameter inside the SPICE subcircuits. In this way, interconnect capacitances are parameterized with layout parameters for a selected technology.
  • Rw(W)(m ⁇ / ⁇ m) (R min + (Te mp - T min )/(T max - T min ) X(R max - R min )/w (6)
  • R w wire resistance per micron length
  • w (in ⁇ m) is the line width variable
  • T m ⁇ n is the minimum temperature
  • R mm is the sheet rho (m ⁇ / ⁇ ) at T min
  • T max the operating temperature of the chip.
  • Temp is also a parameter but it is a global variable in SPICE and need not be specified for each interconnect segment.
  • the R w above is to be multiplied by the length parameter inside the SPICE subcircuit as well.
  • FIG. 4 is a schematic representation of electrical characteristics of two lines of the interconnect of FIG. 3.
  • the interconnect 50 of FIG. 4 has two input points 52, 54 which correspond to terminals of lines 38b, 38c of FIG. 3.
  • Each line 38b, 38c has an impedance represented respectively by resistors 56, 58.
  • Inductance of the two lines 38b, 38c is shown by inductors 60.
  • Capacitor 62 interconnects the lines and represents the interline capacitance of FIG. 3.
  • Ground capacitances are respectively represented by capacitors 64, 66.
  • a SPICE subcircuit call statement specifying two lines, 1.0-cm-long metal 1 arrays, line width of 4 ⁇ m and inter-line spacing of 2 ⁇ m would appear as follows:
  • X1 is the name of the metal 1 arrays to be modeled.
  • a three-line model requires seven external node names specified to connect the three inputs, three outputs, and ground to adjacent circuits.
  • the above call statement specifies the subcircuit being called, mlm2sb, and the three layout parameters, width, spacing, and length (inside the parentheses).
  • mlm2sb is the acronym for "Metal 1 arrays under Metal 2 above Substrate.” For 2.0-cm-long metal 1 arrays, the specification is:
  • the length of each subcircuit call statement should be determined by the rise time.
  • the batch-mode computation algorithm is derived from the "HIVE" algorithm as disclosed in the following papers: (1) K-J Chang et al . , “HIVE: An Express and Accurate Interconnect Capacitance Extractor For Submicro Multilevel Conductor Systems,” presented at the VMIC Conference, 11-12 June 1991; (2) K-J Chang et al ., “HIVE: An Efficient Interconnect Capacitance Extractor to Support Submicron Multilevel Interconnect Designs," presented at ICCAD, November 1991; and (3) S-Y Oh et al . , “Physical and Technological Limitations and Their Optimization in Submicron ULSI Interconnect,” presented at the ICVC '91 conference, Seoul, Korea.
  • the HIVE algorithm computes selective lateral and vertical capacitances of sub-micron IC interconnects using finite-difference methods. The non-calculated capacitances can then be obtained through fast interpolation.
  • the assumptions which are used for extracting submicron on-chip interconnect capacitances as reported in the above papers are also valid for MCM and PCB. These assumptions include the following. First, interline (“Cil”) and vertical (“Cg") capacitances linearly vary with trace width when the interline spacing is fixed. Second, with enough points for numerical simulation of capacitances in which interline spacing is varying and width fixed, other capacitances for any spacings bounded by the simulated spacings can be interpolated.
  • Capacitance values can be computed using the FCAP2 and FCAP3 algorithms which are known in the art and available in commercial C language libraries for numerical simulation; these algorithms are described in the following references: Kit Cham et al . , Computer-Aided Design and VLSI Device Development (2nd Edition), Lower Academic Publishers, Norwell, Massachusetts, 1988, pp. 348-351, and Ken Lee, "Three-Dimensional Modeling of Interconnect Capacitance and Inductance", Workshop on Interconnect Modeling and Technology , IEEE Solid-State Circuits & Technology Committee, San Diego, California, May 1989. For example, the changes of both "Cil” and "Cg" of a stripline for a thin-film MCM behave linearly when the width is changed but the spacing is fixed.
  • the analysis mode 200 comprises steps 210, 214, 216, 218 and 220 of FIG. la.
  • the mode can be implemented by programming a spreadsheet to display a menu tree and a spreadsheet worksheet having a plurality of rows and columns, so that each row comprises a plurality of cells for text or numeric information.
  • Any general purpose spreadsheet can be used but in a preferred embodiment, the WINGZ spreadsheet is used, which is commercially available from Informix Software, Inc., Sales & Information, 913-599-7100.
  • the invention could also be implemented using a general purpose relational database system.
  • the spreadsheet is programmed to present the user with a plurality of menu choices organized as shown in Table 4. Each menu option is discussed separately below. Performance
  • FIG. lb shows a compressed representation of a main spreadsheet 500 of the invention.
  • the main spreadsheet 500 is divided into a user parameter worksheet 502, a performance assumptions worksheet 520, and a construction database worksheet 530.
  • a separate construction setup worksheet 540 is coupled to the construction database worksheet 530.
  • Each of the worksheets comprises a plurality of interrelated cells. As is known in the art, each cell can be programmed with a numeric formula so that a change to one cell results in rapid calculation and redisplay of related cells.
  • the cells and worksheets can be represented in a conventional computer memory or using a relational database system.
  • the worksheets are stored on a conventional mass storage device, such as a hard disk drive, to enable long-term storage of the data therein.
  • the user parameter worksheet 502 preferably comprises a plurality of rows 504 each having cells 506, 508, 510 and 512 for entry of text or numeric data.
  • the worksheet 502 has three rows 504.
  • the first row accepts user entry of a line width range in microns, such as 10 microns, 20 microns, etc.
  • the second row accepts user entry of a line spacing range in microns, such as 40 microns, 50 microns, etc.
  • the third line displays available metallization models which are abbreviated by acronyms known in the art, such as SM, BMO, SL, DSS, etc.
  • the assumptions worksheet 520 has a plurality of cells 522, 524, 526 for display and entry of technology parameters relating to the chip under development.
  • the assumptions worksheet cells include cells for entry or display of signal rise time in ns, chip operating temperature in centigrade, coupled length in inches or centimeters, and a plurality of columns for entry or display of soldermask data.
  • Each soldermask column comprises a plurality of cells in the column for entry or display of soldermask name, soldermask thickness in microns, soldermask dielectric coefficient, metallization type (such as copper or aluminum), and metal resistivity in ohms per meter.
  • the construction worksheet 530 comprises a plurality of spreadsheet cells 532, 534, 536 containing data relating to electrical and physical characteristics of different parameters of a particular chip construction.
  • Each row of the construction worksheet 530 contains all parameters relating to one particular construction type.
  • Each column identifies a parameter.
  • Table 5 lists preferred data types and units for each column.
  • Table 5 Construction Worksheet Columns Coupled to the assumptions worksheet 520 is a separate performance database worksheet 550.
  • the performance worksheet 550 comprises a plurality of rows, each having a plurality of column cells representing electrical performance characteristics of a particular construction for a particular number of traces, trace width, and trace spacing.
  • the performance worksheet is activated by selecting the PERF BROWSE option, which causes the data processor to load and display a performance worksheet.
  • Table 6 lists preferred data types for each column of a row, including units and spreadsheet formulas by which the column cells are computed, for a five-line model. As indicated, the performance worksheet contains performance values computed according to all the other entered data.
  • each interconnect construction is specified with a common set of characteristic parameters, such as number of layers, dielectric constants, dielectric thicknesses. yonductor type, soldermask or overcoat dielectric constant and thickness, outer layer and inner layer conductor thicknesses, and number of coupling traces. Since different interconnect domains have different sets of characteristic parameters, in the preferred embodiment three separate construction worksheets are maintained for IC, MCM thin film, and PCB/MCM thick film constructions.
  • the performance worksheet contains representative data points for interconnect capacitances which are extracted numerically for all the conceivable models of a new construction in the batch mode.
  • a 4-layer MCM construction can have models such as stripline (SL), dual stripline (DSS), surface microstrip (SM), and buried microstrip outer (BMO).
  • a linear interpolation algorithm can then be applied to generate any other points bounded by the width/spacing ranges of the simulated points.
  • the simulated capacitances are subsequently used to derive inductances L and transmission line properties, including Z o , Z e , Z eff (odd, even effective mode impedances); Pd o , Pd e , Pd eff (odd, even effective propagation delays); and VB, V F (backward, forward crosstalks) for all the interconnect models.
  • the formulas used for these derivations are known in the art and are discussed, for example, in the following references: H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI , Addison-Wesley, Reading, Massachusetts, 1990; A. Feller et al . , "Crosstalk and Reflections in High-Speed Digital Systems," Proceedings of Fall Joint Computer Conference , 1965; J. Buchanan, BiCMOS/CMOS Systems Design , McGraw-Hill, Inc., 1991. 4. Interactive Design Functions
  • Goal-directed interconnect synthesis helps users to narrow down possible interconnect designs quickly.
  • the domain of search is all processes/technologies that have been simulated in the batch mode.
  • the invention extracts a subset of designs that satisfy multiple performance goals, such as impedance and noise margin, specified by the user.
  • the user can also look at the effect of changing trace width/spacing on any performance variable by using the graphing facility of the invention discussed below. This is done by showing any performance variable versus trace width and/or trace spacing. An arbitrary number of graphs can be generated, so a user can simultaneously visualize trade-offs for different trace width/spacing designs as well as their impact on several performance variables.
  • the user selects SYNTHESIS from the spreadsheet menu tree.
  • the SYNTHESIS SETUP option is selected, causing the data processor to display a setup window on the workstation.
  • the setup window is a spreadsheet worksheet comprising five columns entitled PARAMETER, UNIT, RELATIONSHIP, MINIMUM VALUE, MAXIMUM VALUE, and INPUT.
  • the worksheet has ten rows identified with names in the PARAMETER column.
  • the rows represent model name, layers in the interconnect, trace width (mils), trace spacing (mils), impedance (Ohms), signal propagation delay (picoseconds/inch), noise margin (mV/V), lateral crosstalk (mV/V), vertical crosstalk (mV/V), and coupling coefficient.
  • the parenthesized unit identifiers are depicted in the UNITS column.
  • the data processor displays reference text such as noise margin data.
  • the worksheet accepts user entry in the MINIMUM VALUE and MAXIMUM VALUE columns. Synthesis setup is then complete.
  • the user selects the GOAL-DIRECTED SYNTHESIS option - from the spreadsheet menu.
  • the data processor displays a window of performance data for constructions meeting the criteria specified in the setup window.
  • the displayed data is selected from the performance data worksheet 550 by comparing values therein with MINIMUM VALUE and MAXIMUM VALUE entries provided by the user.
  • An evaluation panel is provided for users to do stackup design.
  • Stackup design involves assigning signal or reference planes to each layer of an interconnect construction. Once a user specifies layer assignment for a selected construction, the invention can determine layer models for each layer. Furthermore, on-line performance evaluation can be done for each signal layer given an arbitrary trace width and spacing within the interpolation range.
  • Evaluation is initiated by selecting the EVALUATION SETUP spreadsheet option from the menu.
  • the data processor displays a spreadsheet worksheet containing a plurality of layer rows, each row corresponding to a layer of the construction type under analysis.
  • the worksheet further includes a plurality of layer cells in each row corresponding to different parameters which characterize each layer.
  • the layer cells permit entry of data for layer type (soldermask or active layer) , layer assignment (mask, signal, blank signal, or reference) , and layer model (surface microstrip, stripline, etc.). When these values are entered, evaluation setup is complete.
  • the data processor computes and fills in data for each cell of the performance worksheet, identified above in Table 6.
  • the user can generate and simulate SPICE subcircuits through the interactive SPICE setup worksheet and SPICE window and thereby evaluate performance of different layers.
  • step 216 control is passed to step 220 in which the invention will generate a SPICE deck or file for the interconnect being analyzed. Passage of control to step 220 is usually accomplished by the user moving the mouse of the workstation to the SPICE spreadsheet menu option. In response, the data processor displays two sub-options: SPICE SETUP and SPICE CREATE.
  • the data processor displays a SPICE SETUP spreadsheet worksheet.
  • the worksheet displays setup conditions for SPICE simulation of coupling of specified traces. Traces can be specified as active or inactive, where active means connecting the input of the trace to a pulse that has a user-specified rise time.
  • the user can specify the termination of a trace by providing a characteristic impedance in ohms or by specifying open termination.
  • a first area of the worksheet contains cells for input of a default characteristic impedance, and for rise time, pulse width, and period of active trace input signals.
  • a second area of the SPICE SETUP worksheet contains a plurality of rows, each row corresponding to an interconnect trace.
  • Each trace row comprises a plurality of cells to store data representing the trace number, whether the trace is active or inactive, input impedance, whether ground is present, names of input and output nodes connected by the interconnect , and a characteristic termination impedance.
  • Each cell is filled by the user with numeric data for use by the SPICE CREATE option.
  • the method of the invention causes a data processor to read the equation file 406 and generate parameterized three-line ⁇ 3 circuit models for distributed R,C circuit simulation.
  • the resulting SPICE deck is written to a parameterized model file 420.
  • C g1 , and C g2 read from the equation file are added to form C g .
  • C g , C i , and R w are multiplied by the line length of the interconnect and divided by three, respectively, to become three separate circuit elements.
  • the capacitances on the boundary must be further divided by two.
  • a curve-fit R,C file and a linear-fit R,C file is generated.
  • the resulting parameterized model library has forty distributed R,C SPICE subcircuits.
  • the parameters for each subcircuit are line width, inter-line spacing, and line length.
  • Chip operating temperature is also a parameter but it can be accessed in a global manner and need not be specified in each subcircuit call statement.
  • FIG. 2b shows a typical graph 630 of effective impedance versus interline spacing which can be produced in a workstation window by the invention.
  • the vertical scale 632 shows effective impedance and the horizontal scale 634 shows interline spacing in microns.
  • Lines 636, 638, and 640 represent effective impedance of traces of 40, 50, and 60 microns in width, respectively.
  • Other graphs produced by the invention show crosstalk versus impedance.
  • the spreadsheet menu preferably includes a plurality of database function menu options.
  • the DATABASE DELETE function enables deletion of data in a worksheet.
  • the DATABASE CHECK EXISTING MODELS function performs a search of a worksheet and finds all models matching a chosen construction. For example, when the CHECK EXISTING MODELS option is selected, after user entry of layer number, construction technique, and core thickness, the data processor will search the construction database to locate construction models matching the selected criteria.
  • the WINDOWS option enables the user to rapidly enter a currently active window for the PERFORMANCE, SYNTHESIS, EVALUATION, or SPICE functions.
  • the MENU option has two sub-options to return the user to a main menu and to restart the system of the invention. 1 Example: Clock Tree Design
  • Table 7 shows a parameterized SPICE circuit interconnect specification for an exemplary clock tree .
  • the clock signal is distributed from the center, %cntr, to the eight cells through fourteen metal 2 interconnect segments.
  • Each segment is described by a parameterized interconnect subcircuit call statement starting with "X".
  • the subcircuit names being called in each statement e.g., m2mlpy and m2m3sb, start with m2 because the active level is metal 2.
  • the seven interconnect segments X2, X5, X6, Xll, X12, X13, and X14, are under dense metal 3 power/ground buses. Therefore, the corresponding called subcircuits have m3 in the middle of their names.
  • the clock skew between nodes %lul and %rur is 0.5 nanosecond.
  • Table 8 - - H-tree Interconnect Portion Spec The clock signal is transmitted by metal 2 from %cntr to the eight cells. The four cells on the right side are under a dense distribution of metal 3 power/ground buses.
  • Each segment of the H-tree is represented by a subcircuit starting with X. Each subcircuit consists of three lines. The center line represents the clock line for worst-case design. So seven nodes are needed for each subcircuit representing three inputs, three outputs and the ground node.
  • the trace width of Xb to Xe subcircuits is 0.8 ⁇ m, the same as that of X7 to Xa. Since the metal 3 affects the interconnect capacitance and it is primarily on the right-hand size, it causes the symmetric H-tree to have 0.5 nanosecond clock skew. This is done by comparing the delay of %lu1 with that of %rur. When the trace width of Xb to Xe is increased to 1.0 ⁇ m, the skew is decreased to 0.2 nanosecond. The SPICE input can be adjusted in this way until the clock skew is tolerable. Each SPICE input change takes less than ten seconds.
  • a simplified chip-to-chip signal communication optimization problem demonstrates how the invention helps designers analyze and optimize the interconnect design.
  • a signal 72 transmits from an output driver pad to a receiver pad 82 through a multiline transmission line 78, 80 on an MCM, ignoring solder bumps required between the chips and the MCM.
  • the output driver signal 72 is represented by a single low-to-high pulse with 0.2 ns risetime and 40 ⁇ source resistance.
  • the receiver is assumed to have a high input impedance.
  • the distance between driver and receiver is required to be 8 cm on a MCM.
  • Interconnect choices include stripline or microstrip coupler configuration on several MCMs with different dielectric thicknesses and with or without coatings.
  • the goal is to achieve minimum delay and crosstalk possible and no more than 1 ns delay and 100 mV/V crosstalk.
  • a user runs batch-mode simulations for possible interconnect design configurations.
  • MCMs Several construction choices for the MCMs are reviewed from the list in Table 1. Three are polyamide and ceramic materials with dielectric constants of 4.0 and 9.0, respectively. Coatings of various thicknesses are available for microstrips. Also, trace width ranges from 10 to 60 ⁇ m, and trace spacing ranges from 40 to 500 ⁇ m.
  • the result of the batch-mode simulation is the interconnect R,L,C, impedance, propagation delay, and crosstalk information in the database for surface microstrip , buried microstrip outer (with coating), and stripline configurations for various technologies.
  • the designer can enter impedance and noise margin goals in the synthesis worksheet and the invention will return all the choices that satisfy the requirements.
  • the user can then use the evaluation worksheet to do more detailed design by specifying layer assignments for the chosen MCM technology.
  • Arbitrary choices of trace width/spacing, coupling length, and risetime can be specified, and performance information can subsequently be derived.
  • the invention helps designers to analyze and optimize interconnect designs in an interactive way. There are other design constraints, such as cost, thermal management, power consumption, and manufacturability, that determine the final choice of a certain technology. For this application example, an open-ended termination coupler has less power consumption than a well-terminated coupler.
  • microstrip with coating is more manufacturable than strip-lines. It would be difficult to know all the constraints up front before exploring possible alternatives. Since the example has a driver with 0.2 ns risetime and 40 ⁇ source resistance, an open-ended termination microstrip (with coating) coupler with length of 8 cm is used on a 4.0 dielectric material with T/W + 40 ⁇ m, T ⁇ S + 60 ⁇ m, and dielectric thickness of 20 ⁇ m. For this choice, the final performance result are 0.54 ns delay, and 31 and 61 mV/V reduced noise margin due to crosstalk and reflection for near and far ends, respectively.
  • the invention database can also be used not only for pre-layout system-level cost-performance analysis but also for schematic capturing and post-layout parasitic circuit extraction.
  • both the database format and the layout extraction methods for the IC/MCM/PCB tools must be modified before the interconnect performance extraction routines and database can be integrated and used.
  • this invention provides an interconnect modeling system with many significant advantages.
  • the invention improves the productivity of VLSI designers through efficient SPICE deck generations for interconnect designs.
  • the invention allows VLSI designers to specify accurate interconnect models as easy as transistor models without any 2-D/3-D simulations.
  • Existing SPICE simulator software is used unmodified.
  • the invention isolates the chip designer from the details of SMI geometry and process variations. Errors which are commonly found during manual SPICE deck generations are reduced significantly.
  • Submicron VLSI circuits can be easily optimized by using the non-linear multi-variable optimizer and SPICE since both the transistors and the interconnects are parameterized.
  • the invention provides immediate results to the user and the average execution times of the three functions in an HP/Apollo 720 workstation are within 1 second while 2-D/3-D ad-hoc simulations take about 20- 1000 seconds. Therefore, the time required for an embodiment of the invention to calculate tens to hundreds of data points for all the user-specified models for a construction is much less than the time needed for 2-D/3-D ad-hoc simulations, which provide a single data point for a specified geometry.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A computer-aided method of designing semiconductor interconnect structures for multilevel device interconnects in VLSI integrated circuits. The method integrates a batch-mode computation procedure (100) that combines a finite difference numerical simulation and a fast interpolation algorithm, an interactive design procedure (200), an interactive SPICE subcircuit generator (22) and simulator (300), and a spreadsheet-style graphical user interface (500). The method includes selecting a semiconductor interconnect construction type, displaying a first spreadsheet (502) of semiconductor construction parameters for the selected type, accessing a stored database (402, 404, 408) to read electrical characteristics of the selected type, displaying a second spreadsheet (520) of electrical performance data of the selected type, providing (222) desired electrical performance goals, and displaying a third spreadsheet (530) of construction data that matches the performance goals.

Description

COMPUTER-AIDED DESIGN METHODS AND APPARATUS
FOR MULTILEVEL INTERCONNECT TECHNOLOGIES
Field of the Invention
This invention generally relates to data processing methods and computer display systems for computer aided design and electrical performance prediction of multilevel device interconnect technologies. The invention specifically relates to parameterized graphical display and computation tools for calculation and display of capacitance and other electrical characteristics of multilevel VLSI interconnects, as well as PCB and MCM interconnects. Background of the Invention
VLSI integrated circuits ("VLSI chips") are expensive to design, prototype, and test. It is impractical to construct a new VLSI chip simply to test its electrical characteristics or performance. Therefore, VLSI chip designers use computers and computer-aided engineering software to design new VLSI chips, using a high-resolution graphics workstation to display a schematic and physical layout of the VLSI chip. Software is commercially available for simulating electrical performance of complex VLSI chips. This software includes SPICE (originally developed by the University of California, Berkeley), and enhanced by Hewlett-Pachard Co. and described in "HSPICE User's Manual," part number 5955-5528, commercially available from Hewlett-Packard Company, Circuit Technology Group, 5301 Stevens Creek Boulevard, Santa Clara, California 95052 USA. For proper operation SPICE requires input in the form of a SPICE subcircuit data file, known in the art as a "SPICE deck," which numerically describes the location and type of every conductor and component of the VLSI chip. Generation of SPICE decks to describe VLSI circuits is extremely tedious and time-consuming.
One difficult problem faced by VLSI chip designers is design of conductor interconnections between two VLSI chip devices such as transistors. Some conductor interconnects are three-dimensional and follow a non-linear path, since VLSI chips often are constructed with several overlapping layers of metal, polysilicon, and dielectric materials. The electrical characteristics (such as resistance, inductance, and capacitance) of these multilevel interconnects are determined by a complex relationship of numerous physical variables, including metal thickness, insulator thickness, dielectric constant, line width, inter-line spacing, and coupled line length between devices. The relationship between capacitance and interline spacing is non-linear. Also, the variables listed above result in a large number of possible values for resistance, inductance and capacitance depending on the physical size, type, and combination of metals, or insulators selected by the designer.
Accordingly, VLSI circuit designers desire to have a computer system for generating resistance, inductance, and capacitance models for all possible interconnect layout patterns. Since such models for multilevel interconnects require much computation, VLSI circuit designers desire a computer system which can execute such computation in advance in an off-line batch mode.
VLSI chip designers also desire a computer system capable of computing the non-linear relationship of capacitance to interline spacing, given trace width and trace spacing, using polynomial or cubic spline curve fitting and interpolation. VLSI chip designers further desire a computer system which can quickly generate parameterized SPICE subcircuit data files for interconnects after computing capacitance.
VLSI chip designers also desire a computer system which can interpolate on-chip capacitance values rapidly given the type of integrated circuit ("IC") fabrication process, trace width, and trace spacing, using linear polynomial or cubic spline curve fitting.
VLSI chip designers also desire a computer system which can rapidly generate high-level parameterized SPICE subcircuit and circuit data files based on linear polynomial or cubic spline curve fitting given an IC process, rise time, trace width, trace spacing, and line coupling length. VLSI chip designers also desire a computer system with an automatically updated spreadsheet display for viewing performance data and for displaying such data in response to variable changes entered by the designer, thereby enabling rapid evaluation of design changes and trade-offs. When fast clock frequency is used in VLSI chips, transmission line effects will appear on long on-chip interconnects, creating numerous design problems. As is known in the art, signals with 0.5 nanosecond (ns) rise time will incur transmission line effects when the interconnect length is equal to or longer than 3.0 cm. Current VLSI chip die size cannot be dramatically increased due to defect density concerns. Therefore, uniform on-chip interconnect segments to be represented by parameterized subcircuit calls will not approach 3.0 cm for the near future. However, the rise time requirement for full-custom chips will be well below 0.5 nanosecond very soon. Accordingly, VLSI chip designers desire circuit simulators which can import multi-line R, L, C models for lossy, high-density sub-micron interconnect (SMI) transmission line simulations.
However, the differences between distributed R,C line and transmission line effects indicate that a straightforward extension of conventional R,C line modeling is not enough for on-chip transmission line modeling. Therefore, designers would appreciate a circuit data file generator which can automate the determination of maximum length of each section for on-chip interconnects based on rise time.
To generate SPICE decks, most designers rely on schematic capture programs during pre-layout design and circuit extractors during physical layout. As is known in the art, a SPICE deck is a file of circuit definition data which is fed to the SPICE circuit simulator program. Use of a parameterized model library can relieve designers of all the interconnect modeling and most of the SPICE deck generation effort. Accordingly, designers would appreciate an automated SPICE deck generator for VLSI interconnects which can use parameterized libraries to increase the speed of generating SPICE decks.
Metal materials such as copper, tungsten, or their alloys have been proposed to replace aluminum alloys in some or all metal levels to satisfy future speed, reliability, and manufacturing requirements. Since the metal profiles and resistivities of these proposed metals differ from those of aluminum, interconnect R,C models must be resimulated. Accordingly, circuit designers desire to have a parameterized model library generator to provide accurate and efficient interconnect models for evaluating the impact of material changes on electrical performance. Signal propagation delays caused by interconnects form a large percentage of total signal delays in VLSI chips. However, for multi-level interconnect technology (MLIT) modeling in the IC/packaging industry, few CAD tools are available to increase productivity. Three-dimensional structures such as dual signal stripline, via, lead attachments, and trace bends require 3-D numerical simulations for accurate computation of impedance, noise margin and delay. Such simulations are time-consuming and tedious. Therefore, MLIT designers would appreciate accurate and immediate performance information.
High-speed chip designs also demand that engineers consider every combination of technologies from all packaging categories (i.e., IC processes, metal capacitance models (MCM) , printed circuit boards (PCB) , via, and lead attachment technologies) to determine which will satisfy chip performance specifications, such as delay and noise margin requirements. A data processing system for interconnect modeling is therefore needed to integrate and optimize chip design and packaging selection.
MLIT designs also require knowledge of material science, electrical engineering, and mechanical engineering. Designers must consider each area of engineering in the search for an optimum design. Therefore, designers would appreciate a data processing system which can assist in evaluating cost-performance trade-offs among all different requirements.
Summary of the Invention
This invention provides data processing methods and computer display systems for computer aided design and electrical performance prediction of multilevel device interconnects in VLSI integrated circuits, as well as PCB and MCM technologies. The invention specifically relates to parameterized graphical display and computation tools for calculation and display of capacitance and other electrical characteristics of multilevel VLSI interconnects. Four subsystems are integrated: (a) a batch-mode computation module that combines a 2-D/3-D finite difference numerical simulation and a fast interpolation algorithm; (b) an interactive design package with performance browsing, goal-directed synthesis, and online performance evaluation; (c) an interactive SPICE subcircuit generator and simulator; and (d) a spreadsheet- style graphical user interface.
First, for completeness and speed, combinations of parameters (e.g. width, spacing) for possible interconnect configurations are numerically simulated or interpolated in a batch mode and are saved into a data file for each MLIT. Batch mode simulation for a new interconnect configuration can be easily set up and run. Results are then automatically added to the existing database. Second, optimization of an interconnect design is done interactively through goal-directed interconnect synthesis given performance goals, flexible X-Y graphing, and fast on-line performance evaluation for arbitrary layer assignment of an interconnect structure with user-specified risetime, number of coupled traces, temperature, width, spacing, and coupling length.
Third, using the invention complete SPICE subcircuit/circuit generation and simulation for an interconnect structure design, including the layer assignment, risetime, width/spacing, and coupling length, can be generated and simulated automatically for signal integrity analysis. Study of the chip-to-chip communication for optimizing driver, interconnect, and receiver design in terms of total delay, noise margin, and other goals can be done more easily and quickly than with other methods.
Thus, the invention provides engineers with access to interconnect models for all MLITs, and makes different levels of packaging design more compatible and eases the task of system interconnect simulation. The invention drastically improves the productivity of circuit designers because accurate interconnect models can be specified easily without time-consuming capacitance simulations. The model library is designed to free chip designers from the details of both interconnect geometry and process variations and provide them with a direct SPICE link. Errors commonly found during manual SPICE deck generations can be reduced significantly. A commercial SPICE circuit simulator can be used without modification.
Brief Description of Drawings
FIG. 1a is a block diagram showing interconnections of a data processing method and data files of the present invention;
FIG. 1b is a block diagram of spreadsheet displays generated by the present invention;
FIGs. 2a, 2b, and 2c are graphs of capacitance versus interline spacing;
FIG. 3 is a cross section view of a three-line stripline-type multilevel VLSI interconnect;
FIG. 4 is a schematic diagram showing resistance, capacitance, and inductance relationships of the materials shown in FIG. 3 ; and
FIG. 5 is a schematic diagram of an exemplary stripline interconnect. Detailed Description of Preferred Embodiments
1 Introduction and Overview
The present invention integrates four sets of data processing methods to enable fast computer aided design of complex three-dimensional interconnect structures. In general, the data processing methods receive input of layout parameters and technology parameters identifying the circuit to be designed, and generate output data files for the SPICE circuit simulator. The four data processing methods include (a) a batch-mode computation processing method that combines a 2-D/3-D finite difference numerical simulation and a fast interpolation algorithm; (b) an interactive design processing method with performance browsing, goal-directed synthesis, and on-line performance evaluation; (c) an interactive SPICE subcircuit generator and simulator; and (d) a spreadsheet-style graphical user interface.
The invention thus assists users in selecting interconnect technologies for design-for-performance goals and also in optimizing interconnect performance designs for different types of chip packaging. By changing parameters and constants entered into the spreadsheets provided by the invention, designers can customize the operation of the invention for other variables such as reliability, thermal effects, and cost effects.
The batch mode element of the invention can be implemented by programming a general purpose data processor using standard equations in any suitable programming language. The inventors have implemented this element in the C programming language. The spreadsheet elements of the invention can be implemented using any of several commercially available spreadsheet computer programs. The inventors have implemented these elements using the commercially available WINGZ spreadsheet running under control of the UNIX operating system on a Hewlett-Packard workstation. FIG. 1 shows main operational modes, process elements, and data files of the invention. The invention operates in three modes, batch mode 100, analysis mode 200, and design/simulation mode 300. In FIG. 1, process steps corresponding to each of the three modes are labeled with reference numerals corresponding to the reference numeral used for each mode.
Operation of the invention can begin either in the batch mode 100 or the analysis mode 200. The batch mode 100 is used to prepare data files for a new VLSI chip/MCM/PCB construction technique, and therefore is used less frequently. Ordinarily a chip designer uses existing construction techniques and begins with analysis mode 200. Each mode is discussed below.
2 Batch Mode Batch mode 100 comprises steps 110, 112, 114 and 212 of FIG. la. The batch mode has been implemented in a C language computer program.
2.1 Interconnect Parameters
Initially, the program receives VLSI chip manufacturing process parameters entered 110 by a user and stored in technology parameter file 400. Then the program calls a numerical method-based capacitance simulator 112 repeatedly for a plurality of interconnect configurations with two widths and a range of inter-line spacings. The resulting numerical capacitance database 402 is called CapFile. In one preferred embodiment of the invention, thirty-three interconnect configurations, listed in Table 1, are embedded in the capacitance simulator ("CapSim") program 112.
1. M1 lines above Poly under M2
2. M1 lines above Substrate under M2
3. M1 lines above Silicide under M2
4. M1 lines above Poly under M3
5. M1 lines above Substrate under M3
6. M1 lines above Silicide under M3
7. M1 lines above Poly
8. M1 lines above Substrate
9. Ml lines above Silicide
10. M2 lines above sparse M1 and Poly
11. M2 lines above sparse M1 and Substrate
12. M2 lines above M1
13. M2 lines above sparse M1 and Poly under M3
14. M2 lines above sparse M1 and Substrate under M3
15. M2 lines above Ml under M3
16. M2 lines above Poly under M3
17. M2 lines above Substrate under M3
18. M2 lines above Silicide under M3
19. M2 lines above Poly
20. M2 lines above Substrate
21. M2 lines above Silicide
22. M3 lines above sparse M1 and Poly
23. M3 lines above sparse M1 and Substrate
24. M3 lines above sparse M2
25. M3 lines above M1
26. M3 lines above M2
27. M3 lines above Poly
28. M3 lines above Substrate
29. M3 lines above Silicide
30. Poly lines above Substrate under M1
31. Poly lines above Substrate under M2
32. Poly lines above Substrate under M3
33. Poly lines above Substrate
Table 1 ╌ Interconnect Configurations
One of ordinary skill in the art will recognize the abbreviations in the above table as "Ml" for metal 1, "M2" for metal 2, "M3" for metal 3, and "poly" for polysilicon. The above interconnect configurations are for planarized SMI technologies that have three metals and one polysilicon. One of skill in the art will recognize that the twenty configurations can be extended for future SMI technologies having more than three metal levels. CapFile consists of tables representing two line widths for each of the configurations shown in Table 1. The configurations of FIG. 1 apply to integrated circuits; other models are used for PCB/MCM. Each table comprises one row for each configuration. Each row corresponds to an interline spacing in microns. A typical table has rows for spacings of 0 microns to 15 microns in 1 micron increments. Each row comprises columns for the interline spacing in microns, the RC constant in ns per cm, total capacitance (Ct), interline capacitance (Ci), ground capacitance between two lines (Cg12), ground capacitance from one line to substrate (Cg1p) and a constant F (2Ci/Ct). Some configurations do not have Cg2 for lack of an upper metal, e.g., configurations 5 and 6. Substrate is considered as a conductor, so Cg1 always exists. Computation of table values is described below.
2.2 Curve Fitting Using the Equations
Each row of the CapFile tables is computed using a curve fitting method shown in block 212. The method has been implemented in a C language computer program called CurveFit, which uses the least-square method known in the art to model the capacitance changes between minimum spacing (0 microns) and 15 μm. Polynomials of degree six are used to curve-fit the non-linear relationship so that the maximum interpolation error can be within 5%. Cubic spline interpolation also can be used for curve-fitting. The CurveFit program also reads a resistance file 404 which contains the sheet rho data for polysilicon, metal 1, metal 2 , and metal 3. The result of CurveFit is an equation file 406 containing curve-fit and linear-fit files, respectively shown in Table 2 and Table 3, with equations for Ci, Cg1, Cg2, and Rw arranged in SPICE format. * file-name = curve-fit-m1m2sb
.param wid min=0.8 max=10
.param i no=-0.436957 n1=0.778796 n2=-0.408766 n3=0.0727845
+ n4=0.00391275 n5=-0.000890772 n6=3.32038e-5
+ w0=-p.475644 w1=0/865993 w2=-0.481429 w3=0.100322
+ w4=-0.00106627 w5=-o.000528231 w6=2.37069e-5
.param g2 n0=32.3302 n1=37.83 n2=-11.5503 n3=1.81954
+ n4=-0.155456 n5=0.00683289 n6=-0.000120965
+ w0=354.143 w1=38.569 w2=-11.8872 w3=1.89499
+ w4=-0.163779 w5=0.00726997 w6=-0.000129721
.param gl n0=30.197 n1=36.7249 n2=-11.1805 n3=1.75704
+ n4=-0.149826 n5=0.00657539 n6=-0.000116269
+ w0=334.961 wl=37.212 w2=-11.3805 w3=l.80057
+ w4=-0.154565 w5=0.00682105 w6=-0.000121114
.param t min=25 max=110
.param r min=69 max=87
.param v
cgl=gl.n0*(s*le6)**0+gl.n1* ( s*le6)**1+gl.n2*(s*le6)**2++ gl.n3*(s*le6)**3+gl.n4*(s*le6)**4+gl.n5*(s*le6)**5++ gl.n6*(s*le6)**6+(w*le6-wid.min)/(wid.max-wid.min)*(+ (gl.w0-gl.n0)*(s*le6)**0+(gl.wl-gl.nl)*(s*le6)**1+ + (gl.w2-gl.n2)*(s*le6)**2+(gl.w3-gl.n3)*(s*le6)**3+ + (gl.w4-gl.n4)*(s*le6)**4+(gl.w5-gl.n5)*(s*le6)**5+ + (gl.w6-gl.n6)*(s*le6)**) +
+
+
+
+
+
ci=l/(i.n0*(s*le6)**0+i.nl*(s*le6)**l+i.n2*(s*le6)**2+
+ i.n3*(s*le6)**3+i.n4*(s*le6)**4+i.n5*(sle6)**5+
+ i.n6*(s*le6)**6)+(w*le6-wid.min)/(wid.max-wid.min)* + (l/(i.w0*(s*le6)**0+i.wl*(s*le6)**l+i.w2*(s*le6)**2+ + i.w3*(sle6)**3+i.w4*(s*le6)**4+i.w5*(s*le6)**5+
+ i.w6*(s*le6)**6)-l/(i.n0*(s*le6)**0+i.nl*(s*le6)**l+ + i.n2*(s*le6)**2+i.n3*(s*le6)**3+i.n4*(s*le6)**4+ + i.n5*(s*le6)**5+i.n6*(s*le6)**6))
rw=(r.min+(temp-t.min)/(t.max-t.min)*(r.max-r.min))/w
Table 2 ╌ A Curve Fit File * file-name = linear-fit-m1m2sb
.param wid min = 0.8 max = 10
.param gl narrow = 7.9393e+01+(s*le6-15)/(50-15)*(7.9421e+01-
7.9393e+01)
wide = 3.8482e+02+(s*le6-15)/(50-15)*(3.8484e+02-
3.8482e+02)
.param g2 narrow = 8.2847e+01+(s*le6-15) / (50-15)*(8.2866e+01-
8.2847e+01)
wide = 4.0543e+02+(s*le6-15)/(50-15)*(4.0544e+02- 4.0542e+02)
.param i narrow = 1.6462e-02+(s*le6-15) / (50-15)* (1.1095e-03-
1.6462e-02)
wide = 1.8427e-02+(s*le6-15)/(50-15)*(1.2860e-03- 1.8427e-02)
.param t min = 25 max = 110
.param r min = 69 max = 87
.param v
cgl = gl.narrow+(w*le6-wid.min)/(wid.max-wid.min)*(gl.wide- gl. narrow)
cg2 = g2.narrow+(w*le6-wid.min)/(wid.max-wid.min)*(g2.wide- g2. narrow)
ci = i.narrow+(w*le6-wid.min)/(wid.max-wid.min)*( i.wide- i.narrow)
rw = (r.min+(temp-t.min)/(t.max-t.min)*(r.max-r.min))/w Table 3 ╌ A Linear-Fit File
If the inter-line spacing is narrower than 15 μm, curve-fit files are used. If the inter-line spacing is wider than 15 μm, linear-fit files are used. Since each configuration in Table 1 has one curve-fit file and one linear-fit file, the resulting equation file 406 preferably has sixty-six such files. High-density VLSI designers may use curve-fit files most of the time since C1, Cg1, and Cg2 do not change much after the spacing is wider than 15 μm.
2.3 Capacitance Calculations for the Tables Since several VLSI technologies use multiple conductors with different thickness and multiple insulators with different dielectric constants, those of skill in the art prefer numerical method-based capacitance simulators for accurate modeling of VLSI interconnect capacitance. Empirically derived equations having multiple parameters, such as line width, inter-line spacing, conductor thickness and dielectric thickness are known in the art for interconnect modeling. Such equations cannot cover VLSI chip layout configurations with multiple dielectrics and various metal thickness. Furthermore, the ranges of line width and inter-line spacing that can be applied to the multi-parameter equations are limited.
FIG. 3 is a schematic cross section of an exemplary VLSI chip section 30 constructed with Metal 1 arrays under a Metal 2 plane above Substrate. A low-temperature oxide layer 36 (TEOS, ∊r=4.1) exists between metal 2 (reference numeral 34) and a plurality of conductor lines 38a, 38b, 38c comprising metal 1. Insulators between metal 1 and substrate 40 include phosilicate glass 44 (PSG, ∊r=4.0) and field oxide 46 (FOX, ∊r=3.9). An insulation layer 32 covers the metal layer 34. Three capacitance relationships exist related to the center metal 1 line 38b. Two ground capacitances (Cg1 and Cg2) are developed between line 38b and metal 2 and the substrate, respectively. A one-sided inter-metal-1-line capacitance (Ci) is developed between line 38b and either of lines 38a, 38c. If there is no metal 2 (34) above the metal lines 38a, 38b, 38c, then no ground capacitance exists.
The relation of the three capacitance components and the commonly used total capacitance (Ct) is expressed in Equation 1 :
Ct (aF/μm) = 2 × Ci + Cg1 + Cg2
The units of Ci, Cg1 , and Cg2 are farads per micron (F/μm). In the known art, C, is calculated and then sectioned for distributed single-line R,C circuit simulation. It is valid when the two adjacent lines 38b, 38c remain in a quiet state. Due to electrical coupling in high-density interconnects, non-switching and long interconnect lines adjacent to an active line often are not quiet. The effective RC-delay, and cross-talk must be simulated with distributed multi-line R,C models. On the other hand, interconnects on different levels are required by design to run in perpendicular directions, so the coupling between different levels is small. Non-active conductor levels, e.g., power supplies, are considered as quiet.
A particular chip technology for VLSI design is affected by three layout parameters (line width, line length, and inter-line spacing of an interconnect line), and technology parameters (such as conductor thickness, dielectric thickness, dielectric constants, and tolerance values). The layout parameters are user-adjustable but the technology parameters are fixed and cannot be changed by designers. During VLSI layout, the values of Ci, Cg1, and Cg2 are affected by the three layout parameters. The interline spacing affects the capacitance in a non-linear manner. FIG. 2a contains a graph 2 showing the non-linear relationship of interline spacing to capacitance. Line width is fixed at .9 microns and the construction is Ml arrays above substrate. Vertical scale 4 indicates farads per micron. Horizontal scale 6 indicates interline spacing from 1 to 5 microns. Line 8 represents total capacitance, line 10 indicates interline capacitance, line 12 is ground capacitance, and line 14 roughly indicates crosstalk noise. FIG. 2c illustrates the non-linear capacitance relationship versus spacing, when metal 1 line width is fixed at 0.8 μm while the spacing is changed from 1.0 μm to 15 μm. Line 20 represents interline Ci, line 22 represents Cg1, and line 24 represents Cg2. The same phenomena can be found in other conductor levels such as polysilicon, metal 2, and metal 3. Since capacitance is non-linear in relation to interline spacing but linear in relation to line width and line length, polynomials can be used to model capacitance as a function of inter-line spacing, as shown below:
Figure imgf000018_0002
The Cwjdlh(s) is capacitance per unit length simulated with a fixed line width and s is a variable representing the inter-line spacing. A least-square method known in the art can be used to curve-fit the non-linearity and generate coefficients for the above polynomial of degree six. The three capacitance curves, Ci, Cg1, Cg2 in FIG. 2c, are modeled as three polynomials: Cimin(s), Cg1min(s), and Cg2min(s), where min stands for the minimum width specified by the design rule. A reasonably wide width of 10 μm is chosen for polysilicon, metal 1, and metal 2; 20 μm is chosen for metal 3. The coefficients of the six polynomials are embedded in a SPICE-format file shown in Tables 2 and 3.
Interconnect line width affects capacitance of a line in a linear manner, so the capacitances can be modeled in the form of the following three equations:
Figure imgf000018_0001
In these equations, widmin is the minimum width and widmax is the reasonably wide width; w(in μm) is the line width variable. The Ci(w,s), Cg1(w,s) and Cg2(w,s) above are to be multiplied by the length parameter inside the SPICE subcircuits. In this way, interconnect capacitances are parameterized with layout parameters for a selected technology.
Changes in line resistance are linearly proportional to the temperature, the line length, and the inverse of the line width, so the resistance per unit length can be calculated by:
Rw(W)(mΩ/μm) = (Rmin + (Te mp - Tmin)/(Tmax - Tmin) X(Rmax - Rmin)/w (6) where Rw is wire resistance per micron length, w (in μm) is the line width variable, Tmιn is the minimum temperature, Rmm is the sheet rho (mΩ/□) at Tmin, Tmax , and temp is the operating temperature of the chip. Temp is also a parameter but it is a global variable in SPICE and need not be specified for each interconnect segment. The Rw above is to be multiplied by the length parameter inside the SPICE subcircuit as well.
Since Ci, Cg1, Cg2, and Rw can be polynomial-fitted as functions of interconnect dimension, their distributed R,C effects are modeled by a three-line π3 R,C circuit model known in the art, and consists of three cascaded π R,C models to represent a distributed single-line interconnect for circuit simulation. Considering the increased coupling among submicron interconnects, we extend the single-line π3 model to a three-line π3 model for accurate SMI RC-delay and cross-talk simulation. 2.4 Interfacing Models to SPICE
The electrical characteristics of VLSI interconnects are simulated using SPICE by creating a SPICE subcircuit representation of each interconnect. FIG. 4 is a schematic representation of electrical characteristics of two lines of the interconnect of FIG. 3. The interconnect 50 of FIG. 4 has two input points 52, 54 which correspond to terminals of lines 38b, 38c of FIG. 3. Each line 38b, 38c has an impedance represented respectively by resistors 56, 58. Inductance of the two lines 38b, 38c is shown by inductors 60. Capacitor 62 interconnects the lines and represents the interline capacitance of FIG. 3. Ground capacitances are respectively represented by capacitors 64, 66.
A SPICE subcircuit call statement specifying two lines, 1.0-cm-long metal 1 arrays, line width of 4 μm and inter-line spacing of 2 μm would appear as follows:
X1 %in1 %in %in2 %out1 % out % out2 0 m1m2sb (4u 2u 1000u)
where X1 is the name of the metal 1 arrays to be modeled. A three-line model requires seven external node names specified to connect the three inputs, three outputs, and ground to adjacent circuits. After the node name specification, the above call statement specifies the subcircuit being called, mlm2sb, and the three layout parameters, width, spacing, and length (inside the parentheses). "mlm2sb" is the acronym for "Metal 1 arrays under Metal 2 above Substrate." For 2.0-cm-long metal 1 arrays, the specification is:
X2 %in1 %in %in2 %out1 % out % out2 0 m1 m2sb (4u 2u 20000u)
The following specification will give better accuracy, however, because the number of sections is increased from three to six:
X21 %in1 %in %i n2 %tmp1 %tmp %tmp2 0 mim2sb (4u 2u 10000u)
X22 %t mp1 %t mp %tmp2 % out1 %out %out2 0 mi m2sb (4u 2u 10000u)
With more sections, the total number of nodes to be processed by SPICE will be increased and the execution time will be longer. For on-chip transmission line modeling, the length of each subcircuit call statement should be determined by the rise time.
The batch-mode computation algorithm is derived from the "HIVE" algorithm as disclosed in the following papers: (1) K-J Chang et al . , "HIVE: An Express and Accurate Interconnect Capacitance Extractor For Submicro Multilevel Conductor Systems," presented at the VMIC Conference, 11-12 June 1991; (2) K-J Chang et al ., "HIVE: An Efficient Interconnect Capacitance Extractor to Support Submicron Multilevel Interconnect Designs," presented at ICCAD, November 1991; and (3) S-Y Oh et al . , "Physical and Technological Limitations and Their Optimization in Submicron ULSI Interconnect," presented at the ICVC '91 conference, Seoul, Korea.
The HIVE algorithm computes selective lateral and vertical capacitances of sub-micron IC interconnects using finite-difference methods. The non-calculated capacitances can then be obtained through fast interpolation. The assumptions which are used for extracting submicron on-chip interconnect capacitances as reported in the above papers are also valid for MCM and PCB. These assumptions include the following. First, interline ("Cil") and vertical ("Cg") capacitances linearly vary with trace width when the interline spacing is fixed. Second, with enough points for numerical simulation of capacitances in which interline spacing is varying and width fixed, other capacitances for any spacings bounded by the simulated spacings can be interpolated. Capacitance values can be computed using the FCAP2 and FCAP3 algorithms which are known in the art and available in commercial C language libraries for numerical simulation; these algorithms are described in the following references: Kit Cham et al . , Computer-Aided Design and VLSI Device Development (2nd Edition), Lower Academic Publishers, Norwell, Massachusetts, 1988, pp. 348-351, and Ken Lee, "Three-Dimensional Modeling of Interconnect Capacitance and Inductance", Workshop on Interconnect Modeling and Technology , IEEE Solid-State Circuits & Technology Committee, San Diego, California, May 1989. For example, the changes of both "Cil" and "Cg" of a stripline for a thin-film MCM behave linearly when the width is changed but the spacing is fixed. Therefore, for a fixed spacing, only two capacitances need be calculated using numerical simulation; capacitance values for different widths can be interpolated. However, due to the slight non-linearity of capacitance curves versus spacing when the width is fixed as shown in FIG. 2c, more points are needed using numerical simulation. This permits use of linear, polynomial, or cubic spline interpolation for different spacings depending on the accuracy desired. In either way, the interpolated capacitances are carefully checked to ensure that the maximal induced error is around 3% of the capacitance. 3 Analysis Mode 200
The analysis mode 200 comprises steps 210, 214, 216, 218 and 220 of FIG. la. The mode can be implemented by programming a spreadsheet to display a menu tree and a spreadsheet worksheet having a plurality of rows and columns, so that each row comprises a plurality of cells for text or numeric information. Any general purpose spreadsheet can be used but in a preferred embodiment, the WINGZ spreadsheet is used, which is commercially available from Informix Software, Inc., Sales & Information, 913-599-7100. One of skill in the art will recognize that the invention could also be implemented using a general purpose relational database system. Preferably the spreadsheet is programmed to present the user with a plurality of menu choices organized as shown in Table 4. Each menu option is discussed separately below. Performance
.. Performance Browse or Create
.. Database
.. Delete database for a construction
.. Check existing models
.. Generate all traces database
.. Generate chosen trace database
Plot
.. . width
... spacing
.. . width with spacing contour
... spacing with width contour
.. Synthesis
... Synthesis Setup
... Goal-Directed Synthesis
Evaluation
.. Evaluation Setup
.. Model Evaluation
.. Performance Evaluation
SPICE
.. SPICE Setup
.. SPICE Create
Window (moves among windows on workstation screen)
Menu
.. Main Menu
.. Restart
Table 4 ╌ Spreadsheet Menu Choices
FIG. lb shows a compressed representation of a main spreadsheet 500 of the invention. The main spreadsheet 500 is divided into a user parameter worksheet 502, a performance assumptions worksheet 520, and a construction database worksheet 530. A separate construction setup worksheet 540 is coupled to the construction database worksheet 530. Each of the worksheets comprises a plurality of interrelated cells. As is known in the art, each cell can be programmed with a numeric formula so that a change to one cell results in rapid calculation and redisplay of related cells. One of skill in the art will recognize that the cells and worksheets can be represented in a conventional computer memory or using a relational database system. Preferably the worksheets are stored on a conventional mass storage device, such as a hard disk drive, to enable long-term storage of the data therein. The user parameter worksheet 502 preferably comprises a plurality of rows 504 each having cells 506, 508, 510 and 512 for entry of text or numeric data. In a preferred embodiment of the invention, the worksheet 502 has three rows 504. The first row accepts user entry of a line width range in microns, such as 10 microns, 20 microns, etc. The second row accepts user entry of a line spacing range in microns, such as 40 microns, 50 microns, etc. The third line displays available metallization models which are abbreviated by acronyms known in the art, such as SM, BMO, SL, DSS, etc. Thus, using the user parameter worksheet, a user can enter preferred interconnect line width and spacing for use by other elements of the invention.
Preferably the assumptions worksheet 520 has a plurality of cells 522, 524, 526 for display and entry of technology parameters relating to the chip under development. Preferably, the assumptions worksheet cells include cells for entry or display of signal rise time in ns, chip operating temperature in centigrade, coupled length in inches or centimeters, and a plurality of columns for entry or display of soldermask data. Each soldermask column comprises a plurality of cells in the column for entry or display of soldermask name, soldermask thickness in microns, soldermask dielectric coefficient, metallization type (such as copper or aluminum), and metal resistivity in ohms per meter.
Preferably the construction worksheet 530 comprises a plurality of spreadsheet cells 532, 534, 536 containing data relating to electrical and physical characteristics of different parameters of a particular chip construction. Each row of the construction worksheet 530 contains all parameters relating to one particular construction type. Each column identifies a parameter. Table 5 lists preferred data types and units for each column. Column Data Type Units
1 construction type acronym 2 layers integer 3 dielectric constant
4 core microns
5 prepreg microns
6 outer layer metal microns
7 inner layer metal microns
8 metal resistivity ohms/meter
9 metal overplate thickness mils
10 mask dielectric constant
11 mask thickness microns
12 in database boolean y/n
Table 5 ╌ Construction Worksheet Columns Coupled to the assumptions worksheet 520 is a separate performance database worksheet 550. Preferably the performance worksheet 550 comprises a plurality of rows, each having a plurality of column cells representing electrical performance characteristics of a particular construction for a particular number of traces, trace width, and trace spacing. The performance worksheet is activated by selecting the PERF BROWSE option, which causes the data processor to load and display a performance worksheet. Table 6 lists preferred data types for each column of a row, including units and spreadsheet formulas by which the column cells are computed, for a five-line model. As indicated, the performance worksheet contains performance values computed according to all the other entered data.
Column Name Units or Formula
1 type text
2 layers integer
3 model type acronym
4 reserved
5 reserved
6 number of traces integer
7 trace width mils
8 trace spacing mils
9 effective impedance ohms
10 effective PD ps/inch
11 latB crosstalk mV/V
12 latF crosstalk mV/V
13 vertical crosstalk mV/V
14 coupling coefficient (Ze-Zo) (Ze+Zo)
15 resistivity ohms/meter
16 even impedance ohms
17 odd impedance ohms
18 PD even ps/inch
19 PD odd ps/inch
20 inductance 1 -2 L12/sqrt(Lll*L22)
21 inductance 1 -3 L13/sqrt(Lll*L33)
22 inductance 1 -4 L14/sqrt(Lll*L44)
23 inductance 1 -5 L15/sqrt(Lll*L55)
24 inductance 2-3 L23/sqrt(L22*L33)
25 inductance 2-4 L24/sqrt(L22*L44)
26 inductance 2-5 L25/sqrt(L22*L55)
27 inductance 3-4 L34/sqrt(L33*L44)
28 inductance 3-5 L35/sqrt(L33*L55)
29 inductance 4-5 L45/sqrt(L44*L55)
30 capacitance 1-1 farads/meter
31 capacitance 2-2 farads/meter
32 capacitance 3-3 farads/meter
33 capacitance 4-4 farads/meter
34 capacitance 5-5 farads/meter
35 capacitance 1-2 farads/meter
36 capacitance 1-3 farads/meter
37 capacitance 1-4 farads/meter
38 capacitance 1-5 farads/meter
39 capacitance 2-3 farads/meter
40 capacitance 2-4 farads/meter
41 capacitance 2-5 farads/meter
42 capacitance 3-4 farads/meter
43 capacitance 3-5 farads/meter
44 capacitance 4-5 farads/meter
45 to 54 capacitance of each line to
planes 1 and 2 farads/meter
55 capacitance plane to plane farads/meter
56 to 70 capacitance of each line to
substrate farads/meter
71 to 85 inductance of each line to
each other line henries/meter
Table 6 ╌ Performance Worksheet Data Types
Thus, each interconnect construction is specified with a common set of characteristic parameters, such as number of layers, dielectric constants, dielectric thicknesses. yonductor type, soldermask or overcoat dielectric constant and thickness, outer layer and inner layer conductor thicknesses, and number of coupling traces. Since different interconnect domains have different sets of characteristic parameters, in the preferred embodiment three separate construction worksheets are maintained for IC, MCM thin film, and PCB/MCM thick film constructions.
The performance worksheet contains representative data points for interconnect capacitances which are extracted numerically for all the conceivable models of a new construction in the batch mode. For example, a 4-layer MCM construction can have models such as stripline (SL), dual stripline (DSS), surface microstrip (SM), and buried microstrip outer (BMO). A linear interpolation algorithm can then be applied to generate any other points bounded by the width/spacing ranges of the simulated points. The simulated capacitances are subsequently used to derive inductances L and transmission line properties, including Zo, Ze, Zeff (odd, even effective mode impedances); Pdo, Pde, Pdeff (odd, even effective propagation delays); and VB, VF (backward, forward crosstalks) for all the interconnect models. The formulas used for these derivations are known in the art and are discussed, for example, in the following references: H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI , Addison-Wesley, Reading, Massachusetts, 1990; A. Feller et al . , "Crosstalk and Reflections in High-Speed Digital Systems," Proceedings of Fall Joint Computer Conference , 1965; J. Buchanan, BiCMOS/CMOS Systems Design , McGraw-Hill, Inc., 1991. 4. Interactive Design Functions
(Goal Directed Svnthesis and Evaluation Panel)
Goal-directed interconnect synthesis helps users to narrow down possible interconnect designs quickly. The domain of search is all processes/technologies that have been simulated in the batch mode. The invention extracts a subset of designs that satisfy multiple performance goals, such as impedance and noise margin, specified by the user.
The user can also look at the effect of changing trace width/spacing on any performance variable by using the graphing facility of the invention discussed below. This is done by showing any performance variable versus trace width and/or trace spacing. An arbitrary number of graphs can be generated, so a user can simultaneously visualize trade-offs for different trace width/spacing designs as well as their impact on several performance variables.
To accomplish goal-directed synthesis, the user selects SYNTHESIS from the spreadsheet menu tree. The SYNTHESIS SETUP option is selected, causing the data processor to display a setup window on the workstation. Preferably the setup window is a spreadsheet worksheet comprising five columns entitled PARAMETER, UNIT, RELATIONSHIP, MINIMUM VALUE, MAXIMUM VALUE, and INPUT. Preferably the worksheet has ten rows identified with names in the PARAMETER column. The rows represent model name, layers in the interconnect, trace width (mils), trace spacing (mils), impedance (Ohms), signal propagation delay (picoseconds/inch), noise margin (mV/V), lateral crosstalk (mV/V), vertical crosstalk (mV/V), and coupling coefficient. The parenthesized unit identifiers are depicted in the UNITS column. In the RELATIONSHIP column the data processor displays reference text such as noise margin data. The worksheet accepts user entry in the MINIMUM VALUE and MAXIMUM VALUE columns. Synthesis setup is then complete.
Next, the user selects the GOAL-DIRECTED SYNTHESIS option - from the spreadsheet menu. In response, the data processor displays a window of performance data for constructions meeting the criteria specified in the setup window. The displayed data is selected from the performance data worksheet 550 by comparing values therein with MINIMUM VALUE and MAXIMUM VALUE entries provided by the user.
An evaluation panel is provided for users to do stackup design. Stackup design involves assigning signal or reference planes to each layer of an interconnect construction. Once a user specifies layer assignment for a selected construction, the invention can determine layer models for each layer. Furthermore, on-line performance evaluation can be done for each signal layer given an arbitrary trace width and spacing within the interpolation range.
Evaluation is initiated by selecting the EVALUATION SETUP spreadsheet option from the menu. In response, the data processor displays a spreadsheet worksheet containing a plurality of layer rows, each row corresponding to a layer of the construction type under analysis. The worksheet further includes a plurality of layer cells in each row corresponding to different parameters which characterize each layer. Preferably, the layer cells permit entry of data for layer type (soldermask or active layer) , layer assignment (mask, signal, blank signal, or reference) , and layer model (surface microstrip, stripline, etc.). When these values are entered, evaluation setup is complete.
Next, the user selects MODEL EVALUATION. In response, the data processor computes and fills in data for each cell of the performance worksheet, identified above in Table 6.
Thus, by choosing a specific signal layer from the evaluation worksheet, the user can generate and simulate SPICE subcircuits through the interactive SPICE setup worksheet and SPICE window and thereby evaluate performance of different layers.
5 SPICE Subcircuit Generator If the test of step 216 is true, control is passed to step 220 in which the invention will generate a SPICE deck or file for the interconnect being analyzed. Passage of control to step 220 is usually accomplished by the user moving the mouse of the workstation to the SPICE spreadsheet menu option. In response, the data processor displays two sub-options: SPICE SETUP and SPICE CREATE.
When the user selects SPICE SETUP, the data processor displays a SPICE SETUP spreadsheet worksheet. The worksheet displays setup conditions for SPICE simulation of coupling of specified traces. Traces can be specified as active or inactive, where active means connecting the input of the trace to a pulse that has a user-specified rise time. The user can specify the termination of a trace by providing a characteristic impedance in ohms or by specifying open termination. Preferably a first area of the worksheet contains cells for input of a default characteristic impedance, and for rise time, pulse width, and period of active trace input signals. A second area of the SPICE SETUP worksheet contains a plurality of rows, each row corresponding to an interconnect trace. Each trace row comprises a plurality of cells to store data representing the trace number, whether the trace is active or inactive, input impedance, whether ground is present, names of input and output nodes connected by the interconnect , and a characteristic termination impedance. Each cell is filled by the user with numeric data for use by the SPICE CREATE option. When the user selects SPICE CREATE, in step 220, the method of the invention causes a data processor to read the equation file 406 and generate parameterized three-line π3 circuit models for distributed R,C circuit simulation. The resulting SPICE deck is written to a parameterized model file 420.
To form the SPICE deck, Cg1, and Cg2 read from the equation file are added to form Cg. Then Cg, Ci, and Rw, are multiplied by the line length of the interconnect and divided by three, respectively, to become three separate circuit elements. The capacitances on the boundary must be further divided by two. For each configuration in Table 1, a curve-fit R,C file and a linear-fit R,C file is generated. The resulting parameterized model library has forty distributed R,C SPICE subcircuits. The parameters for each subcircuit are line width, inter-line spacing, and line length. Chip operating temperature is also a parameter but it can be accessed in a global manner and need not be specified in each subcircuit call statement. After creation of a SPICE deck, the user can activate the SPICE program using a separate workstation window, and specify the just-created SPICE deck as input. All known and ordinary SPICE functions can be executed.
6 Plotting Graphs Once the performance database is built, the user can use the invention's performance browser and graphics capability to look at different curves of interest. To plot a graph, the user first moves the workstation cursor to any single cell in the performance worksheet. Then the user selects the PERFORMANCE PLOT option from the spreadsheet menu. Four options called WIDTH, SPACING, WIDTH WITH SPACING CONTOUR, and SPACING WITH WIDTH CONTOUR are available to plot capacitance versus trace width or trace spacing. The selected option generates a graph based on the data in the previously selected cell. Graphs are generated using the public-domain XGRAPH program developed by U.C. Berkeley.
FIG. 2b shows a typical graph 630 of effective impedance versus interline spacing which can be produced in a workstation window by the invention. The vertical scale 632 shows effective impedance and the horizontal scale 634 shows interline spacing in microns. Lines 636, 638, and 640 represent effective impedance of traces of 40, 50, and 60 microns in width, respectively. Other graphs produced by the invention show crosstalk versus impedance.
7 Database and Miscellaneous Functions The spreadsheet menu preferably includes a plurality of database function menu options. The DATABASE DELETE function enables deletion of data in a worksheet. The DATABASE CHECK EXISTING MODELS function performs a search of a worksheet and finds all models matching a chosen construction. For example, when the CHECK EXISTING MODELS option is selected, after user entry of layer number, construction technique, and core thickness, the data processor will search the construction database to locate construction models matching the selected criteria. The WINDOWS option enables the user to rapidly enter a currently active window for the PERFORMANCE, SYNTHESIS, EVALUATION, or SPICE functions. The MENU option has two sub-options to return the user to a main menu and to restart the system of the invention. 1 Example: Clock Tree Design
Table 7 below shows a parameterized SPICE circuit interconnect specification for an exemplary clock tree .
X1 %c1 %cntr %c2 %l1 %left %12 0 m2m1py ( 4u 2u 2500u)
X2 %c1 %cntr %c2 %r1 %rite %r2 0 m2m3sb ( 4u 2u 2500u)
X3 %11 %left %12 %lui %lu %lu2 0 m2sb ( 2u 2u 2350u)
X4 %11 %left %12 %ld1 %ld %ld2 0 m2m1py ( 2u 2u 1250u)
X5 %rl %rite %r2 %ru1 %ru %ru2 0 m2m3sb ( 2u 2u 1259u)
X6 %r1 %rite %r2 %rd1 %rd %rd2 0 m2m3ml ( 2u 2u 1250u)
X7 %lu1 %lu %lu2 %lur1 %lur %lur2 0 m2mlpy ( .8u lu 1250u)
X8 %lu1 %lu %lu2 %lul1 %lul %lu12 0 m2mlpy ( .8u 1u 1250u)
X9 %ldl %ld %ld2 %ldr1 %ldr %ldr2 0 m2mlpy ( .8u 1u 1250u)
X10 %ld1 %ld %ld2 %ldl1 %ld1 %ld12 0 m2mlpy ( .8u 1u 1250u)
Xll %ru1 %ru %ru2 %rur1 %rur %rur2 0 m2m3ml ( lu 1u 1250u)
X12 %ru1 %ru %ru2 %rur1 %rul %ru12 0 m2m3ml ( lu 1u 1250u)
X13 %rd1 %rd %rd2 %rdr1 %rdr %rdr2 0 m2m3sb ( lu 1u 1250u)
X14 %rd1 %rd %rd2 %rdrl %rdl %rd12 0 m2m3sb ( lu 1u 1250u)
Table 7 - - Clock Tree Interconnect Spec
The clock signal is distributed from the center, %cntr, to the eight cells through fourteen metal 2 interconnect segments. Each segment is described by a parameterized interconnect subcircuit call statement starting with "X". The subcircuit names being called in each statement, e.g., m2mlpy and m2m3sb, start with m2 because the active level is metal 2. The seven interconnect segments X2, X5, X6, Xll, X12, X13, and X14, are under dense metal 3 power/ground buses. Therefore, the corresponding called subcircuits have m3 in the middle of their names. The clock skew between nodes %lul and %rur is 0.5 nanosecond. After the trace widths of X11 to X14 are increased to 1.0 μm, the clock skew is decreased to 0.2 nanosecond. If this is still not tolerable. Each SPICE input adjustment takes less than ten seconds. If the interconnect is not parameterized, circuit designers must run time-consuming capacitance simulators several times. Furthermore, manual conversion of the capacitance values into distributed R,C SPICE subcircuits is tedious and error prone. A SPICE input representing a clock distribution in H-tree is arranged by using the parameterized subcircuits for clock-skew simulations. Table 8 provides a listing of the interconnect portion of the H-tree of this example.
X1 %c1 %cntr %c2 %11 %left %12 o m2m1p_wc ( 4u 1u 2500u)
X2 %c1 %cntr %c2 %r1 %rite %r2 o m2m3s_wc ( 4u lu 2500u)
X3 %11 %left %12 %lu1 %lu %lu2 o m2sub_wc ( 2u lu 1250u)
X4 %11 %left %12 %1d %ld2 o m2mlp_wc ( 2u lu 1250u)
X5 %r1 %rite %r2 %ru1 %ru %ru2 o m2m3s_wc ( 2u lu 1250u)
X6 %r1 %rite %r2 %rd1 %rd %rd2 o m2m3ml_wc ( 2u lu 1250u)
X7 %lu1 %lu %lu2 %lur1 %lur %lur2 o m2mlp_wc ( .8u lu 1250u)
X8 %lul %lu %lu2 %lu11 %lul %lu12 o m2mlp_wc ( .8u lu 1250u)
X9 %ldl %ld %ld2 %ldrl %ldr %ldr2 o m2mlp_wc ( .8u lu 1250u)
Xa %ld1 %ld %ld2 %ld11 %ld1 %ld12 o m2mlp_wc ( .8u lu 1250u)
Xb %ru1 %ru %ru2 %rur1 %rur %rur2 o m2m3ml_wc ( 1u .8u 1250u)
Xc %ru1 %lu %ru2 %ru11 %ru12 o m2m3ml_wc ( 1u .8u 1250u)
Xd %rd1 %rd %rd2 %rdr1 %rdr %rdr2 o m2m3s_wc ( 1u .8u 1250u)
Xe %rd1 %rd %rd2 %rd11 %rd1 %rd12 o m2m3s_wc ( 1u .8u 1250u)
Table 8 - - H-tree Interconnect Portion Spec The clock signal is transmitted by metal 2 from %cntr to the eight cells. The four cells on the right side are under a dense distribution of metal 3 power/ground buses. Each segment of the H-tree is represented by a subcircuit starting with X. Each subcircuit consists of three lines. The center line represents the clock line for worst-case design. So seven nodes are needed for each subcircuit representing three inputs, three outputs and the ground node.
At first, the trace width of Xb to Xe subcircuits is 0.8 μm, the same as that of X7 to Xa. Since the metal 3 affects the interconnect capacitance and it is primarily on the right-hand size, it causes the symmetric H-tree to have 0.5 nanosecond clock skew. This is done by comparing the delay of %lu1 with that of %rur. When the trace width of Xb to Xe is increased to 1.0 μm, the skew is decreased to 0.2 nanosecond. The SPICE input can be adjusted in this way until the clock skew is tolerable. Each SPICE input change takes less than ten seconds. If the SPICE deck is not parameterized, VLSI designers have to do 2-D/3-D simulations for times to come up with a new SPICE deck. It takes at least two hours to make one trace width change since the 3-D capacitance simulation of each of the four subcircuits needs at least fifteen minutes. The arrangement of the new simulated capacitance into SPICE deck is tedious and takes about an hour.
9 Example: Signal Communication Optimization
A simplified chip-to-chip signal communication optimization problem demonstrates how the invention helps designers analyze and optimize the interconnect design. In this example, shown in FIG. 5, a signal 72 transmits from an output driver pad to a receiver pad 82 through a multiline transmission line 78, 80 on an MCM, ignoring solder bumps required between the chips and the MCM. To further simplify the example, the output driver signal 72 is represented by a single low-to-high pulse with 0.2 ns risetime and 40 Ω source resistance. The receiver is assumed to have a high input impedance. The distance between driver and receiver is required to be 8 cm on a MCM. Interconnect choices include stripline or microstrip coupler configuration on several MCMs with different dielectric thicknesses and with or without coatings. The goal is to achieve minimum delay and crosstalk possible and no more than 1 ns delay and 100 mV/V crosstalk. To use the invention to optimize the design in this case, first, a user runs batch-mode simulations for possible interconnect design configurations. Several construction choices for the MCMs are reviewed from the list in Table 1. Three are polyamide and ceramic materials with dielectric constants of 4.0 and 9.0, respectively. Coatings of various thicknesses are available for microstrips. Also, trace width ranges from 10 to 60 μm, and trace spacing ranges from 40 to 500 μm. The result of the batch-mode simulation is the interconnect R,L,C, impedance, propagation delay, and crosstalk information in the database for surface microstrip , buried microstrip outer (with coating), and stripline configurations for various technologies.
Next the designer can enter impedance and noise margin goals in the synthesis worksheet and the invention will return all the choices that satisfy the requirements. The user can then use the evaluation worksheet to do more detailed design by specifying layer assignments for the chosen MCM technology. Arbitrary choices of trace width/spacing, coupling length, and risetime can be specified, and performance information can subsequently be derived. Thus, the invention helps designers to analyze and optimize interconnect designs in an interactive way. There are other design constraints, such as cost, thermal management, power consumption, and manufacturability, that determine the final choice of a certain technology. For this application example, an open-ended termination coupler has less power consumption than a well-terminated coupler. The cost of 9.0 dielectric material is less than that of 4.0 dielectric material, and the cheaper material may satisfy performance requirements as well. Microstrip with coating is more manufacturable than strip-lines. It would be difficult to know all the constraints up front before exploring possible alternatives. Since the example has a driver with 0.2 ns risetime and 40 Ω source resistance, an open-ended termination microstrip (with coating) coupler with length of 8 cm is used on a 4.0 dielectric material with T/W + 40 μm, T\S + 60 μm, and dielectric thickness of 20 μm. For this choice, the final performance result are 0.54 ns delay, and 31 and 61 mV/V reduced noise margin due to crosstalk and reflection for near and far ends, respectively.
The invention database can also be used not only for pre-layout system-level cost-performance analysis but also for schematic capturing and post-layout parasitic circuit extraction. However, both the database format and the layout extraction methods for the IC/MCM/PCB tools must be modified before the interconnect performance extraction routines and database can be integrated and used. 10 Conclusions and Further Technical References
Thus, this invention provides an interconnect modeling system with many significant advantages. The invention improves the productivity of VLSI designers through efficient SPICE deck generations for interconnect designs. The invention allows VLSI designers to specify accurate interconnect models as easy as transistor models without any 2-D/3-D simulations. Existing SPICE simulator software is used unmodified. The invention isolates the chip designer from the details of SMI geometry and process variations. Errors which are commonly found during manual SPICE deck generations are reduced significantly. Submicron VLSI circuits can be easily optimized by using the non-linear multi-variable optimizer and SPICE since both the transistors and the interconnects are parameterized.
One of ordinary skill in the art will recognize that this invention could easily be used for interconnect modeling of multi-chip modules, hybrid packaging, and printed circuit boards. The invention provides immediate results to the user and the average execution times of the three functions in an HP/Apollo 720 workstation are within 1 second while 2-D/3-D ad-hoc simulations take about 20- 1000 seconds. Therefore, the time required for an embodiment of the invention to calculate tens to hundreds of data points for all the user-specified models for a construction is much less than the time needed for 2-D/3-D ad-hoc simulations, which provide a single data point for a specified geometry.
Further technical background relating to the technology disclosed herein may be found in the following publications:
(a) Al Barber et al ., "Modeling and Analysis of High Speed VLSI Interconnects for Digital Applications," HP Labs Report HPL-02-03 , January 1992.
(b) L. Barford et al . , "Parametric Design Assistant and its Application to PCB Construction," HP DTC, May 1991.
(c) Kit Cham et al . , "CMOS14/BiCMOS14 Process and Performance," Proceedings of HP DTC, pp. 429-436, May 1991.
(d) W. H. Chang, "Analytical IC Metal-Line Capacitance Formulas," IEEE Trans . Microwave Theory and Technique, pp. 608-611, Sep. 1976.
(e) J. H. Chern et al . , "Multilevel Metal Capacitance Models for CAD Design Syntheses Systems," IEEE Electron Device Letters, vol. EDL-33, pp.32-34, Jan 1992,
(f) Informix Software, Inc., Wingz Reference Manual , 1991,
(g) IPC, Design Guidelines for Electronic Packaging Utilizing High-speed Techniques, IPC-D-317 Report, American National Standards Institute, 1991.
(h) N.P. van der Meijs et al . , "An Efficient Finite Element Method for Submicron IC Capacitance Extraction," 26th ACM/ IEEE Design Automation Conference , pp. 678-681, June 1989.
(i) Larry L. Moresco, "Electronic System Packaging: The Search for Manufacturing the Optimum in a Sea of Constraints," IEEE Trans . Comp. , Hybrids, Manuf . Technol . , vol. 11, pp. 494-508, September 1990. (j) Olgierd A. Palusinski et al . , "Modeling of Performance-Related Design Trade-offs in Multiple-Chip Assemblies," Proceedings of IEEE/CHMT IEMT Symposium, pp. 333-336, March 1991.
(k) Vivek Raghavan et al . , "A New Nonlinear Driver Model for Interconnect Analysis," 28th ACM/IEEE Design Automation Conference , pp. 561-566, June 1991.
(1) T. Sakurai et al . , "Simple Formulas for Two- and Three-Dimensional Capacitances," IEEE Trans . Electron Devices , pp. 183-185, Feb 1983.
(m) Peter A. Sandborn, "A Software Tool for Technology Trade-off Evaluation in Multichip Packaging," IEEE/CHMT IEMT Symposium , pp. 337-340, 1991,
(n) TMA, Inc., TMA Raphael User ' s Manual , Oct. 1991. (o) Rick Walker et al . , "Circuit Optimization Using the Simplex Algorithm," Proceedings of HP DTC, pp. 390-397, May 1989.

Claims

1. A computer-aided method of designing semiconductor interconnects, the method comprising the steps of:
selecting a semiconductor interconnect construction type;
operating (200) a general purpose data processor to display a first spreadsheet (502) of semiconductor construction parameters for the selected construction type; accessing a stored database (402, 404, 408) to read electrical characteristics of the selected construction type;
operating the data processor to display a second spreadsheet (520) of electrical performance data for the selected construction type based on the stored database; providing (222) the data processor with desired electrical performance goal data; and
operating the data processor to display a third spreadsheet (530) of construction data matching the goal data;
wherein each of the spreadsheets comprises a plurality of automatically updated spreadsheet cells (506, 524, 534) organized in a matrix of rows (504) and columns.
2. A method as in claim 1 wherein the step of providing (222) the data processor with desired electrical performance goal data comprises:
providing maximum and minimum goal values for at least one parameter chosen from the group of trace width, trace spacing, impedance, signal propagation delay, noise margin, and crosstalk, and
operating the data processor to display the goal values in a synthesis setup worksheet that has a plurality of synthesis cells organized in a matrix of rows and columns.
3. A method as in claim 1 wherein each row of the third spreadsheet relates to a single construction type and each column of the first worksheet contains parameter data representing a unique physical characteristic of the selected construction type.
4. A method as in claim 1 and further comprising the steps of:
operating the data processor to display and receive data in an evaluation setup worksheet having a plurality of rows each corresponding with a layer of the selected construction type, and
specifing a layer assignment for each said layer.
5. A method as in claim 1 and further comprising the step of operating (220) the data processor to write a file of SPICE subcircuit data corresponding to the selected construction type.
6. A method as in claim 5 and further comprising the step of operating the data processor to display and receive data in a SPICE setup worksheet having a plurality of rows each corresponding with a trace of the selected construction type and a plurality of columns for entry of driving signal data and characteristic impedance of each row.
7. A method as in claim 1 wherein the step of accessing the stored database comprises interpolating electrical performance data for performance characteristics not present in the stored database.
A method as in claim 7 wherein interpolating electrical performance data comprises: reading a plurality of material configuration values corresponding with a plurality of semiconductor trace line width values from a capacitance data file (402); and
iteratively computing, for a plurality of trace line widths of the selected construction type, electrical performance characteristics of said selected construction type, said electrical performance characteristics including an interline capacitance value and a ground capacitance value.
9. A method as in claim 8 wherein the material configuration values comprise (a) total capacitance and (b) data corresponding to at least two layout parameters relating to the selected construction type, the layout parameters selected from the set of line width, line length and interline spacing.
10. A method as in claim 7 wherein interpolating electrical performance data comprises:
reading a database table containing capacitance values for a multiple-trace interconnect, and
setting one of the electrical performance characteristics of the selected construction type equal to a capacitance value of said multiple-trace interconnect.
PCT/US1993/005186 1992-06-02 1993-06-01 Computer-aided design methods and apparatus for multilevel interconnect technologies WO1993024896A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP93914287A EP0597087B1 (en) 1992-06-02 1993-06-01 Computer-aided design method for multilevel interconnect technologies
JP6500829A JPH07501906A (en) 1992-06-02 1993-06-01 Computer-aided design method and apparatus for multilevel interconnect technology
DE69325770T DE69325770T2 (en) 1992-06-02 1993-06-01 METHOD FOR COMPUTER-BASED DESIGN FOR MULTI-LAYER CONNECTION TECHNOLOGIES

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US89210292A 1992-06-02 1992-06-02
US07/892,102 1992-06-02

Publications (1)

Publication Number Publication Date
WO1993024896A1 true WO1993024896A1 (en) 1993-12-09

Family

ID=25399373

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1993/005186 WO1993024896A1 (en) 1992-06-02 1993-06-01 Computer-aided design methods and apparatus for multilevel interconnect technologies

Country Status (5)

Country Link
US (1) US5610833A (en)
EP (1) EP0597087B1 (en)
JP (1) JPH07501906A (en)
DE (1) DE69325770T2 (en)
WO (1) WO1993024896A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997006498A1 (en) * 1995-08-03 1997-02-20 Yasuo Kumagai Spreadsheets and charts system specialized for medical use
WO1998038671A2 (en) * 1997-02-28 1998-09-03 The Whitaker Corporation Improved method for manufacturing a circuit on a circuit substrate
US5893123A (en) * 1995-06-22 1999-04-06 Tuinenga; Paul W. System and method of integrating a spreadsheet and external program having output data calculated automatically in response to input data from the spreadsheet
US6035300A (en) * 1995-12-15 2000-03-07 International Business Machines Corporation Method and apparatus for generating a user interface from the entity/attribute/relationship model of a database
EP1087440A3 (en) * 1999-09-22 2004-11-10 Texas Instruments Incorporated Modeling technique to increase device reliability
US6853999B1 (en) * 2000-04-12 2005-02-08 General Electric Company Method and apparatus for designing lamps with different phosphor blends
US6898545B2 (en) * 2002-06-28 2005-05-24 Agilent Technologies Inc Semiconductor test data analysis system
US7137088B2 (en) 2004-05-04 2006-11-14 Hewlett-Packard Development Company, L.P. System and method for determining signal coupling coefficients for lines

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0897215A (en) * 1994-09-29 1996-04-12 Sony Corp Simulation method of electric characteristic of semiconductor device and input data forming equipment for the simulation method
US5903469A (en) * 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
KR0182006B1 (en) * 1995-11-10 1999-04-15 김광호 Semiconductor device
US6374203B1 (en) * 1996-02-05 2002-04-16 Motorola, Inc. Method of modeling circuit cells with distributed serial loads
US5715408A (en) * 1996-04-19 1998-02-03 Cadence Design System, Inc. Interconnect termination for high speed circuits
JP2918101B2 (en) * 1996-07-25 1999-07-12 日本電気株式会社 Layout method of semiconductor integrated circuit
US6202195B1 (en) * 1996-07-26 2001-03-13 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit layout method
US5757655A (en) * 1996-08-26 1998-05-26 Micron Technology, Inc. Method and system for producing dynamic property forms and compacting property databases
US6349401B2 (en) * 1996-09-12 2002-02-19 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit, design method and computer-readable medium using a permissive current ratio
US6086238A (en) * 1996-10-07 2000-07-11 International Business Machines Corporation Method and system for shape processing within an integrated circuit layout for parasitic capacitance estimation
US5838582A (en) * 1996-10-07 1998-11-17 International Business Machines Corporation Method and system for performing parasitic capacitance estimations on interconnect data within an integrated circuit
US5831870A (en) * 1996-10-07 1998-11-03 International Business Machines Corporation Method and system for characterizing interconnect data within an integrated circuit for facilitating parasitic capacitance estimation
US5903472A (en) * 1996-10-25 1999-05-11 Advanced Micro Devices, Inc. Method for performing floorplan timing analysis by selectively displaying signal paths based on slack time calculations and integrated circuit made using same
US5910899A (en) * 1996-10-25 1999-06-08 Advanced Micro Devices, Inc. Method for performing floorplan timing analysis using multi-dimensional feedback in a spreadsheet with computed hyperlinks to physical layout graphics and integrated circuit made using same
US5896301A (en) * 1996-10-25 1999-04-20 Advanced Micro Devices, Inc. Method for performing floorplan timing analysis using multi-dimensional feedback in a histogram and integrated circuit made using same
US6202043B1 (en) * 1996-11-12 2001-03-13 Invention Machine Corporation Computer based system for imaging and analyzing a process system and indicating values of specific design changes
JP2954894B2 (en) * 1996-12-13 1999-09-27 株式会社半導体理工学研究センター Integrated circuit design method, database device for integrated circuit design, and integrated circuit design support device
US5923565A (en) * 1997-01-02 1999-07-13 Vlsi Technology, Inc. Apparatus and method for extracting capacitance in the presence of two ground planes
US6708144B1 (en) * 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US5923568A (en) * 1997-03-31 1999-07-13 Hewlett-Packard Company Distributed capacitance estimations of interconnects within integrated circuits
JP2910723B2 (en) * 1997-04-09 1999-06-23 日本電気株式会社 Semiconductor integrated circuit design support method, system using the method, and recording medium storing the method
JPH10313058A (en) * 1997-05-13 1998-11-24 Toshiba Corp Semiconductor integrated circuit designing device and method therefor and computer readable recording medium for recording semiconductor integrated circuit design program and manufacture of the same circuit
US6023576A (en) * 1997-08-04 2000-02-08 Lucent Technologies Inc. Fast transient circuit simulation of electronic circuits including a crystal
CA2302398C (en) * 1997-09-02 2003-03-04 Hitachi Chemical Company, Ltd. Method and system for approximating distributed constant line
US6018623A (en) * 1997-10-10 2000-01-25 Hewlett-Packard Company Method and system for determining statistically based worst-case on-chip interconnect delay and crosstalk
US6000050A (en) * 1997-10-23 1999-12-07 Synopsys, Inc. Method for minimizing ground bounce during DC parametric tests using boundary scan register
US6009257A (en) * 1997-10-27 1999-12-28 Ncr Corporation Computer system and computer implemented method for generating, displaying and simulating a hierarchical model having cross-branch connections using multiplicity trees
US6128769A (en) * 1997-12-31 2000-10-03 Intel Corporation Method for analyzing and efficiently reducing signal cross-talk noise
US6189131B1 (en) * 1998-01-14 2001-02-13 Lsi Logic Corporation Method of selecting and synthesizing metal interconnect wires in integrated circuits
US6102960A (en) * 1998-02-23 2000-08-15 Synopsys, Inc. Automatic behavioral model generation through physical component characterization and measurement
US6212665B1 (en) 1998-03-27 2001-04-03 Synopsys, Inc. Efficient power analysis method for logic cells with many output switchings
US6188975B1 (en) 1998-03-31 2001-02-13 Synopsys, Inc. Programmatic use of software debugging to redirect hardware related operations to a hardware simulator
US6192507B1 (en) * 1998-05-27 2001-02-20 International Business Machines Corporation Method for generating an electrical circuit comprising dielectrics
JPH11353338A (en) * 1998-06-04 1999-12-24 Mitsubishi Electric Corp Method for simulating integrated circuit, and recording medium
US6137546A (en) * 1998-07-20 2000-10-24 Sony Corporation Auto program feature for a television receiver
US6279142B1 (en) * 1998-10-02 2001-08-21 International Business Machines Corporation Method of on-chip interconnect design
US6453276B1 (en) * 1998-12-22 2002-09-17 Unisys Corporation Method and apparatus for efficiently generating test input for a logic simulator
US6330704B1 (en) 1999-02-09 2001-12-11 Coyote Systems, Inc. Method and apparatus for three dimensional interconnect analysis
US6407576B1 (en) * 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US6675139B1 (en) 1999-03-16 2004-01-06 Lsi Logic Corporation Floor plan-based power bus analysis and design tool for integrated circuits
US7016794B2 (en) 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US6327693B1 (en) 1999-04-08 2001-12-04 Chung-Kuan Cheng Interconnect delay driven placement and routing of an integrated circuit design
JP2000315221A (en) * 1999-04-30 2000-11-14 Matsushita Electric Ind Co Ltd Database for designing integrated circuit device and designing method for integrated circuit device
JP4363716B2 (en) * 1999-06-25 2009-11-11 株式会社東芝 LSI wiring structure design method
US6381730B1 (en) * 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6590419B1 (en) * 1999-10-12 2003-07-08 Altera Toronto Co. Heterogeneous interconnection architecture for programmable logic devices
US6510539B1 (en) 1999-10-29 2003-01-21 International Business Machines Corporation System and method for physically modeling electronic modules wiring
US6665845B1 (en) * 2000-02-25 2003-12-16 Sun Microsystems, Inc. System and method for topology based noise estimation of submicron integrated circuit designs
US6340631B1 (en) * 2000-05-31 2002-01-22 Taiwan Semiconductor Manufacturing Company, Ltd Method for laying out wide metal lines with embedded contacts/vias
US6618837B1 (en) * 2000-09-14 2003-09-09 Cadence Design Systems, Inc. MOSFET modeling for IC design accurate for high frequencies
US6467069B2 (en) * 2000-12-15 2002-10-15 International Business Machines Corporation Timing closure and noise avoidance in detailed routing
US6532576B1 (en) 2001-03-07 2003-03-11 Lsi Logic Corporation Cell interconnect delay library for integrated circuit design
US6539527B2 (en) 2001-03-19 2003-03-25 Hewlett-Packard Company System and method of determining the noise sensitivity of an integrated circuit
US6766499B1 (en) 2001-04-05 2004-07-20 Lsi Logic Corporation Buffer cell insertion and electronic design automation
US6721614B2 (en) * 2001-05-21 2004-04-13 International Business Machines Corporation Multi-discipline universal CAD library
US20020198696A1 (en) * 2001-06-08 2002-12-26 Hector Sanchez Method and apparatus for designing and making an integrated circuit
JP4035354B2 (en) * 2001-07-11 2008-01-23 富士通株式会社 Electronic circuit design method and apparatus, computer program, and storage medium
US20030058604A1 (en) * 2001-09-13 2003-03-27 Canagasaby Karthisha S. Method and apparatus to emulate external IO interconnection
US7656678B2 (en) * 2001-10-26 2010-02-02 Entorian Technologies, Lp Stacked module systems
US6940729B2 (en) * 2001-10-26 2005-09-06 Staktek Group L.P. Integrated circuit stacking system and method
US20030234443A1 (en) * 2001-10-26 2003-12-25 Staktek Group, L.P. Low profile stacking system and method
US6914324B2 (en) * 2001-10-26 2005-07-05 Staktek Group L.P. Memory expansion and chip scale stacking system and method
US20060255446A1 (en) * 2001-10-26 2006-11-16 Staktek Group, L.P. Stacked modules and method
US7485951B2 (en) * 2001-10-26 2009-02-03 Entorian Technologies, Lp Modularized die stacking system and method
US7371609B2 (en) * 2001-10-26 2008-05-13 Staktek Group L.P. Stacked module systems and methods
US7026708B2 (en) * 2001-10-26 2006-04-11 Staktek Group L.P. Low profile chip scale stacking system and method
US20040195666A1 (en) * 2001-10-26 2004-10-07 Julian Partridge Stacked module systems and methods
US6763503B1 (en) * 2001-11-20 2004-07-13 Sun Microsystems, Inc. Accurate wire load model
US7620525B2 (en) * 2001-11-28 2009-11-17 Smc Corporation Of America Method of generating CAD files and delivering CAD files to customers
US6934922B1 (en) * 2002-02-27 2005-08-23 Xilinx, Inc. Timing performance analysis
US20030182640A1 (en) * 2002-03-20 2003-09-25 Alani Alaa F. Signal integrity analysis system
US6658629B1 (en) * 2002-05-09 2003-12-02 Sun Microsystems, Inc. Technique for optimizing decoupling capacitance subject to leakage power constraints
US6931613B2 (en) * 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
US6810505B2 (en) * 2002-07-10 2004-10-26 Lsi Logic Corporation Integrated circuit design flow with capacitive margin
US7454735B2 (en) * 2002-12-17 2008-11-18 International Business Machines Corporation ASIC clock floor planning method and structure
US6938230B2 (en) * 2003-02-19 2005-08-30 Hewlett-Packard Development Company, L.P. System and method for evaluating signal trace discontinuities in a package design
US7093206B2 (en) * 2003-10-21 2006-08-15 International Business Machines Corp. Computer aided design method and apparatus for modeling and analyzing on-chip interconnect structures
US7088121B1 (en) 2003-11-17 2006-08-08 Siprosys, Inc. Non-contact method and apparatus for on-line interconnect characterization in VLSI circuits
US7219322B2 (en) * 2004-04-27 2007-05-15 Hewlett-Packard Development Company, L.P. Multiple propagation speeds of signals in layered circuit apparatus
US20050251769A1 (en) * 2004-05-04 2005-11-10 Frank Mark D System and method for determining signal coupling in a circuit design
US20050249479A1 (en) * 2004-05-04 2005-11-10 Frank Mark D System and method for determining signal coupling coefficients for vias
US20050251774A1 (en) * 2004-05-07 2005-11-10 Shah Gaurav R Circuit design property storage and manipulation
JP2005339003A (en) * 2004-05-25 2005-12-08 Matsushita Electric Ind Co Ltd Method and device for analyzing circuit
TW200540611A (en) * 2004-06-04 2005-12-16 Hon Hai Prec Ind Co Ltd System and method for verifying delay of a motherboard layout
US7143389B2 (en) * 2004-07-28 2006-11-28 Hewlett-Packard Development Company, L.P. Systems and methods for generating node level bypass capacitor models
US20060043558A1 (en) * 2004-09-01 2006-03-02 Staktek Group L.P. Stacked integrated circuit cascade signaling system and method
US20060076547A1 (en) * 2004-09-24 2006-04-13 Mentor Graphics Corp. Three-dimensional viewing and editing of microcircuit design
US7334209B1 (en) * 2004-10-15 2008-02-19 Xilinx, Inc. Method and system for generating multiple implementation views of an IC design
US7284227B1 (en) 2004-10-15 2007-10-16 Xilinx, Inc. Method and system for generating implementation files from a high level specification
US7299445B2 (en) * 2004-10-29 2007-11-20 Synopsys, Inc. Nonlinear receiver model for gate-level delay calculation
US20060175693A1 (en) * 2005-02-04 2006-08-10 Staktek Group, L.P. Systems, methods, and apparatus for generating ball-out matrix configuration output for a flex circuit
US7033861B1 (en) * 2005-05-18 2006-04-25 Staktek Group L.P. Stacked module systems and method
US7774725B1 (en) 2005-11-04 2010-08-10 Purdue Research Foundation Computationally efficient modeling and simulation of large scale systems
US7860691B2 (en) * 2005-11-28 2010-12-28 Autodesk, Inc. Dynamic blocks
US7978206B2 (en) * 2005-11-28 2011-07-12 Autodesk, Inc. Look-up table action
US7999827B2 (en) * 2005-11-28 2011-08-16 Autodesk, Inc. Method and system for generating dynamic blocks
JP2007213269A (en) * 2006-02-08 2007-08-23 Toshiba Corp Stress analysis method, wiring structure design method, program, and method for manufacturing semiconductor device
TW200811684A (en) * 2006-02-17 2008-03-01 Mentor Graphics Corp Gate modeling for semiconductor fabrication process effects
WO2007100558A2 (en) * 2006-02-17 2007-09-07 Mentor Graphics Corporation Modeling for semiconductor fabrication process effects
US7712068B2 (en) * 2006-02-17 2010-05-04 Zhuoxiang Ren Computation of electrical properties of an IC layout
US8381164B2 (en) * 2006-03-28 2013-02-19 The Boeing Company Method and system of intelligent interactive graphics electrical plug map to analyze text and distances between electrical contacts and physical layout file builder
US7490304B2 (en) * 2006-06-23 2009-02-10 International Business Machines Corporation Determining geometrical configuration of interconnect structure
US7417310B2 (en) * 2006-11-02 2008-08-26 Entorian Technologies, Lp Circuit module having force resistant construction
US7770141B2 (en) * 2007-01-29 2010-08-03 United Microelectronics Corp. Computer recording medium for storing program of checking design rule of layout
US8053349B2 (en) * 2007-11-01 2011-11-08 Texas Instruments Incorporated BGA package with traces for plating pads under the chip
US7665057B2 (en) * 2008-02-13 2010-02-16 Inventec Corporation Method for calculating optimal length of trace between adjoining bends and computer accessible storage media
US9207294B1 (en) * 2011-01-30 2015-12-08 Sven Simon Method and apparatus for the contactless determination of electrical quantities
US9984029B2 (en) * 2014-04-18 2018-05-29 Qualcomm Incorporated Variable interconnect pitch for improved performance
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9378320B2 (en) 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10783311B2 (en) 2016-10-31 2020-09-22 Synopsys, Inc. DRC processing tool for early stage IC layout designs
US11455455B1 (en) 2019-12-09 2022-09-27 Dialog Semiconductor (Uk) Limited 3D coupling control rules for auto-routing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3580946D1 (en) * 1984-07-27 1991-01-31 Hitachi Ltd METHOD AND SYSTEM FOR UNDERSTANDING AND ASSIGNING CIRCUIT PATTERNS.
US4937827A (en) * 1985-03-01 1990-06-26 Mentor Graphics Corporation Circuit verification accessory
US5155836A (en) * 1987-01-27 1992-10-13 Jordan Dale A Block diagram system and method for controlling electronic instruments with simulated graphic display
US4922432A (en) * 1988-01-13 1990-05-01 International Chip Corporation Knowledge based method and apparatus for designing integrated circuits using functional specifications
US4970664A (en) * 1988-06-10 1990-11-13 Kaiser Richard R Critical path analyzer with path context window
US5019961A (en) * 1989-04-05 1991-05-28 Cadware, Inc. Computer apparatus and method for logical modelling
US5051938A (en) * 1989-06-23 1991-09-24 Hyduke Stanley M Simulation of selected logic circuit designs
US5157668A (en) * 1989-07-05 1992-10-20 Applied Diagnostics, Inc. Method and apparatus for locating faults in electronic units
US5121330A (en) * 1990-02-05 1992-06-09 General Electric Company Method and system for product restructuring
US5222030A (en) * 1990-04-06 1993-06-22 Lsi Logic Corporation Methodology for deriving executable low-level structural descriptions and valid physical implementations of circuits and systems from high-level semantic specifications and descriptions thereof
US5278769A (en) * 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base
US5220512A (en) * 1990-04-19 1993-06-15 Lsi Logic Corporation System for simultaneous, interactive presentation of electronic circuit diagrams and simulation data
US5258919A (en) * 1990-06-28 1993-11-02 National Semiconductor Corporation Structured logic design method using figures of merit and a flowchart methodology
US5287284A (en) * 1990-11-14 1994-02-15 Hitachi, Ltd. Product specification complex analysis system
US5303161A (en) * 1990-12-10 1994-04-12 Hughes Aircraft Company Technology independent integrated circuit mask artwork generator
US5241620A (en) * 1991-01-03 1993-08-31 Promised Land Technologies, Inc. Embedding neural networks into spreadsheet applications
JP2773451B2 (en) * 1991-04-11 1998-07-09 三菱電機株式会社 CAD / CAM equipment

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
29TH ACM/IEEE DESIGN AUTOMATION CONFERENCE ANAHEIM CA US 8 June 1992, pages 472 - 477 N.H CHANG ET AL 'ipda : interconnect performance design assistant' *
IEEE INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN 11 November 1991, SANTA CLARA US pages 294 - 297 CHANG ET AL 'hive : an efficient interconnect capacitance extractor to support submicron multilevel interconnct designs' cited in the application *
PROCEEDINGS IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN : VLSI IN COMPUTERS AND PROCESSORS 17 September 1990, CAMBRIDGE MASS US pages 443 - 448 ROZENBLIT ET AL 'towards a vlsi packaging design support environment (pdse); concepts and implementation' *
PROCEEDINGS OF THE IEEE 1991 CUSTOM INTEGRATED CIRCUITS CONFERENCE 12 May 1991, SAN DIEGO CA US pages 8.6.1 - 8.6.4 CHOUDHURY ET AL 'an analytical-model generator for interconnect capacitances' *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5893123A (en) * 1995-06-22 1999-04-06 Tuinenga; Paul W. System and method of integrating a spreadsheet and external program having output data calculated automatically in response to input data from the spreadsheet
WO1997006498A1 (en) * 1995-08-03 1997-02-20 Yasuo Kumagai Spreadsheets and charts system specialized for medical use
US6035300A (en) * 1995-12-15 2000-03-07 International Business Machines Corporation Method and apparatus for generating a user interface from the entity/attribute/relationship model of a database
WO1998038671A2 (en) * 1997-02-28 1998-09-03 The Whitaker Corporation Improved method for manufacturing a circuit on a circuit substrate
WO1998038671A3 (en) * 1997-02-28 1999-02-25 Whitaker Corp Improved method for manufacturing a circuit on a circuit substrate
EP1087440A3 (en) * 1999-09-22 2004-11-10 Texas Instruments Incorporated Modeling technique to increase device reliability
US6853999B1 (en) * 2000-04-12 2005-02-08 General Electric Company Method and apparatus for designing lamps with different phosphor blends
US6898545B2 (en) * 2002-06-28 2005-05-24 Agilent Technologies Inc Semiconductor test data analysis system
US7035752B2 (en) 2002-06-28 2006-04-25 Agilent Technologies, Inc. Semiconductor test data analysis system
US7137088B2 (en) 2004-05-04 2006-11-14 Hewlett-Packard Development Company, L.P. System and method for determining signal coupling coefficients for lines

Also Published As

Publication number Publication date
EP0597087A1 (en) 1994-05-18
US5610833A (en) 1997-03-11
DE69325770D1 (en) 1999-09-02
EP0597087B1 (en) 1999-07-28
DE69325770T2 (en) 1999-11-18
JPH07501906A (en) 1995-02-23

Similar Documents

Publication Publication Date Title
EP0597087B1 (en) Computer-aided design method for multilevel interconnect technologies
US5901063A (en) System and method for extracting parasitic impedance from an integrated circuit layout
US6253359B1 (en) Method for analyzing circuit delays caused by capacitive coupling in digital circuits
US5550750A (en) Method and system for integrating component analysis with multiple component placement
USRE35671E (en) Predictive capacitance layout method for integrated circuits
US7093206B2 (en) Computer aided design method and apparatus for modeling and analyzing on-chip interconnect structures
US6066178A (en) Automated design method and system for synthesizing digital multipliers
JP2002222230A (en) Unnecessary radiation optimizing method and unnecessary radiation analyzing method
US20030172358A1 (en) Interconnect-aware methodology for integrated circuit design
US6820046B1 (en) System for electrically modeling an electronic structure and method of operation
US6342823B1 (en) System and method for reducing calculation complexity of lossy, frequency-dependent transmission-line computation
US8347244B2 (en) Topologies and methodologies for AMS integrated circuit design
US6732342B2 (en) Placing gates in an integrated circuit based upon drive strength
JPH09274623A (en) Transmission line simulation system and transmission line simulation method using the same
Van der Meijs et al. Accurate interconnect modeling: towards multi-million transistor chips as microwave circuits
Sylvester et al. Modeling the impact of back-end process variation on circuit performance
Simovich et al. Delay and reflection noise macromodeling for signal integrity management of PCBs and MCMs
Cho et al. High-performance MCM routing
US7669162B2 (en) Integrated power supply system analyzing system, integrated power supply system analyzing method, and multiplayer printed circuit board
Elfadel et al. AQUAIA: a CAD tool for on-chip interconnect modeling, analysis, and optimization
Hough et al. New approaches for on-chip power switching noise reduction
US20090031260A1 (en) Method, Computer Program and System Providing for Semiconductor Processes Optimization
Servel et al. Inductance effect in crosstalk prediction
Elfadel et al. A multiconductor transmission line methodology for global on-chip interconnect modeling and analysis
US7313509B2 (en) Simulation method and apparatus, and computer-readable storage medium

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 1993914287

Country of ref document: EP

AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1993914287

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1993914287

Country of ref document: EP