US20210034807A1 - Method of designing a device - Google Patents

Method of designing a device Download PDF

Info

Publication number
US20210034807A1
US20210034807A1 US17/074,999 US202017074999A US2021034807A1 US 20210034807 A1 US20210034807 A1 US 20210034807A1 US 202017074999 A US202017074999 A US 202017074999A US 2021034807 A1 US2021034807 A1 US 2021034807A1
Authority
US
United States
Prior art keywords
layer
pin
location
cell
circuit layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/074,999
Other versions
US11675954B2 (en
Inventor
Sheng-Hsiung Chen
Jyun-Hao CHANG
Ting-Wei Chiang
Fong-Yuan Chang
I-Lun TSENG
Po-Hsiang Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/074,999 priority Critical patent/US11675954B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, FONG-YUAN, CHANG, JYUN-HAO, CHEN, SHENG-HSIUNG, CHIANG, TING-WEI, HUANG, PO-HSIANG, TSENG, I-LUN
Publication of US20210034807A1 publication Critical patent/US20210034807A1/en
Application granted granted Critical
Publication of US11675954B2 publication Critical patent/US11675954B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Definitions

  • a circuit layout includes one or more standard cells which correspond to active devices having a specific functionality.
  • Cells for active devices which are routinely repeated are often included in a cell library. These cells are called standard cells in some instances.
  • Cells include pins, which are used to convey signals into and out of the cell. At least one pin of a cell is connected to a pin of at least one other cell in order to transfer signals between the various cells. Routing lines are provided to interconnect the pins of various cells to facilitate signal transfer between different cells to provide a desired functionality for the circuit layout.
  • At least one metal level includes routing lines extending only in a single direction. This routing scheme is called one-dimensional routing in some embodiments.
  • a metal level is a layer of conductive lines in a plane having a nearly uniform distance from a substrate.
  • Global connections are routing lines which extend across one or more standard cells. In some instances, global connections extend across an entirety of the circuit layout. Global connections only extend in one direction for circuit layouts which include one-dimensional routing.
  • FIG. 1A-1 is a plan view of a circuit layout including a cell in accordance with some embodiments.
  • FIG. 1A-2 is a plan view of a circuit layout including a modified cell in accordance with some embodiments.
  • FIG. 1B is an enlarged plan view of a cell in accordance with some embodiments.
  • FIG. 2 is a plan view of a cell in a circuit layout in accordance with some embodiments.
  • FIG. 3 is a plan view of a cell in a circuit layout in accordance with some embodiments.
  • FIG. 4A is a plan view of a circuit layout including a cell and a blocking shape in accordance with some embodiments.
  • FIG. 4B is a plan view of a circuit layout including two cells and a blocking shape in accordance with some embodiments.
  • FIG. 4C is a plan view of a circuit layout including two cells and a blocking shape in accordance with some embodiments.
  • FIG. 5A is a flow chart of a method of modifying a cell based on global connection routing in accordance with some embodiments.
  • FIG. 5B is a flow chart of a method of designing a circuit layout based on global connection routing in accordance with some embodiments.
  • FIG. 6 is a plan view of a cell including pins in accordance with some embodiments.
  • FIG. 7 is a plan view of a cell including pins and blocking shapes in accordance with some embodiments.
  • FIG. 8 is a schematic diagram of a specific purpose system for implementing a method of global connection routing in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1A-1 is a plan view of a circuit layout 100 including a cell in accordance with some embodiments.
  • Circuit layout 100 includes a plurality of routing tracks 102 for a metal level. Routing tracks 102 in FIG. 1A-1 are indicated as broken lines for clarity. Only one routing track 102 is labeled for clarity. Routing tracks 102 extend parallel to one another because the metal level uses a one-dimensional routing scheme.
  • Circuit layout 100 includes a supply voltage (VDD) bus 104 extending perpendicular to routing tracks 102 .
  • a reference voltage (VSS) bus 106 extends perpendicular to routing tracks 102 on an opposite side of circuit layout 100 from VDD bus 104 .
  • VDD supply voltage
  • VSS reference voltage
  • the cell includes a plurality of pins 112 , 114 and 116 located between VDD bus 104 and VSS bus 106 and extending perpendicular to routing tracks 102 .
  • a first pin 112 has a length L 1 .
  • a second pin 114 has a length L 2 .
  • a third pin 116 has a length L 3 .
  • VDD bus 104 , VSS bus 106 , pin 112 , pin 114 , and pin 116 are located on the same metal level.
  • VDD bus 104 , VSS bus 106 , pin 112 , pin 114 and pin 116 are on a metal level closest to a substrate, which is called a first metal level.
  • Circuit layout 100 further includes a global connection 120 extending across an entirety of the standard cell along a routing track 102 .
  • Global connection 120 is located on a metal level farther from a substrate of the circuit layout than pins 112 , 114 , and 116 .
  • a second metal level farther from the substrate than a first metal level is described as being “above” the first metal level.
  • a first local connection 130 extends parallel to global connection 120 and connects to first pin 112 by a via.
  • First local connection 130 is on a same metal level as global connection 120 .
  • a second local connection 140 extends parallel to global connection 120 and connects to second pin 114 by a via. Second local connection 140 is on a same metal level as global connection 120 and first local connection 130 .
  • global connection 120 , local connection 130 , and local connection 140 are located on the second metal level. Vias which provide connections between different metal levels are labeled with ‘X’ in circuit layout 100 . Vias are able to be located at access points.
  • An access point is a location where a pin, e.g., pin 112 , intersects with a routing track 102 . These access points are located where local connections, e.g., first local connection 130 or second local connection 140 , or global connections, e.g., global connection 120 , extend above a pin.
  • Second local connection 140 is located on a same routing track 102 as first local connection 130 .
  • An end-to-end separation S between first local connection 130 and second local connection 140 is less than a minimum separation distance for circuit layout 100 .
  • the cell also includes internal wiring 150 , which is located on a same metal level as VDD bus 104 , VSS bus 106 , pin 112 , pin 114 and pin 116 , and is used to provide internal connections within the cell.
  • the cell of circuit layout 100 is a standard cell retrieved from a cell library.
  • Pins 112 , 114 and 116 provide connection points to transfer signals into and/or out of the cell.
  • global connection 120 is electrically connected to at least one of pins 112 , 114 or 116 .
  • global connection 120 is connected to every pin 112 , 114 and 116 .
  • global connection 120 is not connected to any of pins 112 , 114 or 116 .
  • global connection 120 is configured to carry a global signal such as a global clock signal, a supply voltage signal, a reference voltage signal or another suitable global signal.
  • a global signal is a signal which has substantially a same value usable by multiple cells in a circuit layout. In some embodiments, the global signal is supplied to less than all cells in circuit layout 100 .
  • First local connection 130 is configured to carry a local signal to pin 112 .
  • a local signal is a signal having a specific value intended for a specific cell and a specific pin or pins within the cell.
  • the local signal is an output from another cell, an input for another cell, a local clock signal or another suitable local signal.
  • Second local connection 140 is configured to carry a local signal to pin 114 .
  • End-to-end separation S between first local connection 130 and second location connection 140 is less than the minimum separation distance for circuit layout 100 , which means that the first local connection and the second location connection cannot be reliably formed in the location indicated in circuit layout 100 .
  • the minimum separation distance is related to a process technology used to form circuit layout 100 .
  • the minimum separation distance is based on patterning processes, formation processes and inherent manufacturing offset.
  • the minimum separation distance is a value set defined in a technology file used by an automatic placement and routing (APR) tool.
  • the APR tool performs design rule checking (DRC) in order to determine whether the minimum separation distance and other design rules are satisfied by elements within circuit layout 100 . In the situation of circuit layout 100 , the APR tool would indicate an error due to the end-to-end separation S between first local connection 130 and second location connection 140 .
  • DRC design rule checking
  • first local connection 130 and second local connection 140 cannot be located in a routing track 102 . If first local connection 130 and second local connection 140 are in a routing track 102 , manufacturing circuit layout 100 has an increased risk of resulting in a faulty circuit.
  • FIG. 1B is an enlarged plan view of a cell in accordance with some embodiments.
  • the cell includes elements from circuit layout 100 ( FIG. 1A-1 ). Same elements have a same reference number.
  • a distance D between first pin 112 and second pin 114 is greater than the minimum separation distance for the metal level. However, if distance D between adjacent pins 112 and 114 of the cell is small, first local connection 130 and second local connection 140 cannot be formed in a same routing track 102 without violating the minimum separation distance design rule.
  • first local connection 130 or second local connection 140 should be moved to a different routing track 102 in order to facilitate reliable manufacturing of circuit layout 100 .
  • moving either first local connection 130 or second local connection 140 to another routing track 102 would include modification of a standard cell layout.
  • the modification of the standard cell layout would occur because pin 112 is connected to a local connection 130 which is on the second metal level from one of the two access points, i.e., the two locations where pin 112 and routing tracks 102 intersect, and pin 114 is connected to a local connection 140 which is on the second metal level from one of the two access points, i.e., the two locations where pin 114 and routing tracks 102 intersect.
  • FIG. 1A-2 is a plan view of the circuit layout 100 ′ including a modified cell in accordance with some embodiments.
  • Circuit layout 100 ′ includes several elements which are the same as elements from circuit layout 100 . Same elements have a same reference number. Elements of circuit layout 100 ′ which are modified with respect to circuit layout 100 have a same reference number appended by a prime (′) symbol.
  • circuit layout 100 ′ includes first pin 112 ′ having a length increased from L 1 to L 1 ′.
  • a location of local connection 130 ′ is different from a location of local connection 130 in circuit layout 100 .
  • the increased length of first pin 112 ′ increases a number of access points for first pin 112 ′ to three, in comparison with two access points in circuit layout 100 .
  • first local connection 130 ′ and second local connection 140 satisfy design rules.
  • the increase in the distance between first local connection 130 ′ and second local connection 140 means that circuit layout 100 ′ is able to be reliably manufactured.
  • second local connection 140 is moved to a different routing track 102 and first local connection 130 ′ remains in a same routing track 102 as in circuit layout 100 .
  • length L 1 of first pin 112 is maintained as a same length in circuit layout 100 ′ as in circuit layout 100 .
  • length L 2 of second pin 114 is adjusted in comparison with circuit layout 100 .
  • an unusable cell is called a dead cell.
  • a utilization of the circuit layout is based on a ratio between a total area of cells within a circuit layout and an overall area of the circuit layout. Increasing utilization of a circuit layout helps to facilitate overall size reduction of the circuit layout.
  • FIG. 2 is a plan view of a cell in a circuit layout 200 in accordance with some embodiments.
  • Circuit layout 200 includes similar elements as circuit layout 100 . Same elements have a same reference number increased by 100.
  • circuit layout 200 includes a fourth pin 218 , and a fifth pin 220 .
  • FIG. 2 also includes an overlap region 260 and a span region 270 .
  • Overlap region 260 is a routing track 202 where a greatest number of pins extend entirely across a routing track 202 , e.g., pins 212 , 214 , 216 , and 218 .
  • overlap region 260 is called a maximum overlap region.
  • Overlap region 260 is a candidate location for a global connection, e.g., global connection 120 ( FIG. 1A-1 ).
  • pins 212 , 214 , 216 and 218 which occupy overlap region 260 are called a maximum overlapped pin group.
  • a span width W from a left most pin location of the maximum overlapped pin group to a right most pin location of the maximum overlapped pin group should be at least equal to a minimum span width.
  • the span width W is a width of span region 270 .
  • Pin 220 is not considered for span width W of span region 270 because pin 220 is not included in overlap region 260 .
  • the minimum span width helps to ensure that at least one global connection is able to be included in the circuit layout as well as any local connections used by the circuit layout. Increasing the minimum span width increases a number of routing solutions for defining a usable cell.
  • the minimum span width is based in part on a number of pins in the maximum overlapped pin group. As a number of pins in the maximum overlapped pin group increases, the minimum span width also increases.
  • Circuit layout 200 includes four pins 212 , 214 , 216 and 218 in the maximum overlapped pin group.
  • the span width W for circuit layout 200 is at least 278 nanometers (nm). In some embodiments where circuit layout includes three pins in the overlapped pin group, the span width W is at least 234 nm. In some embodiments where circuit layout includes two pins in the overlapped pin group, the span width W is at least 190 nm. In some embodiments where circuit layout includes one pin in the overlapped pin group, the span width W is at least 146 nm. In some embodiments, the minimum span width differs from the above values.
  • the minimum span width is adjustable based on a pitch of routing tracks 202 , dimensions of via cuts, an enclosure distance between a via cut and a metal wire, a number of pins in a maximum overlapped pin group, a number of local connections, and other suitable variables.
  • a global connection tolerance K defines a maximum number of global connections that can be placed across a standard cell.
  • the global connection tolerance K is determined based on a difference between a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group.
  • the global connection tolerance K should be either zero or a positive integer; otherwise, when K is less than zero, the pins in the span region cannot be routed even when a global connection does not exist.
  • the number of routing tracks in a span region is determined based on a difference between an index of a rightmost track and an index of a leftmost track increased by one.
  • circuit layout 200 includes four pins in the maximum overlapped pin group in overlap region 260 .
  • a number of routing tracks in the span region is five.
  • a global connection tolerance K for circuit layout 200 is one.
  • a global connection tolerance K greater than zero indicates that the cell layout is capable of receiving a global connection. As the global connection tolerance K increases, routing options for the cell layout increase which increases flexibility of the cell layout in various circuit designs.
  • FIG. 3 is a plan view of a cell in a circuit layout 300 in accordance with some embodiments.
  • Circuit layout 300 includes similar elements as circuit layout 200 . Same elements have a same reference number increased by 100.
  • circuit layout 300 includes pins oriented in a vertical direction in the plan view. Routing tracks 302 extend in a horizontal direction, perpendicular to pins 312 , 314 , 316 and 318 . Overlap region 360 also extends in the horizontal direction.
  • Circuit layout 300 includes a span width W′. Regardless of an orientation of pins, i.e., extending in a vertical direction or in the horizontal direction, span width W′ is still at least equal to the minimum span width.
  • circuit layout 100 ( FIG. 1A-1 ), circuit layout 100 ′ ( FIG. 1A-2 ) or circuit layout 200 ( FIG. 2 ) is modified to have pins extending in the vertical direction.
  • FIG. 4A is a plan view of a circuit layout 400 including a cell 410 and a blocking shape 420 in accordance with some embodiments.
  • Circuit layout 400 includes cell 410 which includes a plurality of pins 410 a - d .
  • Pins 410 a - d are located on a first metal layer and blocking shape 420 is located on a second metal layer over cell 410 .
  • Blocking shape 420 is over an overlap region of pins 410 a , 410 c and 410 d . Due to the location of blocking shape 420 , at least one routing track, e.g., routing track 102 ( FIG. 1 ), is unavailable for global or local connections to pins 410 a , 410 c , and 410 d .
  • routing track 102 FIG. 1
  • an APR tool is usable to adjust a location of cell 410 within circuit layout 400 .
  • the APR tool adjusts the location of cell 410 in a direction perpendicular to blocking shape 420 , in some embodiments.
  • blocking shape 420 is a type of a global connection. In some embodiments, blocking shape 420 is a power-ground line. In some embodiments, blocking shape 420 is another interconnect structure within circuit layout 400 . In some embodiments, a location of blocking shape 420 prevents routing of a global or a local connection to cell 410 . To increase a number of routing solutions for circuit layout 400 , lengths of pins 410 a - d are adjusted in some embodiments. In some embodiments, a location of cell 410 is adjusted within circuit layout 400 in order to reduce the impact of blocking shape 420 or avoid the blocking shape entirely.
  • FIG. 4B is a plan view of a circuit layout 400 ′ including a cell 410 and a blocking shape 420 in accordance with some embodiments.
  • circuit layout 400 ′ includes cell 410 ′ which is a neighboring cell adjacent to cell 410 . Routing local connections or global connections in cell 410 ′ impacts routing solutions for cell 410 due to the proximity between cell 410 and cell 410 ′, in some instances. For example, there are not sufficient routing resources on a third metal level, in some instances.
  • FIG. 4C is a plan view of a circuit layout 400 ′′ including a cell 410 and a blocking shape 420 in accordance with some embodiments.
  • circuit layout 400 ′′ includes cell 410 ′ located at a position away from cell 410 .
  • Increasing a distance between cell 410 and cell 410 ′ increases the number of routing solutions for circuit layout 400 ′′ in comparison with circuit layout 400 ′. For example, increasing the distance provides more routing resources for the first metal level and the third metal level.
  • FIG. 5A is a flow chart of a method of modifying a cell based on global connection tolerance in accordance with some embodiments.
  • operation 502 a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group of a cell are identified.
  • the number of pins in the maximum overlapped pin group is determined by using a design tool.
  • the number of pins in the maximum overlapped pin group is received from a user.
  • a global connection tolerance K of the cell is determined.
  • the global connection tolerance K is determined based on a number of pins in a maximum overlapped pin group of the cell and on a number of routing tracks within a span region of the cell.
  • the number of routing tracks in the span region is determined based on a difference between an index of a rightmost track and an index of a leftmost track increased by one.
  • FIGS. 2 and 3 above provide examples of how a number of pins in a maximum overlapped pin group is determined as well as how a number of routing tracks in a span region is determined, in some embodiments.
  • the global connection tolerance K is compared with a threshold value.
  • the threshold value is a desired global connection tolerance.
  • the threshold value is retrieved from a look-up table.
  • the threshold value is calculated based on the parameters of an integrated circuit.
  • the threshold value is provided by a user.
  • method 500 continues to operation 508 in which the cell is stored in a cell library.
  • the cell is associated with a global connection tolerance value.
  • the cell is stored without being associated with a global connection tolerance value.
  • the cell library is usable by a circuit designer for designing a circuit layout.
  • method 500 continues with operation 510 in which a length of at least one pin of the cell is adjusted or a position of at least one pin in the cell is adjusted.
  • operation 510 includes only adjusting the length of at least one pin of the cell.
  • operation 510 includes only adjusting the position of at least one pin of the cell.
  • operation 510 includes both adjusting the length of at least one pin of the cell and adjusting the position of at least one pin of the cell.
  • a length of a first pin is adjusted in operation 510 and a position of a second pin, different from the first pin, is adjusted in operation 510 .
  • a length and a position of a same pin are adjusted in operation 510 .
  • the length of at least one pin of the cell is adjusted as described above with respect to FIGS. 1A-1 and 1A-2 . In some embodiments, a length of every pin in the cell is adjusted. In some embodiments, a length of less than every pin in the cell is adjusted. Adjusting the length of at least one pin in the cell increases locations for connection to the pin having the adjusted length. As a result, a global connection tolerance of the cell is changed, in some instances.
  • method 500 returns to operation 502 to determine a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group of the modified cell.
  • FIG. 5B is a flow chart of a method 500 ′ of designing a circuit layout based on global connection routing in accordance with some embodiments.
  • a global connection tolerance (K) of a cell is determined.
  • the global connection tolerance K should be either zero or a positive integer number.
  • the global connection tolerance K of a cell is the ability of a cell to tolerate global connections.
  • a global connection tolerance K greater than zero indicates that the cell is not a dead cell, i.e., the cell is capable of allowing at least one global connection extending across the cell.
  • a high global connection tolerance K indicates that the cell has a large number of possible routing solutions for accessing pins within a cell when only one global connection passes the cell, which increases the likelihood of a routing solution for the cell which renders the cell usable.
  • the global connection tolerance K is determined based on a value stored in a cell library associated with the cell. In some embodiments, the global connection tolerance K is determined by identifying a span region for a maximum overlapped pin group; identifying a routing track closest to a first edge of the cell usable to connect to a pin of the cell; identifying a routing track closest to a second edge of the cell, opposite the first edge of the cell, usable to connect to a pin of the cell; and identifying a number of pins in the cell.
  • the global connection tolerance K is determined by identifying a left most routing track usable to connect to a pin of the cell; identifying a right most routing track usable to connect to a pin of the cell; and identifying a number of pins in the cell. In some embodiments where the cell includes pins extending vertically, the global connection tolerance K is determined by identifying a top most routing track usable to connect to a pin of the cell; identifying a bottom most routing track usable to connect to a pin of the cell; and identifying a number of pins in the cell.
  • FIG. 6 is a plan view of a cell 600 including pins 612 - 616 in accordance with some embodiments.
  • FIG. 6 includes cell 600 with a plurality of routing tracks 602 for a higher metal level superimposed over the cell. Each of the routing tracks includes an index, the index begins at zero for a routing track on an edge of cell 600 in the given embodiment.
  • a span region 620 of cell 600 indicates a span of a maximum overlapped pin group, i.e., pins 612 , 614 and 616 .
  • an index of a right most routing track usable to connect to a pin in the span region of cell 600 is “7.”
  • Pin 614 extends entirely through a routing track 602 having the index of “7.”
  • a left most routing track usable to connect to a pin in the span region of cell 600 is “2.” While pin 616 extends into routing track 602 having the index of “1,” pin 616 extends across less than the entirety of the index “1” routing track. Therefore, connecting to pin 616 in routing track “1” will present issues with decreased manufacturing reliability.
  • the left most routing track usable for connecting to pin 616 is routing track “2.”
  • a number of pins in a maximum overlapped pin group of cell 600 is 3, pins 612 - 616 . Based on the information given above, a global connection tolerance of cell 600 is 3.
  • cell 600 includes pins extending horizontally
  • methods 500 and 500 ′ are also applicable to cells having pins extending vertically.
  • a number of blocked tracks B of the cell is determined. Similar to FIGS. 4A-4C , blocking shapes located on a metal level above pins of the cell prevent connection to the pins. The number of blocked tracks B is determined based on a pin blocking shape group.
  • FIG. 7 is a plan view of a cell 700 including pins 712 - 716 and blocking shapes 772 and 774 in accordance with some embodiments.
  • Cell 700 is similar to cell 600 . Same elements have a same reference number increased by 100.
  • cell 700 includes a pin blocking shape group 770 that blocks a number of routing tracks 702 .
  • Pin blocking shape group 770 includes a first blocking shape 772 and a second blocking shape 774 .
  • Blocking shapes 772 and 774 are located on a metal level above pins of the cell.
  • first blocking shape 772 or second blocking shape 774 is independently selected from power-ground lines or other interconnect structures.
  • Pin blocking shape group 770 extends across four routing tracks, routing tracks “4,” “5,” “6,” and “7.” Therefore, a number of blocked tracks in cell 700 is four. In some embodiments, pin blocking shape group 770 includes a single blocking shape. In some embodiments, pin blocking shape group 770 includes more than two blocking shapes.
  • method 500 ′ continues with comparison 516 .
  • the global connection tolerance K of the cell is compared with the number of blocked tracks B of the cell. If the global connection tolerance K is less than the number of blocked tracks B, the cell will be unusable, i.e., a dead cell. The cell will be unusable because there will be no routing scheme solution for the cell due to the large number of blocked routing tracks of the cell relative to the small number of connection points for the cell.
  • method 500 ′ continues to operation 518 in order to modify a location of the cell in the circuit layout.
  • the predetermined condition is the global connection tolerance K being less than the number of blocked tracks B.
  • one or more additional buffers which are close to the cell are inserted into the circuit layout in order to adjust a location of the cell.
  • providing one or more desired buffers will also increase a size of the overall circuit layout, in some instances.
  • the use of desired buffers will increase a number of feedbacks from comparison 516 to operation 518 , in some instances.
  • the location of the cell is adjusted as described above with respect to FIGS. 4A-4C .
  • the location of the cell is adjusted to change a location of a blocking shape relative to the cell.
  • the location of the cell is adjusted to locate the cell completely separate from the blocking shape.
  • method 500 ′ returns to operation 514 to determine a number of blocked tracks B for the modified cell location.
  • method 500 ′ continues to optional operation 520 .
  • the global connection tolerance K is equal to or greater than the number of blocked tracks B, then method 500 ′ continues to optional operation 520 .
  • instructions for forming a mask based on the circuit layout are generated.
  • operation 520 is omitted if there are other cells which need to be checked for the predetermined condition.
  • operation 520 is omitted if the mask is to be formed by a different entity from the one generating the circuit layout.
  • a mask is used in a lithography process in order to pattern a wafer to form the device in the substrate.
  • the instructions for forming the mask are generated using a same tool as that used to analyze and modify the circuit layout. In some embodiments, the instructions for forming the mask are generated by using a different tool from that used to analyze and modify the circuit layout. In some embodiments, additional operations are performed prior to generating the instructions for forming the mask. In some embodiments, the additional operations include a layout-versus-schematic (LVS) check, a design rules check (DRC), a simulation of the resistance-capacitance (RC) performance of the circuit layout, or other suitable operations.
  • LLS layout-versus-schematic
  • DRC design rules check
  • RC resistance-capacitance
  • additional operations are included in method 500 ′, such as LVS check, DRC, RC simulation, or other suitable operations.
  • an order of operations for method 500 ′ is modified.
  • multiple operations for method 500 ′ are performed simultaneously.
  • operation 514 and operation 518 are performed simultaneously.
  • operations from method 500 FIG. 5A
  • method 500 ′ alters a length of a pin or a location of a pin within a cell to adjust a global connection tolerance K similar to operation 510 in method 500 .
  • FIG. 8 is a schematic diagram of a specific purpose system for implementing a method of global connection routing in accordance with some embodiments.
  • System 800 includes a hardware processor 802 and a non-transitory, computer readable storage medium 804 encoded with, i.e., storing, the computer program data 806 , i.e., a set of program variables.
  • Computer readable storage medium 804 is also encoded with instructions 807 for interfacing with other machines and for implementing a method of global connection routing, e.g., method 500 or method 500 ′ ( FIGS. 5A and 5B ).
  • the processor 802 is electrically coupled to the computer readable storage medium 804 via a bus 808 .
  • the processor 802 is also electrically coupled to an I/O interface 810 by bus 808 .
  • a network interface 812 is also electrically connected to the processor 802 via bus 808 .
  • Network interface 812 is connected to a network 814 , so that processor 802 and computer readable storage medium 804 are capable of connecting to external elements via network 814 .
  • the processor 802 is configured to execute the computer program code 806 or 807 encoded in the computer readable storage medium 804 in order to cause system 800 to be usable for performing a portion or all of the operations as described in method 500 or method 500 ′ ( FIGS. 5A and 5B ). During execution of method 500 or method 500 ′, additional information is stored in or read from memory 804 , in some embodiments.
  • the processor 802 is a central processing unit (CPU), a multi-processor, a distributed processing system, an application specific integrated circuit (ASIC), and/or a suitable processing unit.
  • CPU central processing unit
  • ASIC application specific integrated circuit
  • the computer readable storage medium 804 is an electronic, magnetic, optical, electromagnetic, infrared, and/or a semiconductor system (or apparatus or device).
  • the computer readable storage medium 804 includes a semiconductor or solid-state memory, a magnetic tape, a removable computer diskette, a random access memory (RAM), a read-only memory (ROM), a rigid magnetic disk, and/or an optical disk.
  • the computer readable storage medium 804 includes an optical disk, such as a compact disk-read only memory (CD-ROM), a compact disk-read/write (CD-R/W), and/or a digital video disc (DVD).
  • CD-ROM compact disk-read only memory
  • CD-R/W compact disk-read/write
  • DVD digital video disc
  • the storage medium 804 stores the computer program code 806 or 807 configured to cause system 800 to perform method 500 or method 500 ′ ( FIGS. 5A and 5B ). In some embodiments, the storage medium 804 also stores information needed for performing a method 500 or method 500 ′ ( FIGS. 5A and 5B ) as well as information generated during performing the method 500 or method 500 ′, such as a pin length parameter 816 , a global connection tolerance parameter 818 , a blocked tracks parameter 820 , a cell location parameter 822 , and/or a set of executable instructions to perform the operation of method 500 or method 500 ′ ( FIGS. 5A and 5B ).
  • information needed for performing a method 500 or method 500 ′ FIGS. 5A and 5B
  • information generated during performing the method 500 or method 500 ′ such as a pin length parameter 816 , a global connection tolerance parameter 818 , a blocked tracks parameter 820 , a cell location parameter 822 , and/or a set of executable instructions to perform the operation
  • the storage medium 804 stores instructions 807 for interfacing with manufacturing machines.
  • the instructions 807 enable processor 802 to generate manufacturing instructions readable by the manufacturing machines to effectively implement method 500 or method 500 ′ ( FIGS. 5A and 5B ) during a global connection routing process.
  • additional information is stored in or read from memory 804 , in some embodiments.
  • System 800 includes I/O interface 810 .
  • I/O interface 810 is coupled to external circuitry.
  • I/O interface 810 includes a keyboard, keypad, mouse, trackball, trackpad, and/or cursor direction keys for communicating information and commands to processor 802 .
  • System 800 also includes network interface 812 coupled to the processor 802 .
  • Network interface 812 allows system 800 to communicate with network 814 , to which one or more other computer systems are connected.
  • Network interface 812 includes wireless network interfaces such as BLUETOOTH, WIFI, WIMAX, GPRS, or WCDMA; or wired network interface such as ETHERNET, USB, or IEEE-1394.
  • method 500 or method 500 ′ is implemented in two or more systems 800 , and information such as pin length, global connection tolerance, blocked tracks and cell location are exchanged between different systems 800 via network 814 .
  • System 800 is configured to receive information related to a pin length of pins in a cell through I/O interface 810 or network interface 812 . The information is transferred to processor 802 via bus 808 to place the cell in a circuit layout. The pin length is then stored in computer readable medium 804 as pin length parameter 816 . System 800 is configured to determine information related to global connection tolerance during execution of method 500 or method 500 ′ ( FIGS. 5A and 5B ). In some embodiments, system 800 is configured to receive information related to global connection tolerance through I/O interface 810 or network interface 812 . The information is stored in computer readable medium 804 as global connection tolerance parameter 818 . System 800 is configured to determine information related to blocked tracks during execution of method 500 or method 500 ′ ( FIGS.
  • system 800 is configured to receive information related to blocked tracks through I/O interface 810 or network interface 812 .
  • the information is stored in computer readable medium 804 as blocked tracks parameter 820 .
  • System 800 is configured to receive information related to cell location through I/O interface 810 or network interface 812 .
  • system is configured to determine information related to cell location during execution of method 500 or method 500 ′ ( FIGS. 5A and 5B ).
  • the information is stored in computer readable medium 804 as cell location parameter 822 .
  • System 800 is a specific purpose computing device which is configured for executing method 500 or method 500 ′ ( FIGS. 5A and 5B ). While system 800 may include components which are usable in other computing devices, system 800 is configured for the specific purpose of executing a method of global connection routing.
  • An aspect of this description relates to a method of designing a device.
  • the method includes identifying a pin to be inserted into a first layer of the device, wherein the first layer has a plurality of first routing tracks, and each of the plurality of first routing tracks extend in a first direction.
  • the method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of second routing tracks, and each of the plurality of second routing tracks extends in a second direction different from the first direction.
  • the method further includes determining at least one candidate location for the pin in the first layer based on the plurality of first routing tracks of the first layer.
  • the method further includes setting a location for the pin in the first layer based on the determined at least one candidate location.
  • the first direction is perpendicular to the second direction.
  • setting the location of the pin includes maintaining a current location of the pin and increasing a dimension of the pin in the first direction.
  • the second layer is above the first layer.
  • setting the location for the pin includes setting the location for the pin to permit electrical connection to an element on the second layer.
  • setting the location for the pin includes setting the location for the pin to permit electrical connection to an element on a third layer of the device, wherein the first layer is between the second layer and the third layer.
  • the method further includes manufacturing at least one mask for manufacturing the first layer where the pin is at the set location.
  • An aspect of this description relates to a method of designing a device.
  • the method includes identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device.
  • the method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction.
  • the method further includes determining at least one candidate location for a first conductive element of the plurality of conductive elements in the first layer based on the design rule of the device.
  • the method further includes setting a location for the first conductive element in the first layer based on the determined at least one candidate location.
  • the second direction is perpendicular to the first direction.
  • the first layer is below the second layer.
  • the second layer is adjacent to the first layer.
  • determining the at least one candidate location includes determining the at least one candidate location based on a number of the plurality of routing tracks blocked by the blocking shape.
  • identifying the blocking shape includes identifying the blocking shape based on a location of a power-ground line.
  • identifying the block shape includes identifying the blocking shape based on a location of an interconnect structure.
  • the method further includes manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the set location.
  • An aspect of this description relates to a method of designing a device.
  • the method includes identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device.
  • the method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction.
  • the method further includes adjusting a location of a first conductive element of the plurality of conductive elements in the first layer based on the identified blocking shape and the design rule of the device.
  • the second direction is perpendicular to the first direction.
  • the first layer is directly below the second layer.
  • adjusting the location of the first conductive element comprises adjusting a location of at least one end point of the first conductive element.
  • the method includes manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the adjusted location.

Abstract

A method of designing a device includes identifying a pin to be inserted into a first layer of the device, wherein the first layer has a plurality of first routing tracks, and each of the plurality of first routing tracks extend in a first direction. The method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of second routing tracks, and each of the plurality of second routing tracks extends in a second direction different from the first direction. The method further includes determining at least one candidate location for the pin in the first layer based on the plurality of first routing tracks of the first layer. The method further includes setting a location for the pin in the first layer based on the determined at least one candidate location.

Description

    PRIORITY CLAIM
  • The present application is a continuation of U.S. application Ser. No. 16/776,135, filed Jan. 29, 2020, which is a continuation of U.S. application Ser. No. 15/845,101, filed Dec. 18, 2017, now U.S. Pat. No. 10,552,568, issued Feb. 4, 2020, which is a continuation of U.S. application Ser. No. 14/813,483, filed Jul. 30, 2015, now U.S. Pat. No. 9,846,759, which are incorporated herein by reference in their entireties.
  • BACKGROUND
  • A circuit layout includes one or more standard cells which correspond to active devices having a specific functionality. Cells for active devices which are routinely repeated are often included in a cell library. These cells are called standard cells in some instances. Cells include pins, which are used to convey signals into and out of the cell. At least one pin of a cell is connected to a pin of at least one other cell in order to transfer signals between the various cells. Routing lines are provided to interconnect the pins of various cells to facilitate signal transfer between different cells to provide a desired functionality for the circuit layout.
  • As technology nodes decrease, flexibility for routing lines is decreased due to smaller distances between cells and between elements within cells. For example, at technology nodes of 10 nanometers or less, at least one metal level includes routing lines extending only in a single direction. This routing scheme is called one-dimensional routing in some embodiments. A metal level is a layer of conductive lines in a plane having a nearly uniform distance from a substrate. Global connections are routing lines which extend across one or more standard cells. In some instances, global connections extend across an entirety of the circuit layout. Global connections only extend in one direction for circuit layouts which include one-dimensional routing.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A-1 is a plan view of a circuit layout including a cell in accordance with some embodiments.
  • FIG. 1A-2 is a plan view of a circuit layout including a modified cell in accordance with some embodiments.
  • FIG. 1B is an enlarged plan view of a cell in accordance with some embodiments.
  • FIG. 2 is a plan view of a cell in a circuit layout in accordance with some embodiments.
  • FIG. 3 is a plan view of a cell in a circuit layout in accordance with some embodiments.
  • FIG. 4A is a plan view of a circuit layout including a cell and a blocking shape in accordance with some embodiments.
  • FIG. 4B is a plan view of a circuit layout including two cells and a blocking shape in accordance with some embodiments.
  • FIG. 4C is a plan view of a circuit layout including two cells and a blocking shape in accordance with some embodiments.
  • FIG. 5A is a flow chart of a method of modifying a cell based on global connection routing in accordance with some embodiments.
  • FIG. 5B is a flow chart of a method of designing a circuit layout based on global connection routing in accordance with some embodiments.
  • FIG. 6 is a plan view of a cell including pins in accordance with some embodiments.
  • FIG. 7 is a plan view of a cell including pins and blocking shapes in accordance with some embodiments.
  • FIG. 8 is a schematic diagram of a specific purpose system for implementing a method of global connection routing in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1A-1 is a plan view of a circuit layout 100 including a cell in accordance with some embodiments. Circuit layout 100 includes a plurality of routing tracks 102 for a metal level. Routing tracks 102 in FIG. 1A-1 are indicated as broken lines for clarity. Only one routing track 102 is labeled for clarity. Routing tracks 102 extend parallel to one another because the metal level uses a one-dimensional routing scheme. Circuit layout 100 includes a supply voltage (VDD) bus 104 extending perpendicular to routing tracks 102. A reference voltage (VSS) bus 106 extends perpendicular to routing tracks 102 on an opposite side of circuit layout 100 from VDD bus 104. The cell includes a plurality of pins 112, 114 and 116 located between VDD bus 104 and VSS bus 106 and extending perpendicular to routing tracks 102. A first pin 112 has a length L1. A second pin 114 has a length L2. A third pin 116 has a length L3. VDD bus 104, VSS bus 106, pin 112, pin 114, and pin 116 are located on the same metal level. In some embodiments, VDD bus 104, VSS bus 106, pin 112, pin 114 and pin 116 are on a metal level closest to a substrate, which is called a first metal level. Circuit layout 100 further includes a global connection 120 extending across an entirety of the standard cell along a routing track 102. Global connection 120 is located on a metal level farther from a substrate of the circuit layout than pins 112, 114, and 116. In some embodiments, a second metal level farther from the substrate than a first metal level is described as being “above” the first metal level. A first local connection 130 extends parallel to global connection 120 and connects to first pin 112 by a via. First local connection 130 is on a same metal level as global connection 120. A second local connection 140 extends parallel to global connection 120 and connects to second pin 114 by a via. Second local connection 140 is on a same metal level as global connection 120 and first local connection 130. In some embodiments, global connection 120, local connection 130, and local connection 140 are located on the second metal level. Vias which provide connections between different metal levels are labeled with ‘X’ in circuit layout 100. Vias are able to be located at access points. An access point is a location where a pin, e.g., pin 112, intersects with a routing track 102. These access points are located where local connections, e.g., first local connection 130 or second local connection 140, or global connections, e.g., global connection 120, extend above a pin. Second local connection 140 is located on a same routing track 102 as first local connection 130. An end-to-end separation S between first local connection 130 and second local connection 140 is less than a minimum separation distance for circuit layout 100. The cell also includes internal wiring 150, which is located on a same metal level as VDD bus 104, VSS bus 106, pin 112, pin 114 and pin 116, and is used to provide internal connections within the cell.
  • In some embodiments, the cell of circuit layout 100 is a standard cell retrieved from a cell library. Pins 112, 114 and 116 provide connection points to transfer signals into and/or out of the cell. In some embodiments, global connection 120 is electrically connected to at least one of pins 112, 114 or 116. In some embodiments, global connection 120 is connected to every pin 112, 114 and 116. In some embodiments, global connection 120 is not connected to any of pins 112, 114 or 116. In some embodiments, global connection 120 is configured to carry a global signal such as a global clock signal, a supply voltage signal, a reference voltage signal or another suitable global signal. A global signal is a signal which has substantially a same value usable by multiple cells in a circuit layout. In some embodiments, the global signal is supplied to less than all cells in circuit layout 100.
  • First local connection 130 is configured to carry a local signal to pin 112. A local signal is a signal having a specific value intended for a specific cell and a specific pin or pins within the cell. In some embodiments, the local signal is an output from another cell, an input for another cell, a local clock signal or another suitable local signal.
  • Second local connection 140 is configured to carry a local signal to pin 114. End-to-end separation S between first local connection 130 and second location connection 140 is less than the minimum separation distance for circuit layout 100, which means that the first local connection and the second location connection cannot be reliably formed in the location indicated in circuit layout 100. The minimum separation distance is related to a process technology used to form circuit layout 100. The minimum separation distance is based on patterning processes, formation processes and inherent manufacturing offset. In some embodiments, the minimum separation distance is a value set defined in a technology file used by an automatic placement and routing (APR) tool. The APR tool performs design rule checking (DRC) in order to determine whether the minimum separation distance and other design rules are satisfied by elements within circuit layout 100. In the situation of circuit layout 100, the APR tool would indicate an error due to the end-to-end separation S between first local connection 130 and second location connection 140.
  • In some embodiments, first local connection 130 and second local connection 140 cannot be located in a routing track 102. If first local connection 130 and second local connection 140 are in a routing track 102, manufacturing circuit layout 100 has an increased risk of resulting in a faulty circuit.
  • FIG. 1B is an enlarged plan view of a cell in accordance with some embodiments. The cell includes elements from circuit layout 100 (FIG. 1A-1). Same elements have a same reference number. A distance D between first pin 112 and second pin 114 is greater than the minimum separation distance for the metal level. However, if distance D between adjacent pins 112 and 114 of the cell is small, first local connection 130 and second local connection 140 cannot be formed in a same routing track 102 without violating the minimum separation distance design rule.
  • Returning to FIG. 1A-1, either first local connection 130 or second local connection 140 should be moved to a different routing track 102 in order to facilitate reliable manufacturing of circuit layout 100. However, moving either first local connection 130 or second local connection 140 to another routing track 102 would include modification of a standard cell layout. The modification of the standard cell layout would occur because pin 112 is connected to a local connection 130 which is on the second metal level from one of the two access points, i.e., the two locations where pin 112 and routing tracks 102 intersect, and pin 114 is connected to a local connection 140 which is on the second metal level from one of the two access points, i.e., the two locations where pin 114 and routing tracks 102 intersect. However, one access point of pin 112 and one access point of pin 114 are blocked by global connection 120. In addition, local connections 130 and 140 cannot be located on the same track due to spacing issues discussed above. As a result, the layout of the standard cell is modified in order to facilitate routing to the cell. Therefore, in order to resolve the end-to-end separation S error in circuit layout 100, a length of at least one pin in circuit layout 100 is modified, in some embodiments.
  • FIG. 1A-2 is a plan view of the circuit layout 100′ including a modified cell in accordance with some embodiments. Circuit layout 100′ includes several elements which are the same as elements from circuit layout 100. Same elements have a same reference number. Elements of circuit layout 100′ which are modified with respect to circuit layout 100 have a same reference number appended by a prime (′) symbol.
  • In comparison with circuit layout 100, circuit layout 100′ includes first pin 112′ having a length increased from L1 to L1′. A location of local connection 130′ is different from a location of local connection 130 in circuit layout 100. The increased length of first pin 112′ increases a number of access points for first pin 112′ to three, in comparison with two access points in circuit layout 100. By changing a location of first local connection 130′, first local connection 130′ and second local connection 140 satisfy design rules. The increase in the distance between first local connection 130′ and second local connection 140 means that circuit layout 100′ is able to be reliably manufactured. In some embodiments, second local connection 140 is moved to a different routing track 102 and first local connection 130′ remains in a same routing track 102 as in circuit layout 100. In some embodiments, length L1 of first pin 112 is maintained as a same length in circuit layout 100′ as in circuit layout 100. In some embodiments, length L2 of second pin 114 is adjusted in comparison with circuit layout 100.
  • Absent an ability to modify pins in circuit layout 100, which contains global connection 120, the cell would be unusable. In some embodiments, an unusable cell is called a dead cell. As a number of dead cells within a circuit layout increases, a utilization of the circuit layout decreases. The utilization of a circuit layout is based on a ratio between a total area of cells within a circuit layout and an overall area of the circuit layout. Increasing utilization of a circuit layout helps to facilitate overall size reduction of the circuit layout.
  • FIG. 2 is a plan view of a cell in a circuit layout 200 in accordance with some embodiments. Circuit layout 200 includes similar elements as circuit layout 100. Same elements have a same reference number increased by 100. In comparison with circuit layout 100, circuit layout 200 includes a fourth pin 218, and a fifth pin 220.
  • FIG. 2 also includes an overlap region 260 and a span region 270. Overlap region 260 is a routing track 202 where a greatest number of pins extend entirely across a routing track 202, e.g., pins 212, 214, 216, and 218. In some embodiments, overlap region 260 is called a maximum overlap region. Overlap region 260 is a candidate location for a global connection, e.g., global connection 120 (FIG. 1A-1). In some embodiments, pins 212, 214, 216 and 218 which occupy overlap region 260 are called a maximum overlapped pin group.
  • In order to help maintain usability of the cell in circuit layout 200, a span width W from a left most pin location of the maximum overlapped pin group to a right most pin location of the maximum overlapped pin group should be at least equal to a minimum span width. For example, in FIG. 2, the span width W is a width of span region 270. Pin 220 is not considered for span width W of span region 270 because pin 220 is not included in overlap region 260. The minimum span width helps to ensure that at least one global connection is able to be included in the circuit layout as well as any local connections used by the circuit layout. Increasing the minimum span width increases a number of routing solutions for defining a usable cell. As a number of routing solutions increases, an amount of time for an APR tool to identify a suitable routing scheme decreases. However, increasing the minimum span width also increases a size of the cell and the overall circuit layout. The minimum span width is based in part on a number of pins in the maximum overlapped pin group. As a number of pins in the maximum overlapped pin group increases, the minimum span width also increases.
  • Circuit layout 200 includes four pins 212, 214, 216 and 218 in the maximum overlapped pin group. In some embodiments, the span width W for circuit layout 200 is at least 278 nanometers (nm). In some embodiments where circuit layout includes three pins in the overlapped pin group, the span width W is at least 234 nm. In some embodiments where circuit layout includes two pins in the overlapped pin group, the span width W is at least 190 nm. In some embodiments where circuit layout includes one pin in the overlapped pin group, the span width W is at least 146 nm. In some embodiments, the minimum span width differs from the above values. The minimum span width is adjustable based on a pitch of routing tracks 202, dimensions of via cuts, an enclosure distance between a via cut and a metal wire, a number of pins in a maximum overlapped pin group, a number of local connections, and other suitable variables.
  • A global connection tolerance K defines a maximum number of global connections that can be placed across a standard cell. The global connection tolerance K is determined based on a difference between a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group. The global connection tolerance K should be either zero or a positive integer; otherwise, when K is less than zero, the pins in the span region cannot be routed even when a global connection does not exist. The number of routing tracks in a span region is determined based on a difference between an index of a rightmost track and an index of a leftmost track increased by one. For example, circuit layout 200 includes four pins in the maximum overlapped pin group in overlap region 260. A number of routing tracks in the span region is five. As a result, a global connection tolerance K for circuit layout 200 is one.
  • A global connection tolerance K greater than zero indicates that the cell layout is capable of receiving a global connection. As the global connection tolerance K increases, routing options for the cell layout increase which increases flexibility of the cell layout in various circuit designs.
  • FIG. 3 is a plan view of a cell in a circuit layout 300 in accordance with some embodiments. Circuit layout 300 includes similar elements as circuit layout 200. Same elements have a same reference number increased by 100. In comparison with circuit layout 200, circuit layout 300 includes pins oriented in a vertical direction in the plan view. Routing tracks 302 extend in a horizontal direction, perpendicular to pins 312, 314, 316 and 318. Overlap region 360 also extends in the horizontal direction. Circuit layout 300 includes a span width W′. Regardless of an orientation of pins, i.e., extending in a vertical direction or in the horizontal direction, span width W′ is still at least equal to the minimum span width. That is, an orientation of pins in a circuit layout does not impact the minimum span width. In some embodiments, circuit layout 100 (FIG. 1A-1), circuit layout 100′ (FIG. 1A-2) or circuit layout 200 (FIG. 2) is modified to have pins extending in the vertical direction.
  • FIG. 4A is a plan view of a circuit layout 400 including a cell 410 and a blocking shape 420 in accordance with some embodiments. Circuit layout 400 includes cell 410 which includes a plurality of pins 410 a-d. Pins 410 a-d are located on a first metal layer and blocking shape 420 is located on a second metal layer over cell 410. Blocking shape 420 is over an overlap region of pins 410 a, 410 c and 410 d. Due to the location of blocking shape 420, at least one routing track, e.g., routing track 102 (FIG. 1), is unavailable for global or local connections to pins 410 a, 410 c, and 410 d. To increase a number of routing solutions for cell 410, an APR tool is usable to adjust a location of cell 410 within circuit layout 400. For example, the APR tool adjusts the location of cell 410 in a direction perpendicular to blocking shape 420, in some embodiments.
  • In some embodiments, blocking shape 420 is a type of a global connection. In some embodiments, blocking shape 420 is a power-ground line. In some embodiments, blocking shape 420 is another interconnect structure within circuit layout 400. In some embodiments, a location of blocking shape 420 prevents routing of a global or a local connection to cell 410. To increase a number of routing solutions for circuit layout 400, lengths of pins 410 a-d are adjusted in some embodiments. In some embodiments, a location of cell 410 is adjusted within circuit layout 400 in order to reduce the impact of blocking shape 420 or avoid the blocking shape entirely.
  • FIG. 4B is a plan view of a circuit layout 400′ including a cell 410 and a blocking shape 420 in accordance with some embodiments. In comparison with circuit layout 400, circuit layout 400′ includes cell 410′ which is a neighboring cell adjacent to cell 410. Routing local connections or global connections in cell 410′ impacts routing solutions for cell 410 due to the proximity between cell 410 and cell 410′, in some instances. For example, there are not sufficient routing resources on a third metal level, in some instances.
  • FIG. 4C is a plan view of a circuit layout 400″ including a cell 410 and a blocking shape 420 in accordance with some embodiments. In comparison with circuit layout 400′, circuit layout 400″ includes cell 410′ located at a position away from cell 410. Increasing a distance between cell 410 and cell 410′ increases the number of routing solutions for circuit layout 400″ in comparison with circuit layout 400′. For example, increasing the distance provides more routing resources for the first metal level and the third metal level.
  • FIG. 5A is a flow chart of a method of modifying a cell based on global connection tolerance in accordance with some embodiments. In operation 502, a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group of a cell are identified. In some embodiments, the number of pins in the maximum overlapped pin group is determined by using a design tool. In some embodiments, the number of pins in the maximum overlapped pin group is received from a user.
  • In operation 504, a global connection tolerance K of the cell is determined. The global connection tolerance K is determined based on a number of pins in a maximum overlapped pin group of the cell and on a number of routing tracks within a span region of the cell. The number of routing tracks in the span region is determined based on a difference between an index of a rightmost track and an index of a leftmost track increased by one. FIGS. 2 and 3 above provide examples of how a number of pins in a maximum overlapped pin group is determined as well as how a number of routing tracks in a span region is determined, in some embodiments.
  • In comparison 506, the global connection tolerance K is compared with a threshold value. The threshold value is a desired global connection tolerance. In some embodiments, the threshold value is retrieved from a look-up table. In some embodiments, the threshold value is calculated based on the parameters of an integrated circuit. In some embodiments, the threshold value is provided by a user.
  • If the comparison 506 determines that the global connection tolerance K satisfies the threshold value, method 500 continues to operation 508 in which the cell is stored in a cell library. In some embodiments, the cell is associated with a global connection tolerance value. In some embodiments, the cell is stored without being associated with a global connection tolerance value. In some embodiments, the cell library is usable by a circuit designer for designing a circuit layout.
  • If the comparison 506 determines that the global connection tolerance K fails to satisfy the threshold value, method 500 continues with operation 510 in which a length of at least one pin of the cell is adjusted or a position of at least one pin in the cell is adjusted. In some embodiments, operation 510 includes only adjusting the length of at least one pin of the cell. In some embodiments, operation 510 includes only adjusting the position of at least one pin of the cell. In some embodiments, operation 510 includes both adjusting the length of at least one pin of the cell and adjusting the position of at least one pin of the cell. In some embodiments, a length of a first pin is adjusted in operation 510 and a position of a second pin, different from the first pin, is adjusted in operation 510. In some embodiments, a length and a position of a same pin are adjusted in operation 510.
  • The length of at least one pin of the cell is adjusted as described above with respect to FIGS. 1A-1 and 1A-2. In some embodiments, a length of every pin in the cell is adjusted. In some embodiments, a length of less than every pin in the cell is adjusted. Adjusting the length of at least one pin in the cell increases locations for connection to the pin having the adjusted length. As a result, a global connection tolerance of the cell is changed, in some instances. Following operation 510, method 500 returns to operation 502 to determine a number of routing tracks in a span region and a number of pins in a maximum overlapped pin group of the modified cell.
  • FIG. 5B is a flow chart of a method 500′ of designing a circuit layout based on global connection routing in accordance with some embodiments. In operation 512, a global connection tolerance (K) of a cell is determined. The global connection tolerance K should be either zero or a positive integer number. The global connection tolerance K of a cell is the ability of a cell to tolerate global connections. A global connection tolerance K greater than zero indicates that the cell is not a dead cell, i.e., the cell is capable of allowing at least one global connection extending across the cell. A high global connection tolerance K indicates that the cell has a large number of possible routing solutions for accessing pins within a cell when only one global connection passes the cell, which increases the likelihood of a routing solution for the cell which renders the cell usable. In some embodiments, the global connection tolerance K is determined based on a value stored in a cell library associated with the cell. In some embodiments, the global connection tolerance K is determined by identifying a span region for a maximum overlapped pin group; identifying a routing track closest to a first edge of the cell usable to connect to a pin of the cell; identifying a routing track closest to a second edge of the cell, opposite the first edge of the cell, usable to connect to a pin of the cell; and identifying a number of pins in the cell. In some embodiments where the cell includes pins extending horizontally, the global connection tolerance K is determined by identifying a left most routing track usable to connect to a pin of the cell; identifying a right most routing track usable to connect to a pin of the cell; and identifying a number of pins in the cell. In some embodiments where the cell includes pins extending vertically, the global connection tolerance K is determined by identifying a top most routing track usable to connect to a pin of the cell; identifying a bottom most routing track usable to connect to a pin of the cell; and identifying a number of pins in the cell.
  • An example of how a global connection tolerance K is calculated is provided based on an example cell in FIG. 6. FIG. 6 is a plan view of a cell 600 including pins 612-616 in accordance with some embodiments. FIG. 6 includes cell 600 with a plurality of routing tracks 602 for a higher metal level superimposed over the cell. Each of the routing tracks includes an index, the index begins at zero for a routing track on an edge of cell 600 in the given embodiment. A span region 620 of cell 600 indicates a span of a maximum overlapped pin group, i.e., pins 612, 614 and 616. In the example of cell 600 an index of a right most routing track usable to connect to a pin in the span region of cell 600 is “7.” Pin 614 extends entirely through a routing track 602 having the index of “7.” A left most routing track usable to connect to a pin in the span region of cell 600 is “2.” While pin 616 extends into routing track 602 having the index of “1,” pin 616 extends across less than the entirety of the index “1” routing track. Therefore, connecting to pin 616 in routing track “1” will present issues with decreased manufacturing reliability. As a result, the left most routing track usable for connecting to pin 616 is routing track “2.” A number of pins in a maximum overlapped pin group of cell 600 is 3, pins 612-616. Based on the information given above, a global connection tolerance of cell 600 is 3.
  • While cell 600 includes pins extending horizontally, methods 500 and 500′ are also applicable to cells having pins extending vertically.
  • In operation 514 of method 500′, a number of blocked tracks B of the cell is determined. Similar to FIGS. 4A-4C, blocking shapes located on a metal level above pins of the cell prevent connection to the pins. The number of blocked tracks B is determined based on a pin blocking shape group.
  • An example of how a number of blocked tracks B is calculated is provided based on an example cell in FIG. 7. FIG. 7 is a plan view of a cell 700 including pins 712-716 and blocking shapes 772 and 774 in accordance with some embodiments. Cell 700 is similar to cell 600. Same elements have a same reference number increased by 100. In comparison with cell 600, cell 700 includes a pin blocking shape group 770 that blocks a number of routing tracks 702. Pin blocking shape group 770 includes a first blocking shape 772 and a second blocking shape 774. Blocking shapes 772 and 774 are located on a metal level above pins of the cell. In some embodiments, first blocking shape 772 or second blocking shape 774 is independently selected from power-ground lines or other interconnect structures.
  • Pin blocking shape group 770 extends across four routing tracks, routing tracks “4,” “5,” “6,” and “7.” Therefore, a number of blocked tracks in cell 700 is four. In some embodiments, pin blocking shape group 770 includes a single blocking shape. In some embodiments, pin blocking shape group 770 includes more than two blocking shapes.
  • Returning to FIG. 5B, method 500′ continues with comparison 516. In comparison 516, the global connection tolerance K of the cell is compared with the number of blocked tracks B of the cell. If the global connection tolerance K is less than the number of blocked tracks B, the cell will be unusable, i.e., a dead cell. The cell will be unusable because there will be no routing scheme solution for the cell due to the large number of blocked routing tracks of the cell relative to the small number of connection points for the cell.
  • If the global connection tolerance K of the cell is less than the number of blocked tracks B of the cell (meaning a predetermined condition is not satisfied), then method 500′ continues to operation 518 in order to modify a location of the cell in the circuit layout. In some embodiments, the predetermined condition is the global connection tolerance K being less than the number of blocked tracks B. In some embodiments, one or more additional buffers which are close to the cell are inserted into the circuit layout in order to adjust a location of the cell. However, providing one or more desired buffers will also increase a size of the overall circuit layout, in some instances. Also, the use of desired buffers will increase a number of feedbacks from comparison 516 to operation 518, in some instances.
  • The location of the cell is adjusted as described above with respect to FIGS. 4A-4C. In some embodiments, the location of the cell is adjusted to change a location of a blocking shape relative to the cell. In some embodiments, the location of the cell is adjusted to locate the cell completely separate from the blocking shape.
  • Following operation 518, method 500′ returns to operation 514 to determine a number of blocked tracks B for the modified cell location.
  • If the global connection tolerance K and the number of blocked tracks B satisfy the predetermined condition, then method 500′ continues to optional operation 520. In some embodiments, if the global connection tolerance K is equal to or greater than the number of blocked tracks B, then method 500′ continues to optional operation 520. In optional operation 520, instructions for forming a mask based on the circuit layout are generated. In some embodiments, operation 520 is omitted if there are other cells which need to be checked for the predetermined condition. In some embodiments, operation 520 is omitted if the mask is to be formed by a different entity from the one generating the circuit layout. A mask is used in a lithography process in order to pattern a wafer to form the device in the substrate. In some embodiments, the instructions for forming the mask are generated using a same tool as that used to analyze and modify the circuit layout. In some embodiments, the instructions for forming the mask are generated by using a different tool from that used to analyze and modify the circuit layout. In some embodiments, additional operations are performed prior to generating the instructions for forming the mask. In some embodiments, the additional operations include a layout-versus-schematic (LVS) check, a design rules check (DRC), a simulation of the resistance-capacitance (RC) performance of the circuit layout, or other suitable operations.
  • In some embodiments, additional operations are included in method 500′, such as LVS check, DRC, RC simulation, or other suitable operations. In some embodiments, an order of operations for method 500′ is modified. In some embodiments, multiple operations for method 500′ are performed simultaneously. For example, in some embodiments, operation 514 and operation 518 are performed simultaneously. In some embodiments, operations from method 500 (FIG. 5A) are combined with operations from method 500′. For example, in some embodiments, method 500′ alters a length of a pin or a location of a pin within a cell to adjust a global connection tolerance K similar to operation 510 in method 500.
  • FIG. 8 is a schematic diagram of a specific purpose system for implementing a method of global connection routing in accordance with some embodiments. System 800 includes a hardware processor 802 and a non-transitory, computer readable storage medium 804 encoded with, i.e., storing, the computer program data 806, i.e., a set of program variables. Computer readable storage medium 804 is also encoded with instructions 807 for interfacing with other machines and for implementing a method of global connection routing, e.g., method 500 or method 500′ (FIGS. 5A and 5B). The processor 802 is electrically coupled to the computer readable storage medium 804 via a bus 808. The processor 802 is also electrically coupled to an I/O interface 810 by bus 808. A network interface 812 is also electrically connected to the processor 802 via bus 808. Network interface 812 is connected to a network 814, so that processor 802 and computer readable storage medium 804 are capable of connecting to external elements via network 814. The processor 802 is configured to execute the computer program code 806 or 807 encoded in the computer readable storage medium 804 in order to cause system 800 to be usable for performing a portion or all of the operations as described in method 500 or method 500′ (FIGS. 5A and 5B). During execution of method 500 or method 500′, additional information is stored in or read from memory 804, in some embodiments.
  • In some embodiments, the processor 802 is a central processing unit (CPU), a multi-processor, a distributed processing system, an application specific integrated circuit (ASIC), and/or a suitable processing unit.
  • In some embodiments, the computer readable storage medium 804 is an electronic, magnetic, optical, electromagnetic, infrared, and/or a semiconductor system (or apparatus or device). For example, the computer readable storage medium 804 includes a semiconductor or solid-state memory, a magnetic tape, a removable computer diskette, a random access memory (RAM), a read-only memory (ROM), a rigid magnetic disk, and/or an optical disk. In some embodiments, the computer readable storage medium 804 includes an optical disk, such as a compact disk-read only memory (CD-ROM), a compact disk-read/write (CD-R/W), and/or a digital video disc (DVD).
  • In some embodiments, the storage medium 804 stores the computer program code 806 or 807 configured to cause system 800 to perform method 500 or method 500′ (FIGS. 5A and 5B). In some embodiments, the storage medium 804 also stores information needed for performing a method 500 or method 500′ (FIGS. 5A and 5B) as well as information generated during performing the method 500 or method 500′, such as a pin length parameter 816, a global connection tolerance parameter 818, a blocked tracks parameter 820, a cell location parameter 822, and/or a set of executable instructions to perform the operation of method 500 or method 500′ (FIGS. 5A and 5B).
  • In some embodiments, the storage medium 804 stores instructions 807 for interfacing with manufacturing machines. The instructions 807 enable processor 802 to generate manufacturing instructions readable by the manufacturing machines to effectively implement method 500 or method 500′ (FIGS. 5A and 5B) during a global connection routing process. During execution of method 500 or method 500′, additional information is stored in or read from memory 804, in some embodiments.
  • System 800 includes I/O interface 810. I/O interface 810 is coupled to external circuitry. In some embodiments, I/O interface 810 includes a keyboard, keypad, mouse, trackball, trackpad, and/or cursor direction keys for communicating information and commands to processor 802.
  • System 800 also includes network interface 812 coupled to the processor 802. Network interface 812 allows system 800 to communicate with network 814, to which one or more other computer systems are connected. Network interface 812 includes wireless network interfaces such as BLUETOOTH, WIFI, WIMAX, GPRS, or WCDMA; or wired network interface such as ETHERNET, USB, or IEEE-1394. In some embodiments, method 500 or method 500′ is implemented in two or more systems 800, and information such as pin length, global connection tolerance, blocked tracks and cell location are exchanged between different systems 800 via network 814.
  • System 800 is configured to receive information related to a pin length of pins in a cell through I/O interface 810 or network interface 812. The information is transferred to processor 802 via bus 808 to place the cell in a circuit layout. The pin length is then stored in computer readable medium 804 as pin length parameter 816. System 800 is configured to determine information related to global connection tolerance during execution of method 500 or method 500′ (FIGS. 5A and 5B). In some embodiments, system 800 is configured to receive information related to global connection tolerance through I/O interface 810 or network interface 812. The information is stored in computer readable medium 804 as global connection tolerance parameter 818. System 800 is configured to determine information related to blocked tracks during execution of method 500 or method 500′ (FIGS. 5A and 5B). In some embodiments, system 800 is configured to receive information related to blocked tracks through I/O interface 810 or network interface 812. The information is stored in computer readable medium 804 as blocked tracks parameter 820. System 800 is configured to receive information related to cell location through I/O interface 810 or network interface 812. In some embodiments, system is configured to determine information related to cell location during execution of method 500 or method 500′ (FIGS. 5A and 5B). The information is stored in computer readable medium 804 as cell location parameter 822.
  • System 800 is a specific purpose computing device which is configured for executing method 500 or method 500′ (FIGS. 5A and 5B). While system 800 may include components which are usable in other computing devices, system 800 is configured for the specific purpose of executing a method of global connection routing.
  • An aspect of this description relates to a method of designing a device. The method includes identifying a pin to be inserted into a first layer of the device, wherein the first layer has a plurality of first routing tracks, and each of the plurality of first routing tracks extend in a first direction. The method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of second routing tracks, and each of the plurality of second routing tracks extends in a second direction different from the first direction. The method further includes determining at least one candidate location for the pin in the first layer based on the plurality of first routing tracks of the first layer. The method further includes setting a location for the pin in the first layer based on the determined at least one candidate location. In some embodiments, the first direction is perpendicular to the second direction. In some embodiments, setting the location of the pin includes maintaining a current location of the pin and increasing a dimension of the pin in the first direction. In some embodiments, the second layer is above the first layer. In some embodiments, setting the location for the pin includes setting the location for the pin to permit electrical connection to an element on the second layer. In some embodiments, setting the location for the pin includes setting the location for the pin to permit electrical connection to an element on a third layer of the device, wherein the first layer is between the second layer and the third layer. In some embodiments, the method further includes manufacturing at least one mask for manufacturing the first layer where the pin is at the set location.
  • An aspect of this description relates to a method of designing a device. The method includes identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device. The method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction. The method further includes determining at least one candidate location for a first conductive element of the plurality of conductive elements in the first layer based on the design rule of the device. The method further includes setting a location for the first conductive element in the first layer based on the determined at least one candidate location. In some embodiments, the second direction is perpendicular to the first direction. In some embodiments, the first layer is below the second layer. In some embodiments, the second layer is adjacent to the first layer. In some embodiments, determining the at least one candidate location includes determining the at least one candidate location based on a number of the plurality of routing tracks blocked by the blocking shape. In some embodiments, identifying the blocking shape includes identifying the blocking shape based on a location of a power-ground line. In some embodiments, identifying the block shape includes identifying the blocking shape based on a location of an interconnect structure. In some embodiments, the method further includes manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the set location.
  • An aspect of this description relates to a method of designing a device. The method includes identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device. The method further includes identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction. The method further includes adjusting a location of a first conductive element of the plurality of conductive elements in the first layer based on the identified blocking shape and the design rule of the device. In some embodiments, the second direction is perpendicular to the first direction. In some embodiments, the first layer is directly below the second layer. In some embodiments, adjusting the location of the first conductive element comprises adjusting a location of at least one end point of the first conductive element. In some embodiments, the method includes manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the adjusted location.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method of designing a device, the method comprising:
identifying a pin to be inserted into a first layer of the device, wherein the first layer has a plurality of first routing tracks, and each of the plurality of first routing tracks extend in a first direction;
identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of second routing tracks, and each of the plurality of second routing tracks extends in a second direction different from the first direction;
determining at least one candidate location for the pin in the first layer based on the plurality of first routing tracks of the first layer; and
setting a location for the pin in the first layer based on the determined at least one candidate location.
2. The method of claim 1, wherein the first direction is perpendicular to the second direction.
3. The method of claim 1, wherein setting the location of the pin comprises maintaining a current location of the pin and increasing a dimension of the pin in the first direction.
4. The method of claim 1, wherein the second layer is above the first layer.
5. The method of claim 1, wherein setting the location for the pin comprises setting the location for the pin to permit electrical connection to an element on the second layer.
6. The method of claim 1, wherein setting the location for the pin comprises setting the location for the pin to permit electrical connection to an element on a third layer of the device, wherein the first layer is between the second layer and the third layer.
7. The method of claim 1, further comprising manufacturing at least one mask for manufacturing the first layer where the pin is at the set location.
8. A method of designing a device, the method comprising:
identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device;
identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction;
determining at least one candidate location for a first conductive element of the plurality of conductive elements in the first layer based on the design rule of the device; and
setting a location for the first conductive element in the first layer based on the determined at least one candidate location.
9. The method of claim 8, wherein the second direction is perpendicular to the first direction.
10. The method of claim 8, wherein the first layer is below the second layer.
11. The method of claim 8, wherein the second layer is adjacent to the first layer.
12. The method of claim 8, wherein determining the at least one candidate location comprises determining the at least one candidate location based on a number of the plurality of routing tracks blocked by the blocking shape.
13. The method of claim 8, wherein identifying the blocking shape comprises identifying the blocking shape based on a location of a power-ground line.
14. The method of claim 8, wherein identifying the block shape comprises identifying the blocking shape based on a location of an interconnect structure.
15. The method of claim 8, further comprising manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the set location.
16. A method of designing a device, the method comprising:
identifying a plurality of conductive elements to be inserted into a first layer of the device, wherein each of the plurality of conductive elements extends in a first direction, adjacent conductive elements of the plurality of conductive elements are spaced in a second direction different from the first direction and a magnitude of spacing between adjacent conductive elements of the plurality of conductive elements satisfies a design rule of the device;
identifying a blocking shape on a second layer different from the first layer, wherein the second layer has a plurality of routing tracks, and each of the plurality of second routing tracks extends in the second direction; and
adjusting a location of a first conductive element of the plurality of conductive elements in the first layer based on the identified blocking shape and the design rule of the device.
17. The method of claim 16, wherein the second direction is perpendicular to the first direction.
18. The method of claim 16, wherein the first layer is directly below the second layer.
19. The method of claim 16, wherein adjusting the location of the first conductive element comprises adjusting a location of at least one end point of the first conductive element.
20. The method of claim 16, further comprising manufacturing at least one mask for manufacturing the first layer where the first conductive element is at the adjusted location.
US17/074,999 2015-07-30 2020-10-20 Method of designing a device Active 2036-04-17 US11675954B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/074,999 US11675954B2 (en) 2015-07-30 2020-10-20 Method of designing a device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/813,483 US9846759B2 (en) 2015-07-30 2015-07-30 Global connection routing method and system for performing the same
US15/845,101 US10552568B2 (en) 2015-07-30 2017-12-18 Method of modifying cell and global connection routing method
US16/776,135 US11132488B2 (en) 2015-07-30 2020-01-29 Method of modifying cell, system for modifying cell and global connection routing method
US17/074,999 US11675954B2 (en) 2015-07-30 2020-10-20 Method of designing a device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/776,135 Continuation US11132488B2 (en) 2015-07-30 2020-01-29 Method of modifying cell, system for modifying cell and global connection routing method

Publications (2)

Publication Number Publication Date
US20210034807A1 true US20210034807A1 (en) 2021-02-04
US11675954B2 US11675954B2 (en) 2023-06-13

Family

ID=57883645

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/813,483 Active US9846759B2 (en) 2015-07-30 2015-07-30 Global connection routing method and system for performing the same
US15/845,101 Active 2035-11-13 US10552568B2 (en) 2015-07-30 2017-12-18 Method of modifying cell and global connection routing method
US16/776,135 Active US11132488B2 (en) 2015-07-30 2020-01-29 Method of modifying cell, system for modifying cell and global connection routing method
US17/074,999 Active 2036-04-17 US11675954B2 (en) 2015-07-30 2020-10-20 Method of designing a device

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US14/813,483 Active US9846759B2 (en) 2015-07-30 2015-07-30 Global connection routing method and system for performing the same
US15/845,101 Active 2035-11-13 US10552568B2 (en) 2015-07-30 2017-12-18 Method of modifying cell and global connection routing method
US16/776,135 Active US11132488B2 (en) 2015-07-30 2020-01-29 Method of modifying cell, system for modifying cell and global connection routing method

Country Status (3)

Country Link
US (4) US9846759B2 (en)
KR (1) KR101745798B1 (en)
CN (1) CN106407491B (en)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9846759B2 (en) * 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same
US10169523B2 (en) * 2015-08-27 2019-01-01 International Business Machines Corporation Timing constraints formulation for highly replicated design modules
US10515180B1 (en) 2016-12-30 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product to implement snapping for an electronic design
US10402530B1 (en) 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10503858B1 (en) 2016-12-30 2019-12-10 Cadence Design Systems, Inc. Method, system, and computer program product for implementing group legal placement on rows and grids for an electronic design
US10452807B1 (en) * 2017-03-31 2019-10-22 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement for an electronic design
US10565341B2 (en) * 2017-05-15 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Constrained cell placement
KR102387949B1 (en) * 2017-05-24 2022-04-18 삼성전자주식회사 Integrated circuit device
US10642949B2 (en) * 2017-06-07 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell placement site optimization
US10515177B1 (en) 2017-06-29 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement or floor planning for an electronic design
US10509878B1 (en) * 2017-08-28 2019-12-17 Cadence Design Systems, Inc. Systems and methods for routing track assignment
US10741539B2 (en) 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
DE102017127276A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. STANDARD CELLS AND ADAPTATIONS FROM THEREOF WITHIN A STANDARD CELL LIBRARY
US10339252B2 (en) 2017-08-31 2019-07-02 Oracle International Corporation Automated custom circuit layout enhancement
US10559558B2 (en) * 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US11120190B2 (en) * 2017-11-21 2021-09-14 Advanced Micro Devices, Inc. Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level
EP3522044B1 (en) * 2018-01-31 2021-09-01 Nxp B.V. Method of designing an integrated circuit
KR102439861B1 (en) * 2018-02-14 2022-09-02 삼성전자주식회사 Electronic device and method for fabricating semiconductor chip
KR102373540B1 (en) * 2018-04-19 2022-03-11 삼성전자주식회사 Integrated circuit including standard cell and method and system for fabricating the same
US10671793B1 (en) * 2018-07-31 2020-06-02 Cadence Design Systems, Inc. Editing of layout designs for fixing DRC violations
US11030372B2 (en) * 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US11126780B1 (en) * 2018-11-12 2021-09-21 Synopsys, Inc. Automatic net grouping and routing
US11387229B2 (en) 2019-06-14 2022-07-12 Samsung Electronics Co., Ltd. Semiconductor device
KR20220041280A (en) 2020-09-24 2022-04-01 삼성전자주식회사 Semiconductor devices
CN112257206B (en) * 2020-09-30 2023-06-16 上海兆芯集成电路有限公司 Power supply network design method and power supply network model
CN112257383B (en) * 2020-10-30 2022-11-01 上海兆芯集成电路有限公司 Method for detecting standard cell
CN116976272B (en) * 2023-09-21 2023-12-22 华芯巨数(杭州)微电子有限公司 Integrated circuit design optimization wiring method, electronic equipment and storage medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050273748A1 (en) * 2004-06-04 2005-12-08 Asmus Hetzel Local preferred direction routing
US20090144688A1 (en) * 2007-12-03 2009-06-04 Taku Uchino Systems and Methods for Probabilistic Interconnect Planning
US20110185329A1 (en) * 2010-01-28 2011-07-28 Synopsys, Inc. Generating and using route fix guidance
US9183343B1 (en) * 2012-08-31 2015-11-10 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing high current carrying interconnects in electronic designs

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5295082A (en) * 1989-02-22 1994-03-15 The Boeing Company Efficient method for multichip module interconnect
US5856927A (en) * 1995-05-01 1999-01-05 Vlsi Technology, Inc. Method for automatically routing circuits of very large scale integration (VLSI)
US6664620B2 (en) * 1999-06-29 2003-12-16 Intel Corporation Integrated circuit die and/or package having a variable pitch contact array for maximization of number of signal lines per routing layer
JP4953526B2 (en) * 2001-07-27 2012-06-13 富士通セミコンダクター株式会社 LSI layout method and apparatus for placing cells with priority to timing
CN100533899C (en) * 2003-01-23 2009-08-26 立维腾制造有限公司 GFCI receptacle having plug blocking means
JP2006155524A (en) 2004-12-01 2006-06-15 Nec Electronics Corp Verification method, verification device and verification program for semiconductor integrated circuit
US7246337B2 (en) * 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
US7492013B2 (en) * 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8435802B2 (en) 2006-05-22 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Conductor layout technique to reduce stress-induced void formations
CN101510772A (en) * 2009-03-19 2009-08-19 智原科技股份有限公司 Output/input circuit with small area
US8407647B2 (en) * 2009-12-17 2013-03-26 Springsoft, Inc. Systems and methods for designing and making integrated circuits with consideration of wiring demand ratio
US8421205B2 (en) 2010-05-06 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Power layout for integrated circuits
US9768119B2 (en) 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US8756329B2 (en) * 2010-09-15 2014-06-17 Oracle International Corporation System and method for parallel multiplexing between servers in a cluster
US8726217B2 (en) * 2011-01-20 2014-05-13 GlobalFoundries, Inc. Methods for analyzing cells of a cell library
US8513978B2 (en) 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US8661389B2 (en) 2011-04-12 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8418113B1 (en) * 2011-10-03 2013-04-09 International Business Machines Corporation Consideration of local routing and pin access during VLSI global routing
US8698205B2 (en) 2012-05-25 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout having mixed track standard cell
US8949749B2 (en) * 2012-10-23 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout design for electron-beam high volume manufacturing
EP2728499A1 (en) 2012-10-31 2014-05-07 NP Komplete Technologies B.V. Design rule checking
US8826212B2 (en) 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US8788998B2 (en) * 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US9147029B2 (en) 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US9563731B2 (en) 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
US10719653B2 (en) * 2013-10-09 2020-07-21 Synopsys, Inc. Spine routing and pin grouping with multiple main spines
KR101422783B1 (en) * 2013-10-29 2014-07-23 (주)삼원테크 Storage tank with lining panel
US9653393B2 (en) 2013-12-12 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9846759B2 (en) * 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050273748A1 (en) * 2004-06-04 2005-12-08 Asmus Hetzel Local preferred direction routing
US20090144688A1 (en) * 2007-12-03 2009-06-04 Taku Uchino Systems and Methods for Probabilistic Interconnect Planning
US20110185329A1 (en) * 2010-01-28 2011-07-28 Synopsys, Inc. Generating and using route fix guidance
US9183343B1 (en) * 2012-08-31 2015-11-10 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing high current carrying interconnects in electronic designs

Also Published As

Publication number Publication date
US20170032073A1 (en) 2017-02-02
KR20170015070A (en) 2017-02-08
CN106407491B (en) 2019-09-27
US10552568B2 (en) 2020-02-04
KR101745798B1 (en) 2017-06-12
US9846759B2 (en) 2017-12-19
US11675954B2 (en) 2023-06-13
US20200167518A1 (en) 2020-05-28
US11132488B2 (en) 2021-09-28
US20180107780A1 (en) 2018-04-19
CN106407491A (en) 2017-02-15

Similar Documents

Publication Publication Date Title
US11675954B2 (en) Method of designing a device
CN108073754B (en) Method for designing layout
CN107066681B (en) Integrated circuit and computer-implemented method of manufacturing an integrated circuit
US8527930B2 (en) Generating and using route fix guidance
US9087170B2 (en) Cell layout design and method
US11727185B2 (en) System for designing semiconductor device
US9424384B2 (en) Method of density-controlled floorplan design for integrated circuits and integrated circuits
US8949755B2 (en) Analyzing sparse wiring areas of an integrated circuit design
US11637098B2 (en) Pin modification for standard cells
US20140157220A1 (en) Layout design apparatus and layout design method
US10990741B2 (en) Multiple patterning method and system for implementing the method
US10678991B2 (en) Integrated device and method of forming the same
US10628550B2 (en) Method for designing an integrated circuit, and method of manufacturing the integrated circuit
US20220129614A1 (en) Method and system of forming semiconductor device
KR102419645B1 (en) Computer-implemented method and computing system for designing integrated circuit and method of manufacturing integrated circuit
KR20180028252A (en) Integrated Circuit Designing System and Method of Manufacturing Integrated Circuit
US9183343B1 (en) Methods, systems, and articles of manufacture for implementing high current carrying interconnects in electronic designs
US20160203254A1 (en) Methods for reducing congestion region in layout area of ic
KR20170094744A (en) Integrated circuit and computer-implemented method for manufacturing the same
Lin et al. Simultaneous redundant via insertion and line end extension for yield optimization
US20200151297A1 (en) Multi-bit standard cell
Uppula et al. Impact on the Physical Design flow, due to Repositioning the Macros in the Floorplan stage of Video decoder at Lower Technologies
JP2013196186A (en) Design device for semiconductor integrated circuit, design method of semiconductor integrated circuit, design program for semiconductor integrated circuit, recording medium, and data structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, SHENG-HSIUNG;CHANG, JYUN-HAO;CHIANG, TING-WEI;AND OTHERS;REEL/FRAME:054108/0991

Effective date: 20150728

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STCF Information on status: patent grant

Free format text: PATENTED CASE