US20200035601A1 - Semiconductor device having symmetric conductive interconnection patterns - Google Patents

Semiconductor device having symmetric conductive interconnection patterns Download PDF

Info

Publication number
US20200035601A1
US20200035601A1 US16/358,661 US201916358661A US2020035601A1 US 20200035601 A1 US20200035601 A1 US 20200035601A1 US 201916358661 A US201916358661 A US 201916358661A US 2020035601 A1 US2020035601 A1 US 2020035601A1
Authority
US
United States
Prior art keywords
patterns
pattern
conductive interconnection
preliminary
filling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/358,661
Other languages
English (en)
Inventor
Tae-Jung HA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
SK Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK Hynix Inc filed Critical SK Hynix Inc
Assigned to SK Hynix Inc. reassignment SK Hynix Inc. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HA, TAE-JUNG
Publication of US20200035601A1 publication Critical patent/US20200035601A1/en
Priority to US17/189,839 priority Critical patent/US11456252B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Definitions

  • the technology and implementations disclosed in this patent document relate to symmetric conductive interconnection patterns having smaller widths and/or spaces than widths and/or spaces of mask patterns formed in a photolithography process, and a method of forming the same.
  • Exemplary embodiments provide a method of forming conductive interconnection patterns having finer widths and spaces than line widths and intervals of mask patterns formed in a photolithography process.
  • Exemplary embodiments provide a method of forming conductive interconnection patterns having finer widths and spaces than a line width and an interval of a pattern primarily formed by using a single spacer forming technique.
  • a semiconductor device may include a lower interlayer dielectric layer; conductive interconnection pattern structure and filling pattern over the lower interlayer dielectric layer; and an upper interlayer dielectric layer over the conductive interconnection pattern structure and the filling pattern.
  • Each of the conductive interconnection pattern structure may include an intermediate pattern in the center thereof; a first conductive interconnection pattern on a first side surface of the intermediate pattern; and a second conductive interconnection pattern on a second side surface of the intermediate pattern.
  • the first conductive interconnection pattern and the second conductive interconnection pattern may have a symmetrical structure to each other.
  • a method for fabricating a semiconductor device may include forming a stopper layer; forming an intermediate pattern material layer over the stopper layer; forming a plurality of first preliminary intermediate patterns by patterning the intermediate pattern material layer; forming a plurality of second preliminary intermediate patterns by shrinking the first preliminary intermediate patterns; forming a conductive material layer to cover the second preliminary intermediate patterns; forming a plurality of preliminary conductive interconnection patterns by patterning the conductive material layer; forming a filling layer between the preliminary conductive interconnection patterns; and forming a plurality of intermediate patterns, a plurality of conductive interconnection patterns and a plurality of filling patterns by removing top portions of the filling layer, the preliminary conductive interconnection patterns and the second preliminary intermediate patterns.
  • a method for fabricating a semiconductor device may include forming a lower interlayer dielectric layer over a substrate; forming a stopper layer over the lower interlayer dielectric layer; forming first preliminary intermediate patterns over the stopper layer; forming second preliminary intermediate patterns by shrinking the first preliminary intermediate patterns; forming preliminary conductive interconnection patterns to cover top surfaces and both side surfaces of the second preliminary intermediate patterns; forming a filling layer between the preliminary conductive interconnection patterns; forming intermediate patterns with side surfaces, conductive interconnection patterns on side surfaces of the intermediate patterns and filling patterns between the conductive interconnection patterns by removing a top portion of each of the filling layer, the preliminary conductive interconnection patterns and the second preliminary intermediate patterns; forming a capping layer over the intermediate patterns, the conductive interconnection patterns and the filling patterns; and forming an upper interlayer dielectric layer over the capping layer.
  • FIGS. 1 to 9 are cross-sectional views illustrating a method of forming conductive interconnection patterns of a semiconductor memory device in accordance with an embodiment of the disclosure.
  • FIGS. 10 to 15 are cross-sectional views illustrating a method of forming conductive interconnection patterns of a semiconductor memory device in accordance with an embodiment of the disclosure.
  • FIGS. 1 to 9 are cross-sectional views illustrating a method of forming conductive interconnection patterns of a semiconductor device in accordance with an embodiment.
  • a method of forming the conductive interconnection patterns of a semiconductor device may include forming a lower interlayer dielectric layer 20 on a substrate 10 by performing a first deposition process; forming a stopper layer 30 on the lower interlayer dielectric layer 20 by performing a second deposition process; forming an intermediate pattern material layer 40 on the stopper layer 30 by performing a third deposition process; and forming mask patterns M on the intermediate pattern material layer 40 by performing a photolithography process.
  • the substrate 10 may include at least one of a mono-crystalline silicon wafer, an epitaxially grown mono-crystalline silicon layer, or a Silicon-On-Insulator (SOI) layer.
  • the substrate 10 may be a dielectric material covering various electrical circuits.
  • the lower interlayer dielectric layer 20 may include a dielectric material covering various electrical circuits (not illustrated) formed on the substrate 10 .
  • the lower interlayer dielectric layer 20 may include at least one of silicon oxide (SiO 2 ); silicon nitride (SiN); silicon oxynitride (SiON); silicon hydride oxide (SiOH); or silicon carbide oxide (SiCO), or any combination thereof.
  • the first deposition process may include a chemical vapor deposition (CVD) process.
  • the stopper layer 30 may include a dielectric material, denser and harder than both the lower interlayer dielectric layer 20 and the intermediate pattern material layer 40 .
  • the stopper layer 30 may include a material different from, or not included in, the lower interlayer dielectric layer 20 , so that stopper layer 30 has a different etch selectivity from both the lower interlayer dielectric layer 20 and the intermediate pattern material layer 40 .
  • the stopper layer 30 may include at least one of silicon nitride (SiN); silicon oxynitride (SiON); hydrogen (H)-containing material such as silicon hydride oxide (SiOH); carbon (C)-containing material such as silicon carbide oxide (SiCO); silicon carbide nitride (SiCN); or silicon carbide oxynitride (SiCON), or any combination thereof.
  • the second deposition process may include a CVD process to form a silicon nitride layer.
  • the intermediate pattern material layer 40 may include at least one of silicon oxide (SiO 2 ), silicon nitride (SiN), silicon oxynitride (SiON), hydrogen (H)-containing material such as silicon hydride oxide (SiOH), carbon (C)-containing material such as silicon carbide oxide (SiCO), silicon carbide nitride (SiCN), or silicon carbide oxynitride (SiCON), or any combination thereof.
  • the third deposition process may include a CVD process to form a silicon oxide layer.
  • the mask patterns M may include organic patterns containing an organic polymeric material such as photoresist, and/or other inorganic patterns such as silicon oxide (SiO 2 ), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide nitride (SiCN), or silicon carbide oxynitride (SiCON).
  • organic patterns containing an organic polymeric material such as photoresist
  • other inorganic patterns such as silicon oxide (SiO 2 ), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide nitride (SiCN), or silicon carbide oxynitride (SiCON).
  • Horizontal widths W 1 of the mask patterns M may be substantially equal or similar to horizontal intervals W 2 between the mask patterns M.
  • the horizontal widths W 1 of the mask patterns M and the horizontal intervals W 2 between the mask patterns M may be dimensions that are at or close to the minimum resolution of photolithography processes.
  • the minimum resolution may represent or refer to the minimum widths and/or minimum intervals within patterns that may be formed in any given photolithography apparatus.
  • the method may include patterning the intermediate pattern material layer 40 by performing a first etch process using the mask patterns M as etch masks.
  • the intermediate pattern material layer 40 may be patterned into first preliminary intermediate patterns 41 .
  • Each of the first preliminary intermediate patterns 41 may have a line or bar-like shape extending horizontally.
  • First trench spaces TS 1 may be present between the first preliminary intermediate patterns 41 .
  • the stopper layer 30 may be exposed between the first preliminary intermediate patterns 41 .
  • vertical heights and horizontal widths, illustrated in FIG. 2 of the mask patterns M may be reduced.
  • the method may include removing the mask patterns M by performing an ashing process or a stripping process, for example.
  • the ashing process may include an oxygen (O 2 ) plasma process.
  • the stripping process may include a sulfuric acid boiling process and a wet removing process using hydrofluoric acid or phosphoric acid.
  • the first horizontal widths Wp 1 of the first preliminary intermediate patterns 41 may be substantially equal or similar to or smaller than the horizontal widths W 1 of the mask patterns M.
  • the first horizontal widths Ws 1 of the first trench spaces TS 1 may be substantially equal or similar to or greater than the horizontal intervals W 2 between the mask patterns M.
  • the method may include forming second preliminary intermediate patterns 42 by shrinking the first preliminary intermediate patterns 41 by performing a shrinking process.
  • the shrinking process may include a soft etch process using a diluted etchant or a strong cleaning process using concentrated cleaning fluid.
  • the forming of the second preliminary intermediate patterns 42 may include partially removing the upper and all side portions or parts of the first preliminary intermediate patterns 41 by performing an isotropic etch process or the like.
  • the first preliminary intermediate patterns 41 are reduced in size to the second preliminary intermediate patterns 42 .
  • the first trench spaces TS 1 may be transformed into widened second trench spaces TS 2 as material is removed from side areas or regions of first preliminary intermediate patterns 41 .
  • the shrinking process may include widening the first trench spaces TS 1 to form the second trench spaces TS 2 .
  • Horizontal widths Ws 2 of the second trench spaces TS 2 may be approximately three times greater than horizontal widths Wp 2 of the second preliminary intermediate patterns 42 .
  • the ratio of the dimensions of horizontal widths Wp 2 to horizontal widths Ws 2 may be 3:1.
  • Vertical heights, i.e., thicknesses may shrink in a half proportion of the first preliminary intermediate patterns 41 .
  • the method may further include entirely forming a conductive material layer 60 to cover the exposed surfaces of second preliminary intermediate patterns 42 by performing a deposition process.
  • This may be the fourth deposition process in the method.
  • the conductive material layer 60 may include a conductor such as a metal.
  • the conductive material layer 60 may completely cover the second preliminary intermediate patterns 42 .
  • the conductive material layer 60 may be formed on, for example in a semi-conformal manner, along the profiles of the second preliminary intermediate patterns 42 .
  • the deposition process may include a sputtering process, a Physical Vapor Deposition (PVD) process or a CVD process to form a metal layer.
  • PVD Physical Vapor Deposition
  • the method may further include forming first preliminary conductive interconnection patterns 61 by blanket-etching the conductive material layer 60 in a second etch process.
  • the second etch process may include an anisotropic etch-back process.
  • the second etch process may include a physical sputtering etch process.
  • the first preliminary conductive interconnection patterns 61 may be formed in a mound or sheath-like shapes covering or surrounding the second preliminary intermediate patterns 42 using the etch-back process to form a spacer shape.
  • the first preliminary conductive interconnection patterns 61 may completely cover the top and side surfaces of the second preliminary intermediate patterns 42 .
  • the surface of the stopper layer 30 may be exposed between the first preliminary conductive interconnection patterns 61 .
  • the first preliminary conductive interconnection patterns 61 may be converted into or configured for use as individual patterns that are physically and electrically separated from one another.
  • the method may further include wholly or entirely forming a filling layer 70 covering the first preliminary conductive interconnection patterns 61 by performing a deposition process. This may be a fifth deposition process.
  • the filling layer 70 may fill spaces between the first preliminary conductive interconnection patterns 61 .
  • the filling layer 70 may include at least one of silicon oxide (SiO 2 ); silicon nitride (SiN); or silicon oxynitride (SiON), or any combination thereof.
  • the deposition process may include a CVD process to form a silicon oxide layer.
  • the method may further include forming conductive pattern structures 100 A and filling patterns 71 by partially removing upper portions or regions of the filling layer 70 ; the first preliminary conductive interconnection patterns 61 ; and the second preliminary intermediate patterns 42 by performing a Chemical Mechanical Polishing (CMP) process.
  • Each resulting conductive pattern structure 100 A may include intermediate patterns 43 between or sandwiched by conductive interconnection patterns 62 L and 62 R.
  • the conductive pattern structure 100 A includes an intermediate pattern 43 positioned in the center, left conductive interconnection patterns 62 L positioned on the left side of the intermediate pattern 43 , and right conductive interconnection pattern 62 R positioned on the right side of the intermediate pattern 43 .
  • Two or more conductive pattern structures 100 A may be formed spaced apart on stopper layer 30 .
  • the filling patterns 71 may be formed between the conductive pattern structures 100 A and on stopper layer 30 .
  • the left conductive interconnection patterns 62 L may be formed on left side surfaces of the intermediate patterns 43
  • right conductive interconnection patterns 62 R may be formed on the right side surfaces of the intermediate patterns 43 .
  • Each of the left conductive interconnection patterns 62 L may have a right side surface, closer to in contact with the intermediate pattern 43 , that is substantially vertical and flat, and a left side surface that is non-planar and tapered in a vertical direction, from a wider base (wider lower portion) closer to or in contact with the surface of the stopper layer 30 to a narrower upper portion.
  • a cross-section of the left side surface of the conductive interconnection pattern 62 L may be rounded and inclined, for example by following a circular or elliptical arc, so that the upper portion is narrower, and the lower portion is wider.
  • Each of the right conductive interconnection patterns 62 R may have a left side surface, closer to or in contact with the intermediate pattern 43 , that is substantially vertical and flat, and a right side surface that is non-planar and tapered in a vertical direction, from a wider base (wider lower portion) closer to or in contact with the surface of the stopper layer 30 to a narrower upper portion.
  • a cross-section of the right side surface of the conductive interconnection pattern 62 R may be rounded and inclined so that the upper portion is narrower, and the lower portion is wider, for example along a circular or elliptical arc.
  • the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may form a bilaterally symmetrical structure with an intermediate pattern 43 at the center of the structure.
  • the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may be alternately disposed on either side of, to face or opposite to each other, an intermediate pattern 43 .
  • the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may have substantially flat or planar bottom, or lower, surfaces and top, or upper, surfaces.
  • the horizontal widths of the top surfaces of the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may be smaller than Wa, the horizontal widths of the bottom surfaces, closer to or in contact with the stopper layer 30 , of the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R.
  • the filling pattern 71 may be disposed between the conductive pattern structures 100 A.
  • the filling pattern 71 can be formed on the stopper layer 30 in spaces or gaps between the left conductive patterns 62 L of one conductive pattern structure 100 A and the right conductive pattern 62 R of an adjacent conductive pattern structure 100 A.
  • the filling pattern 71 may also be disposed between the right conductive pattern 62 R of one conductive pattern structure 100 A and the left conductive pattern 62 L of another adjacent conductive pattern structure 100 A.
  • Each of the intermediate patterns 43 may have both side surfaces that are substantially vertical and flat closer to or in contact with the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R.
  • Each of the filling patterns 71 may have side surfaces that are non-planar and tapered in a vertical direction, from a narrower base (narrower lower portion) closer to or in contact with the stopper layer 30 to a wider upper surface.
  • both side surfaces of the filling pattern 71 may be negatively rounded so that the upper portion is wider and the lower portion is narrower.
  • the rounded sides may have a cross-section shape that follows a circular or an elliptical arc.
  • the CMP process may include a first CMP process, a second CMP process, and a third CMP process.
  • the first CMP process may mainly remove the filling layer 70 .
  • the second CMP process may remove the filling layer 70 and the preliminary conductive interconnection patterns 61 .
  • the third CMP process may remove the filling layer 70 , the preliminary conductive interconnection patterns 61 , and the second preliminary intermediate patterns 42 .
  • the intermediate patterns 43 , the conductive interconnection patterns 62 L and 62 R, and the filling patterns 71 may be substantially coplanar with one another.
  • the intermediate patterns 43 may be used as a CMP stopper layer.
  • the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may have horizontal widths Wa and/or horizontal intervals Wb closer to or in contact with the stopper layer 30 that are smaller than the minimum horizontal widths W 1 and/or the minimum horizontal intervals W 2 of the limit (marginal) resolution of the photolithography process.
  • a horizontal interval Wb between a left conductive interconnection pattern 62 L and a right conductive interconnection pattern 62 R may be equal or similar to the horizontal width of an intermediate pattern 43 and/or a filling pattern 71 , each of which are less than W 1 and/or W 2 .
  • the sum of the horizontal width Wa of the left or right conductive interconnection pattern 62 L or 62 R and the horizontal interval Wb between the left conductive interconnection pattern 62 L and the right conductive interconnection pattern 62 R may be equal or substantially equal to the minimum horizontal width W 1 and/or the minimum horizontal interval W 2 of the limit resolution.
  • the method may include forming a capping layer 80 on the conductive pattern structures 100 A and the filling patterns 71 by performing a deposition process. This may be the sixth deposition process.
  • the method may further include forming an upper interlayer dielectric layer 90 on the capping layer 80 by performing another deposition process, which can be a seventh deposition process.
  • the capping layer 80 may include a material denser and harder than the material used to form intermediate patterns 43 and the filling patterns 71 .
  • the capping layer 80 may include at least one of silicon nitride (SiN), silicon oxynitride (SiON) or a combination thereof.
  • the sixth deposition process may include a CVD process for depositing silicon nitride (SiN).
  • the upper interlayer dielectric layer 90 may include at least one of silicon oxide (SiO 2 ); silicon nitride (SiN); silicon oxynitride (SiON); silicon hydride oxide (SiOH); or silicon carbide oxide (SiCO), or any combination thereof.
  • the seventh deposition process may include a CVD process to form silicon oxide (SiO 2 ).
  • patterns having finer resolution than the limit (marginal) resolution may be formed using a mask patterns only in a photolithography process.
  • FIGS. 10 to 15 are cross-sectional views illustrating a method of forming conductive interconnection patterns of a semiconductor device in accordance with an embodiment.
  • a method of forming the conductive interconnection patterns of a semiconductor device may include sequentially forming a lower interlayer dielectric layer 20 , a stopper layer 30 , an intermediate pattern material layer 40 and mask patterns M over a substrate 10 , forming first preliminary intermediate patterns 41 by patterning the intermediate pattern material layer 40 , removing the mask patterns M, and forming second preliminary intermediate patterns 42 by shrinking the first preliminary intermediate patterns 41 , by performing the series of processes described above and with reference to FIGS. 1 to 4 , and forming a barrier material layer 50 to cover or surround exposed areas of the second preliminary intermediate patterns 42 and the exposed portions of stopper layer 30 .
  • the barrier material layer 50 may be conformally formed on the top and side surfaces of the second preliminary intermediate patterns 42 and the exposed surfaces of the stopper layer 30 .
  • the barrier material layer 50 may include at least one of conductive barrier materials such as titanium nitride (TiN); tantalum nitride (TaN); or tungsten nitride (WN), or dielectric barrier materials such as silicon nitride (SiN) or silicon oxynitride (SiON).
  • the barrier material layer 50 may be formed by performing a PVD process or a CVD process.
  • the method may further include forming a conductive material layer 60 wholly or entirely covering the exposed surface of barrier material layer 50 by performing the process described above and with reference to FIG. 5 .
  • the barrier material layer 50 may enhance the adhesion between the second preliminary intermediate patterns 42 and the conductive material layer 60 .
  • the method may further include forming preliminary conductive interconnection patterns 61 by blanket-etching the conductive material layer 60 by performing the process described above and with reference to FIG. 6 , and forming preliminary barrier patterns 51 by sequentially etching the barrier material layer 50 to create gaps or spaces in the conductive material layer 60 and the barrier material layer 50 closer to or in contact with the stopper layer 30 . As a result, portions of the upper surface of the stopper layer 30 may be exposed between the preliminary conductive interconnection patterns 61 and the preliminary barrier patterns 51 .
  • the method may further include forming a filling layer 70 across the resultant structure by performing the process described above and with reference to FIG. 7 .
  • the method may further include forming a conductive pattern structure 100 B and filling patterns 71 by partially removing upper regions of the filling layer 70 , the preliminary conductive interconnection patterns 61 , the preliminary barrier patterns 51 , and the second preliminary intermediate patterns 42 by performing CMP processes.
  • the conductive pattern structure 100 B may include an intermediate pattern 43 , a left barrier pattern 52 L, a right barrier pattern 52 R, a left conductive interconnection pattern 62 L, and a right conductive interconnection pattern 62 R.
  • the filling patterns 71 may be disposed between the conductive pattern structures 100 B.
  • Each of the intermediate patterns 43 may have both side surfaces that are substantially vertical and flat closer to or in contact with the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R.
  • Each of the filling patterns 71 may have both side surfaces that are non-planar and tapered in a vertical direction, from a narrower base closer to or in contact with the stopper layer 30 to a wider upper surface.
  • both side surfaces of the filling pattern 71 may be rounded negatively so that the upper portion is wider, and the lower portion is narrower.
  • the rounded sides may have a cross-section shape that that follows a circular or an elliptical arc.
  • Each of the left barrier patterns 52 L may include a vertical portion between the left side surface of an intermediate pattern 43 and the right side surface of a left conductive interconnection pattern 62 L, and a horizontal portion between the bottom, or lower, surface of the left conductive interconnection pattern 62 L and the top surface of the stopper layer 30 .
  • Each of the right barrier patterns 52 R may include a vertical portion between the right side surface of an intermediate pattern 43 and the left side surface of a right conductive interconnection pattern 62 R, and a horizontal portion between the bottom surface of the right conductive interconnection pattern 62 R and the top surface of the stopper layer 30 .
  • each of the left barrier patterns 52 L may have an inverted-L shaped cross-section
  • each of the right barrier patterns 52 R may have an L-shaped cross-section. Accordingly, the left barrier patterns 52 L and the right barrier patterns 52 R may form a bilaterally symmetrical structure centered on an intermediate pattern 43 .
  • Each of the left conductive interconnection patterns 62 L may have a right side surface, closer to or in contact with a left barrier pattern 52 L, that is substantially vertical and flat and a left side surface that is non-planar and tapered in a vertical direction, from a wider base closer to or in contact with the stopper layer 30 to a narrower upper portion.
  • a cross-section of the left side surface of a conductive interconnection pattern 62 L may be rounded and inclined, for example by following a circular or elliptical arc, so that the upper portion is narrower and the lower portion is wider.
  • Each of the right conductive interconnection patterns 62 R may have a left side surface, close to or in contact with a right barrier pattern 52 R, that is substantially vertical and flat and a right side surface that is non-planar and tapered in a vertical direction, from a wider base closer to or in contact with the stopper layer 30 to a narrower upper portion.
  • a cross-section of the right side surface of a conductive interconnection pattern 62 R may be rounded and inclined so that the upper portion is narrower and the lower portion is wider, for example along a circular or elliptical arc.
  • the left conductive interconnection patterns 62 L and the right conductive interconnection patterns 62 R may form a bilaterally symmetrical structure with an intermediate pattern 43 at the center of the structure.
  • Each of the filling patterns 71 may have tapered side surfaces with negative slopes.
  • both side surfaces of the filling pattern 71 may be rounded negatively so that the upper portion is wider and the lower portion is narrower.
  • the rounded sides may have a cross-section shape that that follows a circular or an elliptical arc.
  • the intermediate patterns 43 , the left and right barrier patterns 52 L and 52 R, the left and right conductive interconnection patterns 62 L and 62 R and the filling patterns 71 may be substantially coplanar with one another as a result of a CMP process.
  • the intermediate patterns 43 may be used as a CMP stopper layer.
  • the method may further include forming a capping layer 80 on the conductive pattern structures 100 B and forming a top interlayer dielectric layer 90 on the capping layer 80 , by performing the series of processes described above and with reference to FIG. 9 .
  • the conductive interconnection patterns having smaller widths and intervals than the widths and intervals of the mask patterns in a photolithography process.
  • the conductive interconnection patterns having smaller widths and intervals than the widths and intervals of the mask patterns formed in the photolithography process by performing one photolithography process and one etch-back process to form a mound or sheath-like shapes.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US16/358,661 2018-07-24 2019-03-19 Semiconductor device having symmetric conductive interconnection patterns Abandoned US20200035601A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/189,839 US11456252B2 (en) 2018-07-24 2021-03-02 Semiconductor device having symmetric conductive interconnection patterns

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0085972 2018-07-24
KR1020180085972A KR20200011174A (ko) 2018-07-24 2018-07-24 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/189,839 Division US11456252B2 (en) 2018-07-24 2021-03-02 Semiconductor device having symmetric conductive interconnection patterns

Publications (1)

Publication Number Publication Date
US20200035601A1 true US20200035601A1 (en) 2020-01-30

Family

ID=69178619

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/358,661 Abandoned US20200035601A1 (en) 2018-07-24 2019-03-19 Semiconductor device having symmetric conductive interconnection patterns
US17/189,839 Active 2039-04-19 US11456252B2 (en) 2018-07-24 2021-03-02 Semiconductor device having symmetric conductive interconnection patterns

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/189,839 Active 2039-04-19 US11456252B2 (en) 2018-07-24 2021-03-02 Semiconductor device having symmetric conductive interconnection patterns

Country Status (3)

Country Link
US (2) US20200035601A1 (zh)
KR (1) KR20200011174A (zh)
CN (1) CN110783257B (zh)

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994779A (en) 1997-05-02 1999-11-30 Advanced Micro Devices, Inc. Semiconductor fabrication employing a spacer metallization technique
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
JP2001015508A (ja) * 1999-06-28 2001-01-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
KR20090074331A (ko) * 2008-01-02 2009-07-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7799638B2 (en) * 2008-10-31 2010-09-21 Macronix International Co., Ltd Method for forming a memory array
US8836005B2 (en) 2008-10-31 2014-09-16 Macronix International Co., Ltd. Memory array
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
KR20100081019A (ko) * 2009-01-05 2010-07-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101573464B1 (ko) 2009-07-28 2015-12-02 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101756226B1 (ko) 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
CN103515193B (zh) * 2012-06-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件精细图案的制作方法
US8778794B1 (en) * 2012-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection wires of semiconductor devices
US9558999B2 (en) 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
CN105917445B (zh) * 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
JP2015138914A (ja) * 2014-01-23 2015-07-30 マイクロン テクノロジー, インク. 半導体装置の製造方法
WO2015126812A1 (en) * 2014-02-23 2015-08-27 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US9293343B2 (en) * 2014-07-02 2016-03-22 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
KR20160084248A (ko) * 2015-01-05 2016-07-13 에스케이하이닉스 주식회사 미세 패턴의 형성방법
US10128188B2 (en) 2016-03-28 2018-11-13 International Business Machines Corporation High aspect ratio contact metallization without seams
WO2018111289A1 (en) * 2016-12-16 2018-06-21 Intel Corporation Interconnects provided by subtractive metal spacer based deposition
US10615117B2 (en) 2016-12-29 2020-04-07 Intel Corporation Self-aligned via
CN110024105B (zh) 2016-12-31 2024-05-28 英特尔公司 用于改善短路裕量的硬化插塞

Also Published As

Publication number Publication date
CN110783257B (zh) 2023-11-17
US11456252B2 (en) 2022-09-27
CN110783257A (zh) 2020-02-11
KR20200011174A (ko) 2020-02-03
US20210183769A1 (en) 2021-06-17

Similar Documents

Publication Publication Date Title
CN109326521B (zh) 多重图案化方法
US10347729B2 (en) Device for improving performance through gate cut last process
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
US8962432B2 (en) Semiconductor device with self aligned end-to-end conductive line structure and method for forming the same
US11594419B2 (en) Reduction of line wiggling
CN111129148A (zh) 半导体装置的形成方法
US20220238716A1 (en) Fin field effect transistor (finfet) device structure and method for forming the same
US9905424B1 (en) Self-aligned non-mandrel cut formation for tone inversion
US10256140B2 (en) Method of reducing overlay error in via to grid patterning
US10008409B2 (en) Method for fabricating a semiconductor device
US10651076B2 (en) Method for defining patterns for conductive paths in dielectric layer
CN114220858A (zh) 半导体装置
CN111415861A (zh) 形成图案的方法和使用该方法制造半导体装置的方法
US8624394B2 (en) Integrated technology for partial air gap low K deposition
US10998227B2 (en) Metal insulator metal capacitor with extended capacitor plates
US11456252B2 (en) Semiconductor device having symmetric conductive interconnection patterns
CN112750773B (zh) 生产接触晶体管的栅极和源极/漏极通孔连接的方法
US10395978B2 (en) Method of patterning target layer
US11264271B2 (en) Semiconductor fabrication method for producing nano-scaled electrically conductive lines
US20240030299A1 (en) Semiconductor device and manufacturing method thereof
US20220384270A1 (en) Semiconductor Device and Method
CN118338671A (zh) 半导体装置
CN113314464A (zh) 半导体装置与其制作方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: SK HYNIX INC., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HA, TAE-JUNG;REEL/FRAME:048642/0252

Effective date: 20190304

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION COUNTED, NOT YET MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION