US20170213698A1 - Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage - Google Patents

Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage Download PDF

Info

Publication number
US20170213698A1
US20170213698A1 US15/481,677 US201715481677A US2017213698A1 US 20170213698 A1 US20170213698 A1 US 20170213698A1 US 201715481677 A US201715481677 A US 201715481677A US 2017213698 A1 US2017213698 A1 US 2017213698A1
Authority
US
United States
Prior art keywords
shots
dosage
shot
pattern
shaped beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/481,677
Inventor
Akira Fujimura
Harold Robert Zable
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/202,364 external-priority patent/US7759026B2/en
Priority claimed from US12/473,241 external-priority patent/US7754401B2/en
Priority claimed from US12/540,322 external-priority patent/US8057970B2/en
Priority claimed from US13/037,263 external-priority patent/US20120221985A1/en
Application filed by D2S Inc filed Critical D2S Inc
Priority to US15/481,677 priority Critical patent/US20170213698A1/en
Assigned to D2S, INC. reassignment D2S, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJIMURA, AKIRA, ZABLE, HAROLD ROBERT
Publication of US20170213698A1 publication Critical patent/US20170213698A1/en
Priority to US16/118,074 priority patent/US20180374675A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/7025Size or form of projection system aperture, e.g. aperture stops, diaphragms or pupil obscuration; Control thereof
    • G06F17/5068
    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Definitions

  • the present disclosure is related to lithography, and more particularly to the design and manufacture of a surface which may be a reticle, a wafer, or any other surface, using charged particle beam lithography.
  • optical lithography may be used to fabricate the semiconductor devices.
  • Optical lithography is a printing process in which a lithographic mask or photomask manufactured from a reticle is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit (I.C.).
  • substrates could include flat panel displays, holographic masks or even other reticles.
  • conventional optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography are also considered types of optical lithography in this application.
  • EUV extreme ultraviolet
  • X-ray lithography are also considered types of optical lithography in this application.
  • the reticle or multiple reticles may contain a circuit pattern corresponding to an individual layer of the integrated circuit, and this pattern can be imaged onto a certain area on the substrate that has been coated with a layer of radiation-sensitive material known as photoresist or resist.
  • the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate. If several layers are required, then the whole process or variations thereof will be repeated for each new layer. Eventually, a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits may then be separated from one another by dicing or sawing and then may be mounted into individual packages.
  • the patterns on the substrate may be used to define artifacts such as display pixels, holograms, or magnetic recording heads.
  • Conventional optical lithography writing machines typically reduce the photomask pattern by a factor of four during the optical lithographic process. Therefore, patterns formed on the reticle or mask must be four times larger than the size of the desired pattern on the substrate or wafer.
  • Nanoimprint lithography is an example of a non-optical lithography process.
  • a lithographic mask pattern is transferred to a surface through contact of the lithography mask with the surface.
  • maskless direct write may also be used to fabricate the semiconductor devices.
  • Maskless direct write is a printing process in which charged particle beam lithography is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit.
  • Other substrates could include flat panel displays, imprint masks for nanoimprint lithography, or even reticles. Desired patterns of a layer are written directly on the surface, which in this case is also the substrate. Once the patterned layer is transferred the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate.
  • the whole process or variations thereof will be repeated for each new layer.
  • Some of the layers may be written using optical lithography while others may be written using maskless direct write to fabricate the same substrate.
  • some patterns of a given layer may be written using optical lithography, and other patterns written using maskless direct write.
  • a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits are then separated from one another by dicing or sawing and then mounted into individual packages.
  • the patterns on the surface may be used to define artifacts such as display pixels, holograms, or magnetic recording heads.
  • variable shaped beam and character projection (CP).
  • VSB variable shaped beam
  • CP character projection
  • VSB variable shaped beam
  • CP character projection
  • VSB these shapes are simple shapes, usually limited to rectangles of certain minimum and maximum sizes and with sides which are parallel to the axes of a Cartesian coordinate plane (i.e. of “manhattan” orientation), and 45 degree right triangles (i.e. triangles with their three internal angles being 45 degrees, 45 degrees, and 90 degrees) of certain minimum and maximum sizes.
  • CP character projection
  • apertures or characters which may be complex shapes such as rectilinear, arbitrary-angled linear, circular, nearly circular, annular, nearly annular, oval, nearly oval, partially circular, partially nearly circular, partially annular, partially nearly annular, partially nearly oval, or arbitrary curvilinear shapes, and which may be a connected set of complex shapes or a group of disjointed sets of a connected set of complex shapes.
  • An electron beam can be shot through a character on the stencil to efficiently produce more complex patterns on the reticle.
  • an E-shaped pattern shot with a VSB system takes four shots, but the same E-shaped pattern can be shot with one shot with a character projection system.
  • VSB systems can be thought of as a special (simple) case of character projection, where the characters are just simple characters, usually rectangles or 45-45-90 degree triangles.
  • partially expose a character This can be done by, for instance, blocking part of the particle beam.
  • the E-shaped pattern described above can be partially exposed as an F-shaped pattern or an I-shaped pattern, where different parts of the beam are cut off by an aperture. This is the same mechanism as how various sized rectangles can be shot using VSB.
  • partial projection is used to mean both character projection and VSB projection.
  • the lithographic mask or reticle comprises geometric patterns corresponding to the circuit components to be integrated onto a substrate.
  • the patterns used to manufacture the reticle may be generated utilizing computer-aided design (CAD) software or programs.
  • CAD computer-aided design
  • the CAD program may follow a set of pre-determined design rules in order to create the reticle.
  • These rules are set by processing, design, and end-use limitations.
  • An example of an end-use limitation is defining the geometry of a transistor in a way in which it cannot sufficiently operate at the required supply voltage.
  • design rules can define the space tolerance between circuit devices or interconnect lines. The design rules are, for example, used to ensure that the circuit devices or lines do not interact with one another in an undesirable manner.
  • the design rules are used so that lines do not get too close to each other in a way that may cause a short circuit.
  • the design rule limitations reflect, among other things, the smallest dimensions that can be reliably fabricated. When referring to these small dimensions, one usually introduces the concept of a critical dimension. These are, for instance, defined as the smallest width of a line or the smallest space between two lines, those dimensions requiring extraordinarily control.
  • One goal in integrated circuit fabrication by optical lithography is to reproduce the original circuit design on the substrate by use of the reticle.
  • Integrated circuit fabricators are always attempting to use the semiconductor wafer real estate as efficiently as possible.
  • Engineers keep shrinking the size of the circuits to allow the integrated circuits to contain more circuit elements and to use less power.
  • the critical dimension of the circuit pattern or physical design approaches the resolution limit of the optical exposure tool used in conventional optical lithography.
  • the critical dimensions of the circuit pattern become smaller and approach the resolution value of the exposure tool, the accurate transcription of the physical design to the actual circuit pattern developed on the resist layer becomes difficult.
  • OPC optical proximity correction
  • OPC may add sub-resolution lithographic features to mask patterns to reduce differences between the original physical design pattern, that is, the design, and the final transferred circuit pattern on the substrate.
  • the sub-resolution lithographic features interact with the original patterns in the physical design and with each other and compensate for proximity effects to improve the final transferred circuit pattern.
  • One feature that is used to improve the transfer of the pattern is a sub-resolution assist feature (SRAF).
  • SRAF sub-resolution assist feature
  • Serifs are small features that can be positioned on an interior or exterior corner of a pattern to sharpen the corner in the final transferred image.
  • OPC-decorated patterns to be written on a reticle in terms of designed features, that is features that reflect the design before OPC decoration, and OPC features, where OPC features might include serifs, jogs, and SRAF.
  • designed features that is features that reflect the design before OPC decoration
  • OPC features might include serifs, jogs, and SRAF.
  • a typical slight variation in OPC decoration from neighborhood to neighborhood might be 5% to 80% of a designed feature size. Note that for clarity, variations in the design of the OPC are what is being referenced. Manufacturing variations such as corner rounding will also be present in the actual surface patterns.
  • OPC variations produce substantially the same patterns on the wafer, what is meant is that the geometry on the wafer is targeted to be the same within a specified error, which depends on the details of the function that that geometry is designed to perform, e.g., a transistor or a wire. Nevertheless, typical specifications are in the 2%-50% of a designed feature range. There are numerous manufacturing factors that also cause variations, but the OPC component of that overall error is often in the range listed.
  • OPC shapes such as sub-resolution assist features are subject to various design rules, such as a rule based on the size of the smallest feature that can be transferred to the wafer using optical lithography.
  • ILT Inverse lithography technology
  • ILT is a process in which a pattern to be formed on a reticle is directly computed from a pattern which is desired to be formed on a substrate such as a silicon wafer. This may include simulating the optical lithography process in the reverse direction, using the desired pattern on the substrate as input.
  • ILT-computed reticle patterns may be purely curvilinear—i.e. completely non-rectilinear—and may include circular, nearly circular, annular, nearly annular, oval and/or nearly oval patterns.
  • ILT curvilinear patterns are difficult and expensive to form on a reticle using conventional techniques
  • rectilinear approximations or rectilinearizations of the curvilinear patterns may be used.
  • the rectilinear approximations decrease accuracy, however, compared to the ideal ILT curvilinear patterns. Additionally, if the rectilinear approximations are produced from the ideal ILT curvilinear patterns, the overall calculation time is increased compared to ideal ILT curvilinear patterns.
  • ILT, OPC, source mask optimization (SMO), and computational lithography are terms that are used interchangeably.
  • VSD variable shaped beam
  • the doses or shots of electrons are conventionally designed to avoid overlap wherever possible, so as to greatly simplify calculation of how the resist on the reticle will register the pattern.
  • the set of shots is designed so as to completely cover the pattern area that is to be formed on the reticle.
  • 7,754,401 owned by the assignee of the present patent application and incorporated by reference for all purposes, discloses a method of mask writing in which intentional shot overlap for writing patterns is used. When overlapping shots are used, charged particle beam simulation can be used to determine the pattern that the resist on the reticle will register. Use of overlapping shots may allow patterns to be written with reduced shot count.
  • U.S. Pat. No. 7,754,401 also discloses use of dose modulation, where the assigned dosages of shots vary with respect to the dosages of other shots. The term model-based fracturing is used to describe the process of determining shots using the techniques of U.S. Pat. No. 7,754,401.
  • Reticle writing for the most advanced technology nodes typically involves multiple passes of charged particle beam writing, a process called multi-pass exposure, whereby the given shape on the reticle is written and overwritten.
  • multi-pass exposure typically, two to four passes are used to write a reticle to average out precision errors in the charged particle beam writer, allowing the creation of more accurate photomasks.
  • the list of shots, including the dosages is the same for every pass.
  • the lists of shots may vary among exposure passes, but the union of the shots in any exposure pass covers the same area.
  • Multi-pass writing can reduce over-heating of the resist coating the surface.
  • Multi-pass writing also averages out random errors of the charged particle beam writer. Multi-pass writing using different shot lists for different exposure passes can also reduce the effects of certain systemic errors in the writing process.
  • a method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated.
  • a method for forming patterns on a surface in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated.
  • FIG. 1 illustrates an example of a character projection particle beam system
  • FIG. 2A illustrates an example of a single charged particle beam shot and a cross-sectional dosage graph of the shot
  • FIG. 2B illustrates an example of a pair of proximate shots and a cross-sectional dosage graph of the shot pair
  • FIG. 2C illustrates an example of a pattern formed on a resist-coated surface from the pair of FIG. 2B shots
  • FIG. 3A illustrates an example of a polygonal pattern
  • FIG. 3B illustrates an example of a conventional fracturing of the polygonal pattern of FIG. 3A ;
  • FIG. 3C illustrates an example of an alternate fracturing of the polygonal pattern of FIG. 3A ;
  • FIG. 4A illustrates an example of a shot outline from a rectangular shot
  • FIG. 4B illustrates an example of a longitudinal dosage curve for the shot of FIG. 4A using a normal shot dosage
  • FIG. 4C illustrates an example of a longitudinal dosage curve similar to FIG. 4B , with long-range effects included;
  • FIG. 4D illustrates an example of a longitudinal dosage curve for the shot of FIG. 4A using a higher than normal shot dosage
  • FIG. 4E illustrates an example of a longitudinal dosage curve similar to FIG. 4D , with long-range effects included;
  • FIG. 4F illustrates an example of a longitudinal dosage curve similar to FIG. 4E , but with a higher background dosage level
  • FIG. 5A illustrates an example of a circular pattern to be formed on a surface
  • FIG. 5B illustrates an example of outlines of nine shots which can form the pattern of FIG. 5A ;
  • FIG. 6A illustrates a square pattern to be formed on a surface
  • FIG. 6B illustrates a single-shot method of forming the pattern of FIG. 6A on a surface
  • FIG. 6C illustrates an example of a method of forming the pattern of FIG. 6A on a surface by another embodiment of the current invention
  • FIG. 6D illustrates an example of a method of forming the pattern of FIG. 6A on a surface by yet another embodiment of the current invention
  • FIG. 7 illustrates a conceptual flow diagram of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 8 illustrates a conceptual flow diagram of how to prepare a surface for use in fabricating a substrate such as an integrated circuit on a silicon wafer.
  • the present disclosure describes a method for fracturing patterns into shots for a charged particle beam writer, where overlapping shots are generated to improve the accuracy and/or the dose margin of the pattern written to a surface.
  • the dose margin improvement reduces dimensional changes in the written pattern which are associated with process variations.
  • FIG. 1 illustrates an embodiment of a conventional lithography system 100 , such as a charged particle beam writer system, in this case an electron beam writer system, that employs character projection to manufacture a surface 130 .
  • the electron beam writer system 100 has an electron beam source 112 that projects an electron beam 114 toward an aperture plate 116 .
  • the plate 116 has an aperture 118 formed therein which allows the electron beam 114 to pass. Once the electron beam 114 passes through the aperture 118 it is directed or deflected by a system of lenses (not shown) as electron beam 120 toward another rectangular aperture plate or stencil mask 122 .
  • the stencil 122 has formed therein a number of openings or apertures 124 that define various types of characters 126 , which may be complex characters. Each character 126 formed in the stencil 122 may be used to form a pattern 148 on a surface 130 of a substrate 132 , such as a silicon wafer, a reticle or other substrate. In partial exposure, partial projection, partial character projection, or variable character projection, electron beam 120 may be positioned so as to strike or illuminate only a portion of one of the characters 126 , thereby forming a pattern 148 that is a subset of character 126 .
  • a blanking area 136 For each character 126 that is smaller than the size of the electron beam 120 defined by aperture 118 , a blanking area 136 , containing no aperture, is designed to be adjacent to the character 126 , so as to prevent the electron beam 120 from illuminating an unwanted character on stencil 122 .
  • An electron beam 134 emerges from one of the characters 126 and passes through an electromagnetic or electrostatic reduction lens 138 which reduces the size of the pattern from the character 126 . In commonly available charged particle beam writer systems, the reduction factor is between 10 and 60 .
  • the reduced electron beam 140 emerges from the reduction lens 138 , and is directed by a series of deflectors 142 onto the surface 130 as the pattern 148 , which is depicted as being in the shape of the letter “H” corresponding to character 126 A.
  • the pattern 148 is reduced in size compared to the character 126 A because of the reduction lens 138 .
  • the pattern 148 is drawn by using one shot of the electron beam system 100 . This reduces the overall writing time to complete the pattern 148 as compared to using a variable shape beam (VSB) projection system or method.
  • one aperture 118 is shown being formed in the plate 116 , it is possible that there may be more than one aperture in the plate 116 .
  • two plates 116 and 122 are shown in this example, there may be only one plate or more than two plates, each plate comprising one or more apertures.
  • Stencil mask 122 also contains apertures for VSB shots, such as rectangular aperture 125 .
  • the reduction lens 138 is calibrated to provide a fixed reduction factor.
  • the reduction lens 138 and/or the deflectors 142 also focus the beam on the plane of the surface 130 .
  • the size of the surface 130 may be significantly larger than the maximum beam deflection capability of the deflection plates 142 . Because of this, patterns are normally written on the surface in a series of stripes. Each stripe contains a plurality of sub-fields, where a sub-field is within the beam deflection capability of the deflection plates 142 .
  • the electron beam writer system 100 contains a positioning mechanism 150 to allow positioning the substrate 132 for each of the stripes and sub-fields.
  • the substrate 132 is held stationary while a sub-field is exposed, after which the positioning mechanism 150 moves the substrate 132 to the next sub-field position.
  • the substrate 132 moves continuously during the writing process.
  • the substrate 132 may be a reticle. In this embodiment, the reticle, after being exposed with the pattern, undergoes various manufacturing steps through which it becomes a lithographic mask or photomask.
  • the mask may then be used in an optical lithography machine to project an image of the reticle pattern 148 , generally reduced in size, onto a silicon wafer to produce an integrated circuit. More generally, the mask is used in another device or machine to transfer the pattern 148 on to a substrate. In another embodiment the substrate 132 may be a silicon wafer.
  • the minimum size pattern that can be projected with reasonable accuracy onto a surface 130 is limited by a variety of short-range physical effects associated with the electron beam writer system 100 and with the surface 130 , which normally comprises a resist coating on the substrate 132 . These effects include forward scattering, Coulomb effect, and resist diffusion. Beam blur, also called ⁇ f , is a term used to include all of these short-range effects. The most modern electron beam writer systems can achieve an effective beam blur radius or ⁇ f in the range of 20 nm to 30 nm. Forward scattering may constitute one quarter to one half of the total beam blur. Modern electron beam writer systems contain numerous mechanisms to reduce each of the constituent pieces of beam blur to a minimum.
  • ⁇ f of two particle beam writers of the same design may differ.
  • the diffusion characteristics of resists may also vary. Variation of ⁇ f based on shot size or shot dose can be simulated and systemically accounted for. But there are other effects that cannot or are not accounted for, and they appear as random variation.
  • the shot dosage of a charged particle beam writer such as an electron beam writer system is a function of the intensity of the beam source 112 and the exposure time for each shot.
  • the beam intensity remains fixed, and the exposure time is varied to obtain variable shot dosages.
  • the exposure time may be varied to compensate for various long-range effects such as backscatter and fogging in a process called proximity effect correction (PEC).
  • Electron beam writer systems usually allow setting an overall dosage, called a base dosage, which affects all shots in an exposure pass. Some electron beam writer systems perform dosage compensation calculations within the electron beam writer system itself, and do not allow the dosage of each shot to be assigned individually as part of the input shot list, the input shots therefore having unassigned shot dosages. In such electron beam writer systems all shots have the base dosage, before PEC.
  • electron beam writer systems do allow dosage assignment on a shot-by-shot basis.
  • the number of available dosage levels may be 64 to 4096 or more, or there may be a relatively few available dosage levels, such as 3 to 8 levels.
  • Some embodiments of the current invention are targeted for use with charged particle beam writing systems which allow assignment of one of a relatively few dosage levels.
  • FIGS. 2A-B illustrate how energy is registered on a resist-coated surface from one or more charged particle beam shots.
  • rectangular pattern 202 illustrates a shot outline, which is a pattern that will be produced on a resist-coated surface from a shot which is not proximate to other shots. The corners of pattern 202 are rounded due to beam blur.
  • dosage curve 212 illustrates the cross-sectional dosage along a line 204 through shot outline 202 .
  • Line 214 denotes the resist threshold, which is the dosage above which the resist will register a pattern. As can be seen from dosage graph 210 , dosage curve 212 is above the resist threshold between the X-coordinates “a” and “b”.
  • the shot dosage for the shot in the example of FIG. 2A is a normal dosage, as marked on dosage graph 210 .
  • the normal dosage is set so that a relatively large rectangular shot will register a pattern of the desired size on the resist-coated surface, in the absence of long-range effects. The normal dosage therefore depends on the value of the resist threshold 214 .
  • FIG. 2B illustrates the shot outlines of two particle beam shots, and the corresponding dosage curve. Shot outline 222 and shot outline 224 result from two proximate particle beam shots.
  • dosage curve 230 illustrates the dosage along the line 226 through shot outlines 222 and 224 .
  • the dosage registered by the resist along line 226 is the combination, such as the sum, of the dosages from two particle beam shots, represented by shot outline 222 and shot outline 224 .
  • dosage curve 230 is above the threshold 214 from X-coordinate “a” to X-coordinate “d”. This indicates that the resist will register the two shots as a single shape, extending from coordinate “a” to coordinate “d”.
  • FIG. 2C illustrates a pattern 252 that the two shots from the example of FIG. 2B may form.
  • the variable width of pattern 252 is the result of the gap between shot outline 222 and shot outline 224 , and illustrates that a gap between the shots 222 and 224 causes dosage to drop below threshold near the corners of the shot outlines closest to the gap.
  • the base dosage is conventionally set according to the following equation:
  • FIGS. 3A-C illustrate two known methods of fracturing a polygonal pattern.
  • FIG. 3A illustrates a polygonal pattern 302 that is desired to be formed on a surface.
  • FIG. 3B illustrates a conventional method of forming this pattern using non-overlapping or disjoint shots. Shot outline 310 , shot outline 312 and shot outline 314 , which are marked with X's for clarity, are mutually disjoint. Additionally, the three shots associated with these shot outlines all use a desired normal dosage, before proximity effect correction.
  • An advantage of using the conventional method as shown in FIG. 3B is that the response of the resist can be easily predicted. Also, the shots of FIG.
  • FIG. 3C illustrates an alternate method of forming the pattern 302 on a resist-coated surface using overlapping shots, which is disclosed in U.S. Pat. No. 7,754,401.
  • the constraint that shot outlines cannot overlap has been eliminated, and shot 320 and shot 322 do overlap.
  • allowing shot outlines to overlap enables forming the pattern 302 in only two shots, compared to the three shots of FIG. 3B .
  • the response of the resist to the overlapping shots is not as easily predicted as in FIG.
  • Charged particle beam simulation may be used to determine the pattern registered by the resist.
  • charged particle beam simulation may be used to calculate the dosage for each grid location in a two-dimensional (X and Y) grid, creating a grid of calculated dosages called a dosage map.
  • the results of charged particle beam simulation may indicate use of non-normal dosages for shot 320 and shot 322 .
  • the overlapping of shots in region 332 increases the area dosage—the dosage in the area proximate to pattern 302 —beyond what it would be without shot overlap, thereby increasing backscatter. While the overlap of two individual shots will not increase the area dosage significantly, this technique will increase backscatter if used throughout a design.
  • the size of each pattern instance, as measured on the final manufactured surface will be slightly different, due to manufacturing variations.
  • the amount of the size variation is an essential manufacturing optimization criterion.
  • a root mean square (RMS) variation of no more than 1 nm (1 sigma) may be desired.
  • RMS root mean square
  • More size variation translates to more variation in circuit performance, leading to higher design margins being required, making it increasingly difficult to design faster, lower-power integrated circuits.
  • This variation is referred to as critical dimension (CD) variation.
  • CD critical dimension
  • a low CD variation is desirable, and indicates that manufacturing variations will produce relatively small size variations on the final manufactured surface.
  • LER line edge roughness
  • LER is caused by each part of a line edge being slightly differently manufactured, leading to some waviness in a line that is intended to have a straight edge.
  • CD variation is inversely related to the slope of the dosage curve at the resist threshold, which is called edge slope. Therefore, edge slope, or dose margin, is a critical optimization factor for particle beam writing of surfaces.
  • FIG. 4A illustrates an example of an outline of a rectangular shot 402 .
  • FIG. 4B illustrates an example of a dosage graph 410 illustrating the dosage along the line 404 through shot outline 402 with a normal shot dosage, with no backscatter, such as would occur if shot 402 was the only shot within the range of the backscattering effect, which, as an example, may be 10 microns. Other long-range effects are also assumed to contribute nothing to the background exposure of FIG. 4B , leading to a zero background exposure level.
  • the total dosage delivered to the resist is illustrated on the y-axis, and is 100% of a normal dosage. Because of the zero background exposure, the total dosage and the shot dosage are the same.
  • Dosage graph 410 also illustrates the resist threshold 414 .
  • the CD variation of the shape represented by dosage graph 410 in the x-direction is inversely related to the slope of the dosage curve 412 at x-coordinates “a” and “b” where it intersects the resist threshold
  • FIG. 4B condition of zero background exposure is not reflective of actual designs. Actual designs will typically have many other shots within the backscattering distance of shot 402 .
  • FIG. 4C illustrates an example of a dosage graph 420 of a shot with a normal total dosage with non-zero background exposure 428 . In this example, a background exposure of 20% of a normal dosage is shown.
  • dosage curve 422 illustrates the cross-sectional dosage of a shot similar to shot 402 .
  • the CD variation of curve 422 is worse than the CD variation of curve 412 , as indicated by the lower edge slope where curve 422 intersects resist threshold 424 at points “a” and “b”, due to the background exposure caused by backscatter.
  • FIG. 4D illustrates an example of a dosage graph 430 with a dosage curve 432 which illustrates a total dosage of 150% of normal dosage, with no background exposure. With no background exposure, the shot dosage equals the total dosage.
  • Threshold 434 in FIG. 4D is unchanged from threshold 414 in FIG. 4B .
  • Increasing shot dosage increases the size of a pattern registered by the resist. Therefore, to maintain the size of the resist pattern, illustrated as the intersection points of dosage curve 432 with threshold 434 , the shot size used for dosage graph 430 is somewhat smaller than shot 402 .
  • the slope of dosage curve 432 is higher where it intersects threshold 434 than is the slope of dosage curve 412 where it intersects threshold 414 , indicating a lower, improved, CD variation for the higher-dosage shot of FIG. 4D than for the normal dosage shot of FIG. 4B .
  • FIG. 4E illustrates an example of a dosage graph 440 with the shot dosage adjusted to achieve a total dosage on the resist of 150% of normal dosage with a 20% background exposure, such as would occur if the dosage of only one shot was increased to achieve total dosage of 150% of a normal dosage, and dosage of other shots remained at 100% of normal dosage.
  • the threshold 444 is the same as in FIGS. 4B-4D .
  • the background exposure is illustrated as line 448 .
  • the slopes of dosage curve 442 at x-coordinates “a” and “b” are less than the slopes of dosage curve 432 at x-coordinates “a” and “b” because of the presence of backscatter. Comparing graphs 420 and 440 for the effect of shot dosage, the slope of dosage curve 442 at x-coordinates “a” and “b” is higher than the slope of dosage curve 422 at the same x-coordinates, indicating that improved edge slope can be obtained for a single shot by increasing dosage, if dosages of other shots remain the same.
  • FIG. 4F illustrates an example of a dosage graph 450 , illustrating the case where the dosages of all shots have been increased to 150% of normal dose.
  • Two background dosage levels are shown on dosage graph 450 : a 30% background dose 459 , such as may be produced if all shots use 150% of normal dosage, and a 20% background dose 458 shown for comparison, since 20% is the background dosage in the dosage graph 440 .
  • Dosage curve 452 is based on the 30% background dose 459 . As can be seen, the edge slope of dosage curve 452 at x-coordinates “a” and “b” is less than that of dosage curve 442 at the same points.
  • FIGS. 4A-F illustrate that higher-than-normal dosage can be used selectively to lower CD variation for isolated shapes.
  • Increasing dosage has two undesirable effects, however.
  • the only way for any given shot to avert this problem is to increase dosage and shoot a smaller size. However, doing this increases the backscatter even more. This cycle causes all shots to be at a higher dose, making write times even worse. Therefore, it is better to increase dose only for shots that define the edge.
  • Edge slope or dose margin is an issue only at pattern edges. If, for example, the normal dosage is 2 ⁇ the resist threshold, so as to provide a good edge slope, the interior areas of patterns can have a dosage lower than normal dosage, so long as dosage in all interior areas remains above the resist threshold, after accounting for some margin for manufacturing variation.
  • two methods of reducing the dosage of interior areas of a pattern are disclosed:
  • optimization techniques may be used to determine the lowest dosage that can be achieved in interior portions of the pattern.
  • these optimization techniques will include calculating the resist response to the set of shots, such as with using particle beam simulation, so as to determine that the set of shots forms the desired pattern, perhaps within a predetermined tolerance. Note that when creating shots for a charged particle beam writer which supports only unassigned dosage shots, gaps can be used in interior areas of the pattern to reduce area dosage. By simulating, particularly with the “corner cases” of the manufacturing tolerance, designs with lower doses or gaps can be pre-determined to shoot the desired shapes safely with reduced write time and improved edge slope.
  • FIG. 5A illustrates an example of a circular pattern 502 that is to be formed on a surface.
  • FIG. 5B illustrates an example of how the pattern 502 may be formed with a set of nine VSB shots with assigned shot dosages.
  • FIG. 5B illustrates the shot outlines of each of the nine shots.
  • overlapping shots 512 , 514 , 516 , 518 , 520 , 522 , 524 and 526 may be assigned a relatively higher set of dosages, or in some embodiments all assigned a normal dosage, to maintain a good edge slope, since each of these shots defines the perimeter of the pattern on the surface.
  • Shot 530 may have an assigned dosage less than shots 512 , 514 , 516 , 518 , 520 , 522 , 524 and 526 , such as 0.7 ⁇ a normal dosage, since shot 530 does not define an edge of the pattern. That is, different dosages are provided to different parts of the pattern.
  • the shot sizes will be carefully chosen so as not to have any portion of the interior of shape 502 fall below the resist threshold, perhaps with some margin for manufacturing variation.
  • Shot 530 may also be sized so that a gap exists between the outline of shot 530 and the outline of each of the adjacent shots, as illustrated in FIG. 5B . When a gap is present, the union of outlines of shots in the set of shots does not cover the desired pattern.
  • Particle beam simulation may be used to determine an optimal size for the gap so that dosage may be reduced without causing a gap to be registered by the resist.
  • the use of lower-than-normal dosage for shot 530 when applied to a large number of such shots within the backscatter range of each other, will reduce the backscatter and fogging, contributing to improved edge slope, compared to exposing shot 530 and the large number of other shots within the backscatter range with a normal dosage.
  • a small number of dosages may be selected, for example two dosages such as 1.0 ⁇ normal and 0.7 ⁇ normal, and shots for each of these two dosages may be separated and exposed in two separate exposures passes, where the base dosage for one exposure pass is 1.0 ⁇ normal and the base dosage for the other exposure pass is 0.7 ⁇ normal.
  • shots 512 , 514 , 516 , 518 , 520 , 522 , 524 and 526 may be assigned to a first exposure pass which uses a base dosage of 1.0 ⁇ normal dosage before PEC correction.
  • Shot 530 may be assigned to a second exposure pass which uses a base dosage of 0.7 ⁇ normal dosage before PEC correction.
  • Overlapping shots may be used to create resist dosages greater than 100% of normal, even with charged particle beam writers which do not support dosage assignment for individual shots.
  • shots 514 and 512 , shots 526 and 524 , shots 520 and 522 , and shots 518 and 516 may be designed to overlap, creating regions of higher-than-normal dosage in the periphery. The higher energy that is cast from these regions can “fill in” the gap between shot outline 530 and the peripheral shots, making it possible to decrease the size of shot 530 .
  • FIGS. 6A-D illustrate the use of overlapping shots with square patterns, such as are commonly used for contact and via patterns in integrated circuit design.
  • FIG. 6A illustrates an example of a desired pattern 602 to be formed on a reticle.
  • FIG. 6B illustrates a single VSB shot 612 which may be used to form pattern 602 conventionally. Use of single shot 612 may cause edge slope to be undesirably low, however.
  • FIG. 6C illustrates an example of an embodiment of the present invention.
  • FIG. 6C may be shot using five VSB shots, including shot 632 , which is cross-hatched, and four additional shots 634 around the perimeter areas of the original pattern 602 .
  • a CP character may be designed to expose the pattern illustrated by the four rectangles 634 in a single CP shot, allowing FIG. 6C to be exposed in one VSB shot 632 and one CP shot for all shapes 634 .
  • the use of the perimeter CP shot or VSB shots can increase the edge slope of the entire perimeter of the transferred pattern by increasing peak dosage near the perimeter, compared to the interior area, thus also providing different dosages to different parts of the pattern.
  • the small perimeter CP shot or VSB shots do not increase the area dosage as much as if a higher dosage was used for shot 612 , reducing the backscatter compared to if a higher dosage shot 612 was used alone.
  • FIG. 6D illustrates an example of another embodiment of the present invention.
  • Nine regions are illustrated in FIG. 6D : a) a large region 642 , b) four side regions 644 , and c) four corner regions 648 . As can be seen, all regions 644 and 648 overlap region 642 . These regions may be exposed by any of the following methods:
  • a small number of dosages may be selected, for example two dosages such as 1.0 ⁇ normal and 0.6 ⁇ normal, and shots for each of these two dosages may be separated and exposed in two separate exposures passes, where the base dosage for one exposure pass is 1.0 ⁇ normal and the base dosage for the other exposure pass is 0.6 ⁇ normal.
  • shot 632 may be assigned to a first exposure pass which uses a base dosage of 1.0 ⁇ normal dosage before PEC correction.
  • the four shots 634 may be assigned to a second exposure pass which uses a base dosage of 0.6 ⁇ normal dosage before PEC correction.
  • overlapping shots can create pattern dosages greater than 100% of normal, even with charged particle beam writers which do not support dosage assignment for individual shots.
  • gaps between normal-dosage or near-normal-dosage shots may be filled or partially filled with low-dosage shots, such as shots having less than 50% of normal dosage.
  • calculations described or referred to in this invention may be accomplished in various ways. Generally, calculations may be accomplished by in-process, pre-process or post-process methods. In-process calculation involves performing a calculation at the time when its results are needed. Pre-process calculation involves pre-calculating and then storing results for later retrieval during a subsequent processing step, and may improve processing performance, particularly for calculations that may be repeated many times. Calculations may also be deferred from a processing step and then done in a later post-processing step.
  • An example of pre-process calculation is pre-calculating PEC dosage adjustments for various values of backscatter.
  • Another example of pre-process calculation is a shot group, which is a pre-calculation of dosage pattern information for one or more shots associated with a given input pattern or set of input pattern characteristics.
  • the shot group and the associated input pattern may be saved in a library of pre-calculated shot groups, so that the set of shots comprising the shot group can be quickly generated for additional instances of the input pattern, without pattern re-calculation.
  • the pre-calculation may comprise simulation of the dosage pattern that the shot group will produce on a resist-coated surface.
  • the shot group may be determined without simulation, such as by using correct-by-construction techniques.
  • the pre-calculated shot groups may be stored in the shot group library in the form of a list of shots.
  • the pre-calculated shot groups may be stored in the form of computer code that can generate shots for a specific type or types of input patterns.
  • a plurality of pre-calculated shot groups may be stored in the form of a table, where entries in the table correspond to various input patterns or input pattern characteristics such as pattern width, and where each table entry provides either a list of shots in the shot group, or information for how to generate the appropriate set of shots.
  • different shot groups may be stored in different forms in the shot group library.
  • the dosage pattern which a given shot group can produce may also be stored in the shot group library.
  • the dosage pattern may be stored as a two-dimensional (X and Y) dosage map called a glyph.
  • FIG. 7 is an exemplary conceptual flow diagram 750 of how to prepare a reticle for use in fabricating a surface such as an integrated circuit on a silicon wafer.
  • a physical design such as a physical design of an integrated circuit
  • This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as that in an integrated circuit.
  • the physical design may be rectilinear, partially curvilinear, or completely curvilinear.
  • optical proximity correction is determined. In an embodiment of this disclosure this can include taking as input a library of pre-calculated shot groups from a shot group library 788 .
  • an OPC step 754 may also include simultaneous optimization of shot count or write times, and may also include a fracturing operation, a shot placement operation, a dose assignment operation, or may also include a shot sequence optimization operation or dose margin optimization, or other mask data preparation operations, with some or all of these operations being simultaneous or combined in a single step.
  • the OPC step 754 may create partially or completely curvilinear patterns.
  • the output of the OPC step 754 is a mask design 756 .
  • Mask process correction (MPC) 758 may optionally be performed on the mask design 756 .
  • MPC modifies the pattern to be written to the mask so as to compensate for non-linear effects, such as effects associated with patterns smaller than about 100 nm in conventional optical lithographic masks. MPC may also be used to compensate for non-linear effects affecting EUV masks. If MPC 758 is performed, its output becomes the input for mask data preparation (MDP) step 760 .
  • MDP mask data preparation
  • a mask data preparation operation which may include a fracturing operation, a shot placement operation, a dose assignment operation, or a shot sequence optimization may take place.
  • MDP may use as input the mask design 756 or the results of MPC 758 .
  • MPC may be performed as part of a fracturing or other MDP operation.
  • Other corrections may also be performed as part of fracturing or other MDP operation, the possible corrections including: forward scattering, resist diffusion, Coulomb effect, etching, backward scattering, fogging, loading, resist charging, and EUV midrange scattering.
  • the result of MDP step 760 is a shot list 762 , either for one or for multiple exposure passes in mask writing step 768 .
  • Either OPC step 754 or MDP step 760 , or a separate program 786 can include pre-calculating one or more shot groups that may be used for a given input pattern, and storing this information in a shot group library 788 . Combining OPC and any or all of the various operations of mask data preparation in one step is contemplated in this disclosure.
  • Mask data preparation step 760 which may include a fracturing operation, may also comprise a pattern matching operation to match pre-calculated shot groups to create a mask image 770 that matches closely to the mask design 756 .
  • Mask data preparation 760 may also include calculating the dose margin, and may also include optimizing the dose margin.
  • optimization may include varying shot dosages to produce a higher peak dosage near perimeters of generated patterns than in the interior of the generated patterns.
  • generated shots may have gaps between the shot outlines of nearest neighboring shots, so that area dosage is decreased, but where the gaps are sufficiently small that they will not be registered by the resist in the subsequently-produced mask image 770 .
  • mask data preparation 760 may include optimization by changing the size of the gaps.
  • mask data preparation 760 may include revising the initially-determined set of shots if the calculated dose margin is below a pre-determined target dose margin, and recalculating the dose margin with the revised set of shots.
  • Mask data preparation 760 may also comprise inputting patterns to be formed on a surface with the patterns being slightly different, selecting a set of characters to be used to form the number of patterns, the set of characters fitting on a stencil mask, the set of characters possibly including both complex and VSB characters, and the set of characters based on varying character dose or varying character position or applying partial exposure of a character within the set of characters or dragging a character to reduce the shot count or total write time.
  • a set of slightly different patterns on the surface may be designed to produce substantially the same pattern on a substrate.
  • the set of characters may be selected from a predetermined set of characters.
  • a set of characters available on a stencil in the step 780 that may be selected quickly during the mask writing step 768 may be prepared for a specific mask design.
  • a stencil is prepared in the step 784 .
  • a stencil is prepared in the step 784 prior to or simultaneous with the MDP step 760 and may be independent of the particular mask design.
  • the characters available in the step 780 and the stencil layout are designed in step 782 to output generically for many potential mask designs 756 to incorporate patterns that are likely to be output by a particular OPC program 754 or a particular MDP program 760 or particular types of designs that characterizes the physical design 752 such as memories, flash memories, system on chip designs, or particular process technology being designed to in physical design 752 , or a particular cell library used in physical design 752 , or any other common characteristics that may form different sets of slightly different patterns in mask design 756 .
  • the stencil can include a set of characters, such as a limited number of characters that was determined in the step 760 .
  • proximity effect correction (PEC) refinement may be performed on shot list 762 to create a final shot list 766 with adjusted dosages.
  • the final shot list 766 is used to generate a surface in a mask writing step 768 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 764 may be performed by the charged particle beam writer.
  • Mask writing step 768 may use stencil 784 containing both VSB apertures and a plurality of complex characters, or may use a stencil comprising only VSB apertures.
  • Mask writing step 768 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects a beam of electrons through the stencil onto a surface to form a mask image comprising patterns on a surface, as shown in a step 770 .
  • the completed surface may then be used in an optical lithography machine, which is shown in a step 772 .
  • a substrate such as a silicon wafer is produced.
  • step 780 characters may be provided to the OPC step 754 or the MDP step 760 .
  • the step 780 also provides characters to a character and stencil design step 782 or to a shot group pre-calculation step 786 .
  • the character and stencil design step 782 provides input to the stencil step 784 and to the characters step 780 .
  • the shot group pre-calculation step 786 provides information to the shot group library 788 .
  • the shot group pre-calculation step 786 may use as input the physical design 752 or the mask design 756 , and may pre-calculate one or more shot groups, which are stored in a shot group library 788 .
  • FIG. 8 another exemplary conceptual flow diagram 800 of how to prepare a surface which is directly written on a substrate such as a silicon wafer is shown.
  • a physical design such as a physical design of an integrated circuit is designed. This may be an ideal pattern that the designer wants transferred onto a substrate.
  • various data preparation (DP) steps are performed to prepare input data to a substrate writing device. Step 804 may include fracturing of the patterns into a set of VSB and/or complex CP shots, where some of the shots may overlap each other.
  • Either DP step 804 or a separate program 822 can include pre-calculating one or more shot groups that may be used for a given input pattern, and storing this information in a shot group library 824 .
  • the step 804 may also comprise pattern matching to match pre-calculated shot groups to create a wafer image 814 that matches closely to the physical design created in the step 802 . Iterations of pattern matching, dose assignment, and equivalence checking may also be performed.
  • Data preparation 804 may include calculating the dose margin, and may also include optimizing the dose margin. In some embodiments optimization may include varying shot dosages to produce a higher peak dosage near perimeters of the generated patterns than in the interior of the generated patterns. In other embodiments, generated shots may have gaps between nearest neighboring shots, so that area dosage is decreased, but where the gaps are sufficiently small that they will not be registered by the resist in the subsequently-produced wafer image 814 . In another embodiment, step 804 may include optimization by changing the size of the gaps. In another embodiment, data preparation 804 may include revising the initially-determined set of shots if the calculated dose margin is below a pre-determined target dose margin, and recalculating the dose margin with the revised set of shots. The output of step 804 is shot list 806 .
  • proximity effect correction may be performed on shot list 806 to create a final shot list 810 with adjusted dosages.
  • the final shot list 810 is used to create a pattern on a surface such as an image on a wafer 814 in a wafer writing step 812 which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 808 may be performed by the charged particle beam writer.
  • Wafer writing step 812 may use stencil 808 containing both VSB apertures and a plurality of complex characters, or may use a stencil comprising only VSB apertures.
  • the electron beam writer system projects a beam of electrons through the stencil onto a surface to form an image 814 comprising patterns on the surface.
  • Wafer writing step 812 may comprise a single exposure pass or multiple exposure passes.
  • step 818 characters may be provided to DP step 804 .
  • Step 818 also provides characters to a character and stencil design step 820 or to a shot group pre-calculation step 822 .
  • the character and stencil design step 820 provides input to the stencil step 808 and to the characters step 818 .
  • the shot group pre-calculation step 822 provides information to the shot group library 824 .
  • the shot group pre-calculation step 822 may use as input the physical design 802 and may pre-calculate one or more shot groups, which are stored in a shot group library 824 .
  • the step 812 may include repeated application as required for each layer of processing, potentially with some processed using the methods described in association with FIG. 7 , and others processed using the methods outlined above with respect to FIG. 8 , or others produced using any other wafer writing method to produce integrated circuits on the silicon wafer.
  • the fracturing, MDP, OPC, MPC and PEC flows described in this disclosure may be implemented using general-purpose computers with appropriate computer software as computation devices. Due to the large amount of calculations required, multiple computers or processor cores may also be used in parallel. In one embodiment, the computations may be subdivided into a plurality of 2-dimensional geometric regions for one or more computation-intensive steps in the flow, to support parallel processing. In another embodiment, a special-purpose hardware device, either used singly or in multiples, may be used to perform the computations of one or more steps with greater speed than using general-purpose computers or processor cores. In one embodiment, the special-purpose hardware device may be a graphics processing unit (GPU).
  • GPU graphics processing unit
  • the optimization and simulation processes described in this disclosure may include iterative processes of revising and recalculating possible solutions, so as to minimize either the total number of shots, or the total charged particle beam writing time, or some other parameter.
  • an initial set of shots may be determined in a correct-by-construction method, so that no shot modifications are required.

Abstract

A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.

Description

    RELATED APPLICATIONS
  • This application: 1) is a continuation-in-part of U.S. patent application Ser. No. 13/037,263 filed on Feb. 28, 2011 entitled “Method And System For Design Of A Surface To Be Manufactured Using Charged Particle Beam Lithography”; 2) is related to U.S. patent application Ser. No. 13/037,268 filed on Feb. 28, 2011 entitled “Method And System For Design Of Enhanced Accuracy Patterns For Charged Particle Beam Lithography”; and 3) is related to Fujimura, U.S. patent application Ser. No. ______, entitled “Method and System For Forming Patterns Using Charged Particle Beam Lithography With Overlapping Shots” (Attorney Docket No. D2SiP032CIP1) filed on even date herewith, all of which are hereby incorporated by reference for all purposes.
  • BACKGROUND OF THE DISCLOSURE
  • The present disclosure is related to lithography, and more particularly to the design and manufacture of a surface which may be a reticle, a wafer, or any other surface, using charged particle beam lithography.
  • In the production or manufacturing of semiconductor devices, such as integrated circuits, optical lithography may be used to fabricate the semiconductor devices. Optical lithography is a printing process in which a lithographic mask or photomask manufactured from a reticle is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit (I.C.). Other substrates could include flat panel displays, holographic masks or even other reticles. While conventional optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography are also considered types of optical lithography in this application. The reticle or multiple reticles may contain a circuit pattern corresponding to an individual layer of the integrated circuit, and this pattern can be imaged onto a certain area on the substrate that has been coated with a layer of radiation-sensitive material known as photoresist or resist. Once the patterned layer is transferred the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate. If several layers are required, then the whole process or variations thereof will be repeated for each new layer. Eventually, a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits may then be separated from one another by dicing or sawing and then may be mounted into individual packages. In the more general case, the patterns on the substrate may be used to define artifacts such as display pixels, holograms, or magnetic recording heads. Conventional optical lithography writing machines typically reduce the photomask pattern by a factor of four during the optical lithographic process. Therefore, patterns formed on the reticle or mask must be four times larger than the size of the desired pattern on the substrate or wafer.
  • In the production or manufacturing of semiconductor devices, such as integrated circuits, non-optical methods may be used to transfer a pattern on a lithographic mask to a substrate such as a silicon wafer. Nanoimprint lithography (NIL) is an example of a non-optical lithography process. In nanoimprint lithography, a lithographic mask pattern is transferred to a surface through contact of the lithography mask with the surface.
  • In the production or manufacturing of semiconductor devices, such as integrated circuits, maskless direct write may also be used to fabricate the semiconductor devices. Maskless direct write is a printing process in which charged particle beam lithography is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit. Other substrates could include flat panel displays, imprint masks for nanoimprint lithography, or even reticles. Desired patterns of a layer are written directly on the surface, which in this case is also the substrate. Once the patterned layer is transferred the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate. If several layers are required, then the whole process or variations thereof will be repeated for each new layer. Some of the layers may be written using optical lithography while others may be written using maskless direct write to fabricate the same substrate. Also, some patterns of a given layer may be written using optical lithography, and other patterns written using maskless direct write. Eventually, a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits are then separated from one another by dicing or sawing and then mounted into individual packages. In the more general case, the patterns on the surface may be used to define artifacts such as display pixels, holograms, or magnetic recording heads.
  • Two common types of charged particle beam lithography are variable shaped beam (VSB) and character projection (CP). These are both sub-categories of shaped beam charged particle beam lithography, in which a precise electron beam is shaped and steered so as to expose a resist-coated surface, such as the surface of a wafer or the surface of a reticle. In VSB, these shapes are simple shapes, usually limited to rectangles of certain minimum and maximum sizes and with sides which are parallel to the axes of a Cartesian coordinate plane (i.e. of “manhattan” orientation), and 45 degree right triangles (i.e. triangles with their three internal angles being 45 degrees, 45 degrees, and 90 degrees) of certain minimum and maximum sizes. At predetermined locations, doses of electrons are shot into the resist with these simple shapes. The total writing time for this type of system increases with the number of shots. In character projection (CP), there is a stencil in the system that has in it a variety of apertures or characters which may be complex shapes such as rectilinear, arbitrary-angled linear, circular, nearly circular, annular, nearly annular, oval, nearly oval, partially circular, partially nearly circular, partially annular, partially nearly annular, partially nearly oval, or arbitrary curvilinear shapes, and which may be a connected set of complex shapes or a group of disjointed sets of a connected set of complex shapes. An electron beam can be shot through a character on the stencil to efficiently produce more complex patterns on the reticle. In theory, such a system can be faster than a VSB system because it can shoot more complex shapes with each time-consuming shot. Thus, an E-shaped pattern shot with a VSB system takes four shots, but the same E-shaped pattern can be shot with one shot with a character projection system. Note that VSB systems can be thought of as a special (simple) case of character projection, where the characters are just simple characters, usually rectangles or 45-45-90 degree triangles. It is also possible to partially expose a character. This can be done by, for instance, blocking part of the particle beam. For example, the E-shaped pattern described above can be partially exposed as an F-shaped pattern or an I-shaped pattern, where different parts of the beam are cut off by an aperture. This is the same mechanism as how various sized rectangles can be shot using VSB. In this disclosure, partial projection is used to mean both character projection and VSB projection.
  • As indicated, in lithography the lithographic mask or reticle comprises geometric patterns corresponding to the circuit components to be integrated onto a substrate. The patterns used to manufacture the reticle may be generated utilizing computer-aided design (CAD) software or programs. In designing the patterns the CAD program may follow a set of pre-determined design rules in order to create the reticle. These rules are set by processing, design, and end-use limitations. An example of an end-use limitation is defining the geometry of a transistor in a way in which it cannot sufficiently operate at the required supply voltage. In particular, design rules can define the space tolerance between circuit devices or interconnect lines. The design rules are, for example, used to ensure that the circuit devices or lines do not interact with one another in an undesirable manner. For example, the design rules are used so that lines do not get too close to each other in a way that may cause a short circuit. The design rule limitations reflect, among other things, the smallest dimensions that can be reliably fabricated. When referring to these small dimensions, one usually introduces the concept of a critical dimension. These are, for instance, defined as the smallest width of a line or the smallest space between two lines, those dimensions requiring exquisite control.
  • One goal in integrated circuit fabrication by optical lithography is to reproduce the original circuit design on the substrate by use of the reticle. Integrated circuit fabricators are always attempting to use the semiconductor wafer real estate as efficiently as possible. Engineers keep shrinking the size of the circuits to allow the integrated circuits to contain more circuit elements and to use less power. As the size of an integrated circuit critical dimension is reduced and its circuit density increases, the critical dimension of the circuit pattern or physical design approaches the resolution limit of the optical exposure tool used in conventional optical lithography. As the critical dimensions of the circuit pattern become smaller and approach the resolution value of the exposure tool, the accurate transcription of the physical design to the actual circuit pattern developed on the resist layer becomes difficult. To further the use of optical lithography to transfer patterns having features that are smaller than the light wavelength used in the optical lithography process, a process known as optical proximity correction (OPC) has been developed. OPC alters the physical design to compensate for distortions caused by effects such as optical diffraction and the optical interaction of features with proximate features. OPC includes all resolution enhancement technologies performed with a reticle.
  • OPC may add sub-resolution lithographic features to mask patterns to reduce differences between the original physical design pattern, that is, the design, and the final transferred circuit pattern on the substrate. The sub-resolution lithographic features interact with the original patterns in the physical design and with each other and compensate for proximity effects to improve the final transferred circuit pattern. One feature that is used to improve the transfer of the pattern is a sub-resolution assist feature (SRAF). Another feature that is added to improve pattern transference is referred to as “serifs”. Serifs are small features that can be positioned on an interior or exterior corner of a pattern to sharpen the corner in the final transferred image. It is often the case that the precision demanded of the surface manufacturing process for SRAFs is less than the precision demanded for patterns that are intended to print on the substrate, often referred to as main features. Serifs are a part of a main feature. As the limits of optical lithography are being extended far into the sub-wavelength regime, the OPC features must be made more and more complex in order to compensate for even more subtle interactions and effects. As imaging systems are pushed closer to their limits, the ability to produce reticles with sufficiently fine OPC features becomes critical. Although adding serifs or other OPC features to a mask pattern is advantageous, it also substantially increases the total feature count in the mask pattern. For example, adding a serif to each of the corners of a square using conventional techniques adds eight more rectangles to a mask or reticle pattern. Adding OPC features is a very laborious task, requires costly computation time, and results in more expensive reticles. Not only are OPC patterns complex, but since optical proximity effects are long range compared to minimum line and space dimensions, the correct OPC patterns in a given location depend significantly on what other geometry is in the neighborhood. Thus, for instance, a line end will have different size serifs depending on what is near it on the reticle. This is even though the objective might be to produce exactly the same shape on the wafer. These slight but critical variations are important and have prevented others from being able to form reticle patterns. It is conventional to discuss the OPC-decorated patterns to be written on a reticle in terms of designed features, that is features that reflect the design before OPC decoration, and OPC features, where OPC features might include serifs, jogs, and SRAF. To quantify what is meant by slight variations, a typical slight variation in OPC decoration from neighborhood to neighborhood might be 5% to 80% of a designed feature size. Note that for clarity, variations in the design of the OPC are what is being referenced. Manufacturing variations such as corner rounding will also be present in the actual surface patterns. When these OPC variations produce substantially the same patterns on the wafer, what is meant is that the geometry on the wafer is targeted to be the same within a specified error, which depends on the details of the function that that geometry is designed to perform, e.g., a transistor or a wire. Nevertheless, typical specifications are in the 2%-50% of a designed feature range. There are numerous manufacturing factors that also cause variations, but the OPC component of that overall error is often in the range listed. OPC shapes such as sub-resolution assist features are subject to various design rules, such as a rule based on the size of the smallest feature that can be transferred to the wafer using optical lithography. Other design rules may come from the mask manufacturing process or, if a character projection charged particle beam writing system is used to form the pattern on a reticle, from the stencil manufacturing process. It should also be noted that the accuracy requirement of the SRAF features on the mask may be lower than the accuracy requirements for the designed features on the mask. As process nodes continue to shrink, the size of the smallest SRAFs on a photomask also shrinks. For example, at the 20 nm logic process node, 40 nm to 60 nm SRAFs are needed on the mask for the highest precision layers.
  • Inverse lithography technology (ILT) is one type of OPC technique. ILT is a process in which a pattern to be formed on a reticle is directly computed from a pattern which is desired to be formed on a substrate such as a silicon wafer. This may include simulating the optical lithography process in the reverse direction, using the desired pattern on the substrate as input. ILT-computed reticle patterns may be purely curvilinear—i.e. completely non-rectilinear—and may include circular, nearly circular, annular, nearly annular, oval and/or nearly oval patterns. Since these ideal ILT curvilinear patterns are difficult and expensive to form on a reticle using conventional techniques, rectilinear approximations or rectilinearizations of the curvilinear patterns may be used. The rectilinear approximations decrease accuracy, however, compared to the ideal ILT curvilinear patterns. Additionally, if the rectilinear approximations are produced from the ideal ILT curvilinear patterns, the overall calculation time is increased compared to ideal ILT curvilinear patterns. In this disclosure ILT, OPC, source mask optimization (SMO), and computational lithography are terms that are used interchangeably.
  • There are a number of technologies used for forming patterns on a reticle, including using optical lithography or charged particle beam lithography. The most commonly used system is the variable shaped beam (VSB), where, as described above, doses of electrons with simple shapes such as manhattan rectangles and 45-degree right triangles expose a resist-coated reticle surface. In conventional mask writing, the doses or shots of electrons are conventionally designed to avoid overlap wherever possible, so as to greatly simplify calculation of how the resist on the reticle will register the pattern. Similarly, the set of shots is designed so as to completely cover the pattern area that is to be formed on the reticle. U.S. Pat. No. 7,754,401, owned by the assignee of the present patent application and incorporated by reference for all purposes, discloses a method of mask writing in which intentional shot overlap for writing patterns is used. When overlapping shots are used, charged particle beam simulation can be used to determine the pattern that the resist on the reticle will register. Use of overlapping shots may allow patterns to be written with reduced shot count. U.S. Pat. No. 7,754,401 also discloses use of dose modulation, where the assigned dosages of shots vary with respect to the dosages of other shots. The term model-based fracturing is used to describe the process of determining shots using the techniques of U.S. Pat. No. 7,754,401.
  • Reticle writing for the most advanced technology nodes typically involves multiple passes of charged particle beam writing, a process called multi-pass exposure, whereby the given shape on the reticle is written and overwritten. Typically, two to four passes are used to write a reticle to average out precision errors in the charged particle beam writer, allowing the creation of more accurate photomasks. Also typically, the list of shots, including the dosages, is the same for every pass. In one variation of multi-pass exposure, the lists of shots may vary among exposure passes, but the union of the shots in any exposure pass covers the same area. Multi-pass writing can reduce over-heating of the resist coating the surface. Multi-pass writing also averages out random errors of the charged particle beam writer. Multi-pass writing using different shot lists for different exposure passes can also reduce the effects of certain systemic errors in the writing process.
  • In EUV lithography, OPC features are generally not required. Therefore, the complexity of the pattern to be manufactured on the reticle is less than with conventional 193 nm wavelength optical lithography, and shot count reduction is correspondingly less important. In EUV, however, mask accuracy requirements are very high because the patterns on the mask, which are typically 4× the size of the patterns on the wafer, are sufficiently small that they are challenging to form precisely using charged particle beam technology such as electron beam.
  • SUMMARY OF THE DISCLOSURE
  • A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated.
  • A method for forming patterns on a surface is also disclosed, in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates an example of a character projection particle beam system;
  • FIG. 2A illustrates an example of a single charged particle beam shot and a cross-sectional dosage graph of the shot;
  • FIG. 2B illustrates an example of a pair of proximate shots and a cross-sectional dosage graph of the shot pair;
  • FIG. 2C illustrates an example of a pattern formed on a resist-coated surface from the pair of FIG. 2B shots;
  • FIG. 3A illustrates an example of a polygonal pattern;
  • FIG. 3B illustrates an example of a conventional fracturing of the polygonal pattern of FIG. 3A;
  • FIG. 3C illustrates an example of an alternate fracturing of the polygonal pattern of FIG. 3A;
  • FIG. 4A illustrates an example of a shot outline from a rectangular shot;
  • FIG. 4B illustrates an example of a longitudinal dosage curve for the shot of FIG. 4A using a normal shot dosage;
  • FIG. 4C illustrates an example of a longitudinal dosage curve similar to FIG. 4B, with long-range effects included;
  • FIG. 4D illustrates an example of a longitudinal dosage curve for the shot of FIG. 4A using a higher than normal shot dosage;
  • FIG. 4E illustrates an example of a longitudinal dosage curve similar to FIG. 4D, with long-range effects included;
  • FIG. 4F illustrates an example of a longitudinal dosage curve similar to FIG. 4E, but with a higher background dosage level;
  • FIG. 5A illustrates an example of a circular pattern to be formed on a surface;
  • FIG. 5B illustrates an example of outlines of nine shots which can form the pattern of FIG. 5A;
  • FIG. 6A illustrates a square pattern to be formed on a surface;
  • FIG. 6B illustrates a single-shot method of forming the pattern of FIG. 6A on a surface;
  • FIG. 6C illustrates an example of a method of forming the pattern of FIG. 6A on a surface by another embodiment of the current invention;
  • FIG. 6D illustrates an example of a method of forming the pattern of FIG. 6A on a surface by yet another embodiment of the current invention;
  • FIG. 7 illustrates a conceptual flow diagram of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography; and
  • FIG. 8 illustrates a conceptual flow diagram of how to prepare a surface for use in fabricating a substrate such as an integrated circuit on a silicon wafer.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The present disclosure describes a method for fracturing patterns into shots for a charged particle beam writer, where overlapping shots are generated to improve the accuracy and/or the dose margin of the pattern written to a surface. The dose margin improvement reduces dimensional changes in the written pattern which are associated with process variations.
  • Referring now to the drawings, wherein like numbers refer to like items, FIG. 1 illustrates an embodiment of a conventional lithography system 100, such as a charged particle beam writer system, in this case an electron beam writer system, that employs character projection to manufacture a surface 130. The electron beam writer system 100 has an electron beam source 112 that projects an electron beam 114 toward an aperture plate 116. The plate 116 has an aperture 118 formed therein which allows the electron beam 114 to pass. Once the electron beam 114 passes through the aperture 118 it is directed or deflected by a system of lenses (not shown) as electron beam 120 toward another rectangular aperture plate or stencil mask 122. The stencil 122 has formed therein a number of openings or apertures 124 that define various types of characters 126, which may be complex characters. Each character 126 formed in the stencil 122 may be used to form a pattern 148 on a surface 130 of a substrate 132, such as a silicon wafer, a reticle or other substrate. In partial exposure, partial projection, partial character projection, or variable character projection, electron beam 120 may be positioned so as to strike or illuminate only a portion of one of the characters 126, thereby forming a pattern 148 that is a subset of character 126. For each character 126 that is smaller than the size of the electron beam 120 defined by aperture 118, a blanking area 136, containing no aperture, is designed to be adjacent to the character 126, so as to prevent the electron beam 120 from illuminating an unwanted character on stencil 122. An electron beam 134 emerges from one of the characters 126 and passes through an electromagnetic or electrostatic reduction lens 138 which reduces the size of the pattern from the character 126. In commonly available charged particle beam writer systems, the reduction factor is between 10 and 60. The reduced electron beam 140 emerges from the reduction lens 138, and is directed by a series of deflectors 142 onto the surface 130 as the pattern 148, which is depicted as being in the shape of the letter “H” corresponding to character 126A. The pattern 148 is reduced in size compared to the character 126A because of the reduction lens 138. The pattern 148 is drawn by using one shot of the electron beam system 100. This reduces the overall writing time to complete the pattern 148 as compared to using a variable shape beam (VSB) projection system or method. Although one aperture 118 is shown being formed in the plate 116, it is possible that there may be more than one aperture in the plate 116. Although two plates 116 and 122 are shown in this example, there may be only one plate or more than two plates, each plate comprising one or more apertures. Stencil mask 122 also contains apertures for VSB shots, such as rectangular aperture 125.
  • In conventional charged particle beam writer systems the reduction lens 138 is calibrated to provide a fixed reduction factor. The reduction lens 138 and/or the deflectors 142 also focus the beam on the plane of the surface 130. The size of the surface 130 may be significantly larger than the maximum beam deflection capability of the deflection plates 142. Because of this, patterns are normally written on the surface in a series of stripes. Each stripe contains a plurality of sub-fields, where a sub-field is within the beam deflection capability of the deflection plates 142. The electron beam writer system 100 contains a positioning mechanism 150 to allow positioning the substrate 132 for each of the stripes and sub-fields. In one variation of the conventional charged particle beam writer system, the substrate 132 is held stationary while a sub-field is exposed, after which the positioning mechanism 150 moves the substrate 132 to the next sub-field position. In another variation of the conventional charged particle beam writer system, the substrate 132 moves continuously during the writing process. In this variation involving continuous movement, in addition to deflection plates 142, there may be another set of deflection plates (not shown) to move the beam at the same speed and direction as the substrate 132 is moved. In one embodiment the substrate 132 may be a reticle. In this embodiment, the reticle, after being exposed with the pattern, undergoes various manufacturing steps through which it becomes a lithographic mask or photomask. The mask may then be used in an optical lithography machine to project an image of the reticle pattern 148, generally reduced in size, onto a silicon wafer to produce an integrated circuit. More generally, the mask is used in another device or machine to transfer the pattern 148 on to a substrate. In another embodiment the substrate 132 may be a silicon wafer.
  • The minimum size pattern that can be projected with reasonable accuracy onto a surface 130 is limited by a variety of short-range physical effects associated with the electron beam writer system 100 and with the surface 130, which normally comprises a resist coating on the substrate 132. These effects include forward scattering, Coulomb effect, and resist diffusion. Beam blur, also called βf, is a term used to include all of these short-range effects. The most modern electron beam writer systems can achieve an effective beam blur radius or βf in the range of 20 nm to 30 nm. Forward scattering may constitute one quarter to one half of the total beam blur. Modern electron beam writer systems contain numerous mechanisms to reduce each of the constituent pieces of beam blur to a minimum. Since some components of beam blur are a function of the calibration level of a particle beam writer, the βf of two particle beam writers of the same design may differ. The diffusion characteristics of resists may also vary. Variation of βf based on shot size or shot dose can be simulated and systemically accounted for. But there are other effects that cannot or are not accounted for, and they appear as random variation.
  • The shot dosage of a charged particle beam writer such as an electron beam writer system is a function of the intensity of the beam source 112 and the exposure time for each shot. Typically the beam intensity remains fixed, and the exposure time is varied to obtain variable shot dosages. The exposure time may be varied to compensate for various long-range effects such as backscatter and fogging in a process called proximity effect correction (PEC). Electron beam writer systems usually allow setting an overall dosage, called a base dosage, which affects all shots in an exposure pass. Some electron beam writer systems perform dosage compensation calculations within the electron beam writer system itself, and do not allow the dosage of each shot to be assigned individually as part of the input shot list, the input shots therefore having unassigned shot dosages. In such electron beam writer systems all shots have the base dosage, before PEC. Other electron beam writer systems do allow dosage assignment on a shot-by-shot basis. In electron beam writer systems that allow shot-by-shot dosage assignment, the number of available dosage levels may be 64 to 4096 or more, or there may be a relatively few available dosage levels, such as 3 to 8 levels. Some embodiments of the current invention are targeted for use with charged particle beam writing systems which allow assignment of one of a relatively few dosage levels.
  • The mechanisms within electron beam writers have a relatively coarse resolution for calculations. As such, mid-range corrections such as may be required for EUV masks in the range of 2 μm cannot be computed accurately by current electron beam writers.
  • FIGS. 2A-B illustrate how energy is registered on a resist-coated surface from one or more charged particle beam shots. In FIG. 2A rectangular pattern 202 illustrates a shot outline, which is a pattern that will be produced on a resist-coated surface from a shot which is not proximate to other shots. The corners of pattern 202 are rounded due to beam blur. In dosage graph 210, dosage curve 212 illustrates the cross-sectional dosage along a line 204 through shot outline 202. Line 214 denotes the resist threshold, which is the dosage above which the resist will register a pattern. As can be seen from dosage graph 210, dosage curve 212 is above the resist threshold between the X-coordinates “a” and “b”. Coordinate “a” corresponds to dashed line 216, which denotes the left-most extent of the shot outline 202. Similarly, coordinate “b” corresponds to dashed line 218, which denotes the right-most extent of the shot outline 202. The shot dosage for the shot in the example of FIG. 2A is a normal dosage, as marked on dosage graph 210. In conventional mask writing methodology, the normal dosage is set so that a relatively large rectangular shot will register a pattern of the desired size on the resist-coated surface, in the absence of long-range effects. The normal dosage therefore depends on the value of the resist threshold 214.
  • FIG. 2B illustrates the shot outlines of two particle beam shots, and the corresponding dosage curve. Shot outline 222 and shot outline 224 result from two proximate particle beam shots. In dosage graph 220, dosage curve 230 illustrates the dosage along the line 226 through shot outlines 222 and 224. As shown in dosage curve 230, the dosage registered by the resist along line 226 is the combination, such as the sum, of the dosages from two particle beam shots, represented by shot outline 222 and shot outline 224. As can be seen, dosage curve 230 is above the threshold 214 from X-coordinate “a” to X-coordinate “d”. This indicates that the resist will register the two shots as a single shape, extending from coordinate “a” to coordinate “d”. FIG. 2C illustrates a pattern 252 that the two shots from the example of FIG. 2B may form. The variable width of pattern 252 is the result of the gap between shot outline 222 and shot outline 224, and illustrates that a gap between the shots 222 and 224 causes dosage to drop below threshold near the corners of the shot outlines closest to the gap.
  • When using non-overlapping shots using a single exposure pass, conventionally all shots are assigned a normal dosage before PEC dosage adjustment. A charged particle beam writer which does not support shot-by-shot dosage assignment can therefore be used by setting the base dosage to a normal dosage. If multiple exposure passes are used with such a charged particle beam writer, the base dosage is conventionally set according to the following equation:

  • base dosage=normal dosage/# of exposure passes
  • FIGS. 3A-C illustrate two known methods of fracturing a polygonal pattern. FIG. 3A illustrates a polygonal pattern 302 that is desired to be formed on a surface. FIG. 3B illustrates a conventional method of forming this pattern using non-overlapping or disjoint shots. Shot outline 310, shot outline 312 and shot outline 314, which are marked with X's for clarity, are mutually disjoint. Additionally, the three shots associated with these shot outlines all use a desired normal dosage, before proximity effect correction. An advantage of using the conventional method as shown in FIG. 3B is that the response of the resist can be easily predicted. Also, the shots of FIG. 3B can be exposed using a charged particle beam system which does not allow dosage assignment on a shot-by-shot basis, by setting the base dosage of the charged particle beam writer to the normal dosage. FIG. 3C illustrates an alternate method of forming the pattern 302 on a resist-coated surface using overlapping shots, which is disclosed in U.S. Pat. No. 7,754,401. In FIG. 3C the constraint that shot outlines cannot overlap has been eliminated, and shot 320 and shot 322 do overlap. In the example of FIG. 3C, allowing shot outlines to overlap enables forming the pattern 302 in only two shots, compared to the three shots of FIG. 3B. In FIG. 3C, however the response of the resist to the overlapping shots is not as easily predicted as in FIG. 3B. In particular, the interior corners 324, 326, 328 and 330 may register as excessively rounded because of the large dosage received by overlapping region 332, shown by horizontal line shading. Charged particle beam simulation may be used to determine the pattern registered by the resist. In one embodiment disclosed in U.S. Pat. No. 8,062,813, which is owned by the assignee of the present patent application and incorporated by reference for all purposes, charged particle beam simulation may be used to calculate the dosage for each grid location in a two-dimensional (X and Y) grid, creating a grid of calculated dosages called a dosage map. The results of charged particle beam simulation may indicate use of non-normal dosages for shot 320 and shot 322. Additionally, in FIG. 3C the overlapping of shots in region 332 increases the area dosage—the dosage in the area proximate to pattern 302—beyond what it would be without shot overlap, thereby increasing backscatter. While the overlap of two individual shots will not increase the area dosage significantly, this technique will increase backscatter if used throughout a design.
  • In exposing, for example, a repeated pattern on a surface using charged particle beam lithography, the size of each pattern instance, as measured on the final manufactured surface, will be slightly different, due to manufacturing variations. The amount of the size variation is an essential manufacturing optimization criterion. In mask masking today, a root mean square (RMS) variation of no more than 1 nm (1 sigma) may be desired. More size variation translates to more variation in circuit performance, leading to higher design margins being required, making it increasingly difficult to design faster, lower-power integrated circuits. This variation is referred to as critical dimension (CD) variation. A low CD variation is desirable, and indicates that manufacturing variations will produce relatively small size variations on the final manufactured surface. In the smaller scale, the effects of a high CD variation may be observed as line edge roughness (LER). LER is caused by each part of a line edge being slightly differently manufactured, leading to some waviness in a line that is intended to have a straight edge. CD variation is inversely related to the slope of the dosage curve at the resist threshold, which is called edge slope. Therefore, edge slope, or dose margin, is a critical optimization factor for particle beam writing of surfaces.
  • FIG. 4A illustrates an example of an outline of a rectangular shot 402. FIG. 4B illustrates an example of a dosage graph 410 illustrating the dosage along the line 404 through shot outline 402 with a normal shot dosage, with no backscatter, such as would occur if shot 402 was the only shot within the range of the backscattering effect, which, as an example, may be 10 microns. Other long-range effects are also assumed to contribute nothing to the background exposure of FIG. 4B, leading to a zero background exposure level. The total dosage delivered to the resist is illustrated on the y-axis, and is 100% of a normal dosage. Because of the zero background exposure, the total dosage and the shot dosage are the same. Dosage graph 410 also illustrates the resist threshold 414. The CD variation of the shape represented by dosage graph 410 in the x-direction is inversely related to the slope of the dosage curve 412 at x-coordinates “a” and “b” where it intersects the resist threshold.
  • The FIG. 4B condition of zero background exposure is not reflective of actual designs. Actual designs will typically have many other shots within the backscattering distance of shot 402. FIG. 4C illustrates an example of a dosage graph 420 of a shot with a normal total dosage with non-zero background exposure 428. In this example, a background exposure of 20% of a normal dosage is shown. In dosage graph 420, dosage curve 422 illustrates the cross-sectional dosage of a shot similar to shot 402. The CD variation of curve 422 is worse than the CD variation of curve 412, as indicated by the lower edge slope where curve 422 intersects resist threshold 424 at points “a” and “b”, due to the background exposure caused by backscatter.
  • One method of increasing the slope of the dosage curve at the resist threshold is to increase the shot dosage. FIG. 4D illustrates an example of a dosage graph 430 with a dosage curve 432 which illustrates a total dosage of 150% of normal dosage, with no background exposure. With no background exposure, the shot dosage equals the total dosage. Threshold 434 in FIG. 4D is unchanged from threshold 414 in FIG. 4B. Increasing shot dosage increases the size of a pattern registered by the resist. Therefore, to maintain the size of the resist pattern, illustrated as the intersection points of dosage curve 432 with threshold 434, the shot size used for dosage graph 430 is somewhat smaller than shot 402. As can be seen, the slope of dosage curve 432 is higher where it intersects threshold 434 than is the slope of dosage curve 412 where it intersects threshold 414, indicating a lower, improved, CD variation for the higher-dosage shot of FIG. 4D than for the normal dosage shot of FIG. 4B.
  • Like dosage graph 410, however, the zero background exposure condition of dosage graph 430 is not reflective of actual designs. FIG. 4E illustrates an example of a dosage graph 440 with the shot dosage adjusted to achieve a total dosage on the resist of 150% of normal dosage with a 20% background exposure, such as would occur if the dosage of only one shot was increased to achieve total dosage of 150% of a normal dosage, and dosage of other shots remained at 100% of normal dosage. The threshold 444 is the same as in FIGS. 4B-4D. The background exposure is illustrated as line 448. As can be seen, the slopes of dosage curve 442 at x-coordinates “a” and “b” are less than the slopes of dosage curve 432 at x-coordinates “a” and “b” because of the presence of backscatter. Comparing graphs 420 and 440 for the effect of shot dosage, the slope of dosage curve 442 at x-coordinates “a” and “b” is higher than the slope of dosage curve 422 at the same x-coordinates, indicating that improved edge slope can be obtained for a single shot by increasing dosage, if dosages of other shots remain the same.
  • FIG. 4F illustrates an example of a dosage graph 450, illustrating the case where the dosages of all shots have been increased to 150% of normal dose. Two background dosage levels are shown on dosage graph 450: a 30% background dose 459, such as may be produced if all shots use 150% of normal dosage, and a 20% background dose 458 shown for comparison, since 20% is the background dosage in the dosage graph 440. Dosage curve 452 is based on the 30% background dose 459. As can be seen, the edge slope of dosage curve 452 at x-coordinates “a” and “b” is less than that of dosage curve 442 at the same points.
  • In summary, FIGS. 4A-F illustrate that higher-than-normal dosage can be used selectively to lower CD variation for isolated shapes. Increasing dosage has two undesirable effects, however. First, an increase in dose is achieved in modern charged particle beam writers by lengthening exposure time. Thus, an increase in dose increases the writing time, which increases cost. Second, as illustrated in FIGS. 4E-F, if many shots within the backscatter range of each other use an increased dosage, the increase in backscatter reduces the edge slope of all shots, thereby worsening CD variation for all shots of a certain assigned dosage. The only way for any given shot to avert this problem is to increase dosage and shoot a smaller size. However, doing this increases the backscatter even more. This cycle causes all shots to be at a higher dose, making write times even worse. Therefore, it is better to increase dose only for shots that define the edge.
  • Edge slope or dose margin is an issue only at pattern edges. If, for example, the normal dosage is 2× the resist threshold, so as to provide a good edge slope, the interior areas of patterns can have a dosage lower than normal dosage, so long as dosage in all interior areas remains above the resist threshold, after accounting for some margin for manufacturing variation. In the present disclosure, two methods of reducing the dosage of interior areas of a pattern are disclosed:
      • If assigned shot dosages are available, use lower-than-normal shot dosages.
      • Insert gaps between shots in the interior of patterns. Although the shot outlines may show gaps, if the dosage within the gap area is everywhere above the resist threshold, with margin provided for manufacturing variation, no gap will be registered by the resist.
        Either or both of these techniques will reduce the area dosage, thus reducing the background dosage caused by backscatter. Edge slope at the pattern edges will therefore be increased, thereby improving CD variation.
  • Optimization techniques may be used to determine the lowest dosage that can be achieved in interior portions of the pattern. In some embodiments, these optimization techniques will include calculating the resist response to the set of shots, such as with using particle beam simulation, so as to determine that the set of shots forms the desired pattern, perhaps within a predetermined tolerance. Note that when creating shots for a charged particle beam writer which supports only unassigned dosage shots, gaps can be used in interior areas of the pattern to reduce area dosage. By simulating, particularly with the “corner cases” of the manufacturing tolerance, designs with lower doses or gaps can be pre-determined to shoot the desired shapes safely with reduced write time and improved edge slope.
  • FIG. 5A illustrates an example of a circular pattern 502 that is to be formed on a surface. FIG. 5B illustrates an example of how the pattern 502 may be formed with a set of nine VSB shots with assigned shot dosages. FIG. 5B illustrates the shot outlines of each of the nine shots. In FIG. 5B, overlapping shots 512, 514, 516, 518, 520, 522, 524 and 526 may be assigned a relatively higher set of dosages, or in some embodiments all assigned a normal dosage, to maintain a good edge slope, since each of these shots defines the perimeter of the pattern on the surface. Shot 530, however, may have an assigned dosage less than shots 512, 514, 516, 518, 520, 522, 524 and 526, such as 0.7× a normal dosage, since shot 530 does not define an edge of the pattern. That is, different dosages are provided to different parts of the pattern. The shot sizes will be carefully chosen so as not to have any portion of the interior of shape 502 fall below the resist threshold, perhaps with some margin for manufacturing variation. Shot 530 may also be sized so that a gap exists between the outline of shot 530 and the outline of each of the adjacent shots, as illustrated in FIG. 5B. When a gap is present, the union of outlines of shots in the set of shots does not cover the desired pattern. Particle beam simulation may be used to determine an optimal size for the gap so that dosage may be reduced without causing a gap to be registered by the resist. The use of lower-than-normal dosage for shot 530, when applied to a large number of such shots within the backscatter range of each other, will reduce the backscatter and fogging, contributing to improved edge slope, compared to exposing shot 530 and the large number of other shots within the backscatter range with a normal dosage.
  • The solution described above with FIG. 5B may be implemented even using a charged particle beam system that does not allow dosage assignment for individual shots. In one embodiment of the present invention, a small number of dosages may be selected, for example two dosages such as 1.0× normal and 0.7× normal, and shots for each of these two dosages may be separated and exposed in two separate exposures passes, where the base dosage for one exposure pass is 1.0× normal and the base dosage for the other exposure pass is 0.7× normal. In the example of FIG. 5B, shots 512, 514, 516, 518, 520, 522, 524 and 526 may be assigned to a first exposure pass which uses a base dosage of 1.0× normal dosage before PEC correction. Shot 530 may be assigned to a second exposure pass which uses a base dosage of 0.7× normal dosage before PEC correction.
  • Overlapping shots may be used to create resist dosages greater than 100% of normal, even with charged particle beam writers which do not support dosage assignment for individual shots. In FIG. 5B, for example outlines for shots 514 and 512, shots 526 and 524, shots 520 and 522, and shots 518 and 516 may be designed to overlap, creating regions of higher-than-normal dosage in the periphery. The higher energy that is cast from these regions can “fill in” the gap between shot outline 530 and the peripheral shots, making it possible to decrease the size of shot 530.
  • FIGS. 6A-D illustrate the use of overlapping shots with square patterns, such as are commonly used for contact and via patterns in integrated circuit design. FIG. 6A illustrates an example of a desired pattern 602 to be formed on a reticle. FIG. 6B illustrates a single VSB shot 612 which may be used to form pattern 602 conventionally. Use of single shot 612 may cause edge slope to be undesirably low, however. FIG. 6C illustrates an example of an embodiment of the present invention. FIG. 6C may be shot using five VSB shots, including shot 632, which is cross-hatched, and four additional shots 634 around the perimeter areas of the original pattern 602. Also, a CP character may be designed to expose the pattern illustrated by the four rectangles 634 in a single CP shot, allowing FIG. 6C to be exposed in one VSB shot 632 and one CP shot for all shapes 634. The use of the perimeter CP shot or VSB shots can increase the edge slope of the entire perimeter of the transferred pattern by increasing peak dosage near the perimeter, compared to the interior area, thus also providing different dosages to different parts of the pattern. The small perimeter CP shot or VSB shots do not increase the area dosage as much as if a higher dosage was used for shot 612, reducing the backscatter compared to if a higher dosage shot 612 was used alone.
  • FIG. 6D illustrates an example of another embodiment of the present invention. Nine regions are illustrated in FIG. 6D: a) a large region 642, b) four side regions 644, and c) four corner regions 648. As can be seen, all regions 644 and 648 overlap region 642. These regions may be exposed by any of the following methods:
      • Nine separate VSB shots, including one for region 642, four shots for the four regions 644, and four shots for the four corner regions 648.
      • Five VSB shots. Region 642 is exposed by one shot. For the remaining four VSB shots, each shot includes the union of one side region 644 and two corner regions 648 adjacent to the side regions. This provides a higher dosage at the corners than along the side perimeters. The additional peak exposure near the corner may provide improved accuracy and/or edge slope.
      • One VSB shot for region 642 and two CP shots—one shot each of two CP characters. One CP character may be designed, for example to include the four side regions 644 and a second CP character may be designed to include the four corner regions 648. This solution allows independent dosage control of the corner regions and non-corner side regions.
        The method using one VSB shot with two CP shots should require less exposure time than either the nine-shot VSB or the five-shot VSB methods. Additionally, the size of shot 642 may be modified to be smaller than the desired pattern 602.
  • The solution described above with FIG. 6C may be implemented even using a charged particle beam system that does not allow dosage assignment for individual shots. In one embodiment of the present invention, a small number of dosages may be selected, for example two dosages such as 1.0× normal and 0.6× normal, and shots for each of these two dosages may be separated and exposed in two separate exposures passes, where the base dosage for one exposure pass is 1.0× normal and the base dosage for the other exposure pass is 0.6× normal. In the example of FIG. 6C, shot 632 may be assigned to a first exposure pass which uses a base dosage of 1.0× normal dosage before PEC correction. The four shots 634 may be assigned to a second exposure pass which uses a base dosage of 0.6× normal dosage before PEC correction. Thus, overlapping shots can create pattern dosages greater than 100% of normal, even with charged particle beam writers which do not support dosage assignment for individual shots.
  • In one embodiment of the invention, gaps between normal-dosage or near-normal-dosage shots may be filled or partially filled with low-dosage shots, such as shots having less than 50% of normal dosage.
  • The calculations described or referred to in this invention may be accomplished in various ways. Generally, calculations may be accomplished by in-process, pre-process or post-process methods. In-process calculation involves performing a calculation at the time when its results are needed. Pre-process calculation involves pre-calculating and then storing results for later retrieval during a subsequent processing step, and may improve processing performance, particularly for calculations that may be repeated many times. Calculations may also be deferred from a processing step and then done in a later post-processing step. An example of pre-process calculation is pre-calculating PEC dosage adjustments for various values of backscatter. Another example of pre-process calculation is a shot group, which is a pre-calculation of dosage pattern information for one or more shots associated with a given input pattern or set of input pattern characteristics. The shot group and the associated input pattern may be saved in a library of pre-calculated shot groups, so that the set of shots comprising the shot group can be quickly generated for additional instances of the input pattern, without pattern re-calculation. In some embodiments, the pre-calculation may comprise simulation of the dosage pattern that the shot group will produce on a resist-coated surface. In other embodiments, the shot group may be determined without simulation, such as by using correct-by-construction techniques. In some embodiments, the pre-calculated shot groups may be stored in the shot group library in the form of a list of shots. In other embodiments, the pre-calculated shot groups may be stored in the form of computer code that can generate shots for a specific type or types of input patterns. In yet other embodiments, a plurality of pre-calculated shot groups may be stored in the form of a table, where entries in the table correspond to various input patterns or input pattern characteristics such as pattern width, and where each table entry provides either a list of shots in the shot group, or information for how to generate the appropriate set of shots. Additionally, different shot groups may be stored in different forms in the shot group library. In some embodiments, the dosage pattern which a given shot group can produce may also be stored in the shot group library. In one embodiment, the dosage pattern may be stored as a two-dimensional (X and Y) dosage map called a glyph.
  • FIG. 7 is an exemplary conceptual flow diagram 750 of how to prepare a reticle for use in fabricating a surface such as an integrated circuit on a silicon wafer. In a first step 752, a physical design, such as a physical design of an integrated circuit, is designed. This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as that in an integrated circuit. The physical design may be rectilinear, partially curvilinear, or completely curvilinear. Next, in a step 754, optical proximity correction is determined. In an embodiment of this disclosure this can include taking as input a library of pre-calculated shot groups from a shot group library 788. This can also alternatively, or in addition, include taking as input a library of pre-designed characters 780 including complex characters that are to be available on a stencil 784 in a step 768. In an embodiment of this disclosure, an OPC step 754 may also include simultaneous optimization of shot count or write times, and may also include a fracturing operation, a shot placement operation, a dose assignment operation, or may also include a shot sequence optimization operation or dose margin optimization, or other mask data preparation operations, with some or all of these operations being simultaneous or combined in a single step. The OPC step 754 may create partially or completely curvilinear patterns. The output of the OPC step 754 is a mask design 756.
  • Mask process correction (MPC) 758 may optionally be performed on the mask design 756. MPC modifies the pattern to be written to the mask so as to compensate for non-linear effects, such as effects associated with patterns smaller than about 100 nm in conventional optical lithographic masks. MPC may also be used to compensate for non-linear effects affecting EUV masks. If MPC 758 is performed, its output becomes the input for mask data preparation (MDP) step 760.
  • In a step 760, a mask data preparation operation which may include a fracturing operation, a shot placement operation, a dose assignment operation, or a shot sequence optimization may take place. MDP may use as input the mask design 756 or the results of MPC 758. In some embodiments of the present invention, MPC may be performed as part of a fracturing or other MDP operation. Other corrections may also be performed as part of fracturing or other MDP operation, the possible corrections including: forward scattering, resist diffusion, Coulomb effect, etching, backward scattering, fogging, loading, resist charging, and EUV midrange scattering. The result of MDP step 760 is a shot list 762, either for one or for multiple exposure passes in mask writing step 768. Either OPC step 754 or MDP step 760, or a separate program 786 can include pre-calculating one or more shot groups that may be used for a given input pattern, and storing this information in a shot group library 788. Combining OPC and any or all of the various operations of mask data preparation in one step is contemplated in this disclosure. Mask data preparation step 760, which may include a fracturing operation, may also comprise a pattern matching operation to match pre-calculated shot groups to create a mask image 770 that matches closely to the mask design 756. Mask data preparation 760 may also include calculating the dose margin, and may also include optimizing the dose margin. In some embodiments, optimization may include varying shot dosages to produce a higher peak dosage near perimeters of generated patterns than in the interior of the generated patterns. In other embodiments, generated shots may have gaps between the shot outlines of nearest neighboring shots, so that area dosage is decreased, but where the gaps are sufficiently small that they will not be registered by the resist in the subsequently-produced mask image 770. In another embodiment, mask data preparation 760 may include optimization by changing the size of the gaps. In another embodiment, mask data preparation 760 may include revising the initially-determined set of shots if the calculated dose margin is below a pre-determined target dose margin, and recalculating the dose margin with the revised set of shots. Mask data preparation 760 may also comprise inputting patterns to be formed on a surface with the patterns being slightly different, selecting a set of characters to be used to form the number of patterns, the set of characters fitting on a stencil mask, the set of characters possibly including both complex and VSB characters, and the set of characters based on varying character dose or varying character position or applying partial exposure of a character within the set of characters or dragging a character to reduce the shot count or total write time. A set of slightly different patterns on the surface may be designed to produce substantially the same pattern on a substrate. Also, the set of characters may be selected from a predetermined set of characters. In one embodiment of this disclosure, a set of characters available on a stencil in the step 780 that may be selected quickly during the mask writing step 768 may be prepared for a specific mask design. In that embodiment, once the mask data preparation step 760 is completed, a stencil is prepared in the step 784. In another embodiment of this disclosure, a stencil is prepared in the step 784 prior to or simultaneous with the MDP step 760 and may be independent of the particular mask design. In this embodiment, the characters available in the step 780 and the stencil layout are designed in step 782 to output generically for many potential mask designs 756 to incorporate patterns that are likely to be output by a particular OPC program 754 or a particular MDP program 760 or particular types of designs that characterizes the physical design 752 such as memories, flash memories, system on chip designs, or particular process technology being designed to in physical design 752, or a particular cell library used in physical design 752, or any other common characteristics that may form different sets of slightly different patterns in mask design 756. The stencil can include a set of characters, such as a limited number of characters that was determined in the step 760.
  • In step 764 proximity effect correction (PEC) refinement may be performed on shot list 762 to create a final shot list 766 with adjusted dosages. The final shot list 766 is used to generate a surface in a mask writing step 768, which uses a charged particle beam writer such as an electron beam writer system. In some embodiments, PEC refinement 764 may be performed by the charged particle beam writer. Mask writing step 768 may use stencil 784 containing both VSB apertures and a plurality of complex characters, or may use a stencil comprising only VSB apertures. Mask writing step 768 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects a beam of electrons through the stencil onto a surface to form a mask image comprising patterns on a surface, as shown in a step 770. The completed surface may then be used in an optical lithography machine, which is shown in a step 772. Finally, in a step 774, a substrate such as a silicon wafer is produced.
  • As has been previously described, in step 780 characters may be provided to the OPC step 754 or the MDP step 760. The step 780 also provides characters to a character and stencil design step 782 or to a shot group pre-calculation step 786. The character and stencil design step 782 provides input to the stencil step 784 and to the characters step 780. The shot group pre-calculation step 786 provides information to the shot group library 788. Also, the shot group pre-calculation step 786 may use as input the physical design 752 or the mask design 756, and may pre-calculate one or more shot groups, which are stored in a shot group library 788.
  • Referring now to FIG. 8, another exemplary conceptual flow diagram 800 of how to prepare a surface which is directly written on a substrate such as a silicon wafer is shown. In a first step 802, a physical design, such as a physical design of an integrated circuit is designed. This may be an ideal pattern that the designer wants transferred onto a substrate. Next, in a step 804, various data preparation (DP) steps are performed to prepare input data to a substrate writing device. Step 804 may include fracturing of the patterns into a set of VSB and/or complex CP shots, where some of the shots may overlap each other. Other corrections may also be performed as part of fracturing or other DP operations, the possible corrections including: forward scattering, resist diffusion, Coulomb effect, etching, backward scattering, fogging, loading, and resist charging. Either DP step 804 or a separate program 822 can include pre-calculating one or more shot groups that may be used for a given input pattern, and storing this information in a shot group library 824. The step 804 may also comprise pattern matching to match pre-calculated shot groups to create a wafer image 814 that matches closely to the physical design created in the step 802. Iterations of pattern matching, dose assignment, and equivalence checking may also be performed. In one embodiment, there may be a single iteration where a correct-by-construction “deterministic” calculation is performed. Data preparation 804 may include calculating the dose margin, and may also include optimizing the dose margin. In some embodiments optimization may include varying shot dosages to produce a higher peak dosage near perimeters of the generated patterns than in the interior of the generated patterns. In other embodiments, generated shots may have gaps between nearest neighboring shots, so that area dosage is decreased, but where the gaps are sufficiently small that they will not be registered by the resist in the subsequently-produced wafer image 814. In another embodiment, step 804 may include optimization by changing the size of the gaps. In another embodiment, data preparation 804 may include revising the initially-determined set of shots if the calculated dose margin is below a pre-determined target dose margin, and recalculating the dose margin with the revised set of shots. The output of step 804 is shot list 806.
  • In step 808 proximity effect correction (PEC) may be performed on shot list 806 to create a final shot list 810 with adjusted dosages. The final shot list 810 is used to create a pattern on a surface such as an image on a wafer 814 in a wafer writing step 812 which uses a charged particle beam writer such as an electron beam writer system. In some embodiments, PEC refinement 808 may be performed by the charged particle beam writer. Wafer writing step 812 may use stencil 808 containing both VSB apertures and a plurality of complex characters, or may use a stencil comprising only VSB apertures. In wafer writing step 812, the electron beam writer system projects a beam of electrons through the stencil onto a surface to form an image 814 comprising patterns on the surface. Wafer writing step 812 may comprise a single exposure pass or multiple exposure passes.
  • As has been previously described, in step 818 characters may be provided to DP step 804. Step 818 also provides characters to a character and stencil design step 820 or to a shot group pre-calculation step 822. The character and stencil design step 820 provides input to the stencil step 808 and to the characters step 818. The shot group pre-calculation step 822 provides information to the shot group library 824. Also, the shot group pre-calculation step 822 may use as input the physical design 802 and may pre-calculate one or more shot groups, which are stored in a shot group library 824.
  • The step 812 may include repeated application as required for each layer of processing, potentially with some processed using the methods described in association with FIG. 7, and others processed using the methods outlined above with respect to FIG. 8, or others produced using any other wafer writing method to produce integrated circuits on the silicon wafer.
  • The fracturing, MDP, OPC, MPC and PEC flows described in this disclosure may be implemented using general-purpose computers with appropriate computer software as computation devices. Due to the large amount of calculations required, multiple computers or processor cores may also be used in parallel. In one embodiment, the computations may be subdivided into a plurality of 2-dimensional geometric regions for one or more computation-intensive steps in the flow, to support parallel processing. In another embodiment, a special-purpose hardware device, either used singly or in multiples, may be used to perform the computations of one or more steps with greater speed than using general-purpose computers or processor cores. In one embodiment, the special-purpose hardware device may be a graphics processing unit (GPU). In another embodiment, the optimization and simulation processes described in this disclosure may include iterative processes of revising and recalculating possible solutions, so as to minimize either the total number of shots, or the total charged particle beam writing time, or some other parameter. In yet another embodiment, an initial set of shots may be determined in a correct-by-construction method, so that no shot modifications are required.
  • While the specification has been described in detail with respect to specific embodiments, it will be appreciated that those skilled in the art, upon attaining an understanding of the foregoing, may readily conceive of alterations to, variations of, and equivalents to these embodiments. These and other modifications and variations to the present methods for fracturing, mask data preparation, and proximity effect correction may be practiced by those of ordinary skill in the art, without departing from the spirit and scope of the present subject matter, which is more particularly set forth in the appended claims. Furthermore, those of ordinary skill in the art will appreciate that the foregoing description is by way of example only, and is not intended to be limiting. Steps can be added to, taken from or modified from the steps in this specification without deviating from the scope of the invention. In general, any flowcharts presented are only intended to indicate one possible sequence of basic operations to achieve a function, and many variations are possible. Thus, it is intended that the present subject matter covers such modifications and variations as come within the scope of the appended claims and their equivalents.

Claims (19)

1. A method for fracturing or mask data preparation or proximity effect correction or optical proximity correction or mask process correction comprising the step of determining a plurality of shaped beam charged particle beam shots for an exposure pass, wherein the plurality of shaped beam shots is capable of forming a pattern on a surface, wherein the plurality of shaped beam shots provides different dosages to different parts of the pattern, and wherein the step of determining comprises calculating a dose margin from the plurality of shaped beam shots.
2. The method of claim 1 wherein the dose margin is optimized.
3. The method of claim 2 wherein the plurality of shaped beam shots produces a higher dosage peak near a perimeter of the pattern on the surface than in an interior area of the pattern on the surface.
4. The method of claim 1 wherein the calculating comprises charged particle beam simulation.
5. The method of claim 4 wherein the charged particle beam simulation includes at least one of a group consisting of forward scattering, backward scattering, resist diffusion, Coulomb effect, etching, fogging, loading and resist charging.
6. The method of claim 1, further comprising the step of revising the plurality of shaped beam shots and recalculating the dose margin if the dose margin is lower than a pre-determined target dose margin.
7. The method of claim 1 wherein each shot in the plurality of shaped beam shots comprises an assigned dosage, and wherein the assigned dosages of at least two shots in the plurality of shaped beam shots differ from each other before dosage correction for long-range effects.
8. The method of claim 1 wherein each shot in the plurality of shaped beam shots is a variable shaped beam (VSB) shot.
9. The method of claim 1 wherein the surface comprises a reticle to be used in an optical lithographic process to manufacture a substrate.
10. A method for manufacturing a surface using charged particle beam lithography, the method comprising the steps of:
determining a plurality of shaped beam shots for a plurality of exposure passes; and
forming a pattern on the surface with the plurality of shots, wherein the plurality of shaped beam shots provides different dosages to different parts of the pattern, and wherein the step of determining comprises calculating a dose margin from the plurality of shaped beam shots.
11. The method of claim 10 wherein the dose margin is optimized.
12. The method of claim 11 wherein the plurality of shaped beam shots produces a higher dosage peak near a perimeter of the pattern on the surface than in an interior area of the pattern on the surface.
13. The method of claim 10 wherein the calculating comprises charged particle beam simulation.
14. The method of claim 13 wherein the charged particle beam simulation includes at least one of a group consisting of forward scattering, backward scattering, resist diffusion, Coulomb effect, etching, fogging, loading and resist charging.
15. The method of claim 10, further comprising the step of revising the plurality of shaped beam shots and recalculating the dose margin if the dose margin is lower than a pre-determined target dose margin.
16. The method of claim 10 wherein each shot in the plurality of shaped beam shots comprises an assigned dosage, and wherein the assigned dosages of at least two shots in the plurality of shaped beam shots differ before dosage correction for long-range effects.
17. The method of claim 16, further comprising the step performing dose correction for long-range effects, wherein the assigned dosages of at least two shots in the plurality of shaped beam shots differ from each other before the dose correction.
18. The method of claim 10 wherein the surface comprises a reticle to be used in an optical lithographic process to manufacture a substrate.
19.-25. (canceled)
US15/481,677 2008-09-01 2017-04-07 Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage Abandoned US20170213698A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/481,677 US20170213698A1 (en) 2008-09-01 2017-04-07 Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage
US16/118,074 US20180374675A1 (en) 2008-09-01 2018-08-30 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US12/202,364 US7759026B2 (en) 2008-09-01 2008-09-01 Method and system for manufacturing a reticle using character projection particle beam lithography
US12/473,241 US7754401B2 (en) 2008-09-01 2009-05-27 Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US22484909P 2009-07-10 2009-07-10
US12/540,322 US8057970B2 (en) 2008-09-01 2009-08-12 Method and system for forming circular patterns on a surface
US13/037,263 US20120221985A1 (en) 2011-02-28 2011-02-28 Method and system for design of a surface to be manufactured using charged particle beam lithography
US13/282,446 US8354207B2 (en) 2008-09-01 2011-10-26 Method, device, and system for forming circular patterns on a surface
US13/329,315 US20120219886A1 (en) 2011-02-28 2011-12-18 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US13/723,181 US8609306B2 (en) 2008-09-01 2012-12-20 Method for forming circular patterns on a surface
US14/108,135 US8900778B2 (en) 2008-09-01 2013-12-16 Method for forming circular patterns on a surface
US14/552,360 US9268214B2 (en) 2008-09-01 2014-11-24 Method for forming circular patterns on a surface
US14/809,188 US9372391B2 (en) 2008-09-01 2015-07-25 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US15/184,099 US9625809B2 (en) 2008-09-01 2016-06-16 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US15/481,677 US20170213698A1 (en) 2008-09-01 2017-04-07 Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/184,099 Continuation US9625809B2 (en) 2008-09-01 2016-06-16 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/118,074 Continuation US20180374675A1 (en) 2008-09-01 2018-08-30 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Publications (1)

Publication Number Publication Date
US20170213698A1 true US20170213698A1 (en) 2017-07-27

Family

ID=46719192

Family Applications (5)

Application Number Title Priority Date Filing Date
US13/329,315 Abandoned US20120219886A1 (en) 2008-09-01 2011-12-18 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US14/809,188 Active US9372391B2 (en) 2008-09-01 2015-07-25 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US15/184,099 Active US9625809B2 (en) 2008-09-01 2016-06-16 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US15/481,677 Abandoned US20170213698A1 (en) 2008-09-01 2017-04-07 Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage
US16/118,074 Abandoned US20180374675A1 (en) 2008-09-01 2018-08-30 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US13/329,315 Abandoned US20120219886A1 (en) 2008-09-01 2011-12-18 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US14/809,188 Active US9372391B2 (en) 2008-09-01 2015-07-25 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US15/184,099 Active US9625809B2 (en) 2008-09-01 2016-06-16 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/118,074 Abandoned US20180374675A1 (en) 2008-09-01 2018-08-30 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage

Country Status (1)

Country Link
US (5) US20120219886A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9400857B2 (en) 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8812999B2 (en) * 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
KR102230503B1 (en) * 2015-04-14 2021-03-22 삼성전자주식회사 Layout design system, system and method for fabricating mask pattern using the design system
US10394984B2 (en) * 2015-11-25 2019-08-27 International Business Machines Corporation Tool to provide integrated circuit masks with accurate dimensional compensation of patterns
JP6951922B2 (en) * 2016-09-28 2021-10-20 株式会社ニューフレアテクノロジー Charged particle beam device and method for correcting misalignment of charged particle beam
KR102396647B1 (en) 2017-10-12 2022-05-11 삼성전자주식회사 Method of designing layout of photomask and method of manufacturing photomask
US11604451B2 (en) * 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US10884395B2 (en) * 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070166646A1 (en) * 2006-01-17 2007-07-19 Samsung Electronics Co., Ltd. Methods for forming pattern using electron beam and cell masks used in electron beam lithography
US20080116398A1 (en) * 2006-11-21 2008-05-22 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7571417B2 (en) * 2003-12-18 2009-08-04 Kabushiki Kaisha Toshiba Method and system for correcting a mask pattern design

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675Y2 (en) 1974-10-03 1979-08-27
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
US4264711A (en) 1979-12-10 1981-04-28 Burroughs Corporation Method of compensating for proximity effects in electron-beam lithography
JPS58105910U (en) 1982-01-11 1983-07-19 オイレス工業株式会社 Sheet roof opening/closing device
JPS608844A (en) 1983-06-29 1985-01-17 Pioneer Electronic Corp Photoetching method with electron beams
US4712013A (en) 1984-09-29 1987-12-08 Kabushiki Kaisha Toshiba Method of forming a fine pattern with a charged particle beam
JPS61105839A (en) 1984-10-29 1986-05-23 Toshiba Corp Electron beam transferring mask and manufacture thereof
JPS61105839U (en) 1984-12-18 1986-07-05
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (en) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co Electron beam drawing
GB2197751A (en) 1986-11-24 1988-05-25 Philips Electronic Associated Variable shaped spot electron beam pattern generator
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
JPH0536595Y2 (en) 1987-10-30 1993-09-16
JP2680074B2 (en) 1988-10-24 1997-11-19 富士通株式会社 Method of manufacturing semiconductor device using charged particle beam exposure
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH0620931Y2 (en) 1989-03-28 1994-06-01 栃木富士産業株式会社 Slip limited differential
JPH02280315A (en) 1989-04-20 1990-11-16 Mitsubishi Electric Corp Electronic beam direct lithography device
JPH03205815A (en) 1990-01-08 1991-09-09 Hitachi Ltd Variable fairing diaphragm
JP2837743B2 (en) 1990-06-27 1998-12-16 富士通株式会社 Charged particle beam exposure method and stencil mask used therefor
JPH0496065A (en) 1990-08-13 1992-03-27 Fujitsu Ltd Reticle
US5051598A (en) 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
JP2502202Y2 (en) 1990-09-27 1996-06-19 麒麟麦酒株式会社 Container conveyor
JPH04137520A (en) 1990-09-28 1992-05-12 Hitachi Ltd Device and method for electron beam lithography
JPH04155337A (en) 1990-10-18 1992-05-28 Nec Corp Manufacture of photo mask
JPH04196516A (en) 1990-11-28 1992-07-16 Seiko Epson Corp Ed exposing method and aligner
IL97022A0 (en) 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (en) 1991-08-02 1993-02-12 Fujitsu Ltd Electron beam exposure method
JP3295855B2 (en) 1991-09-30 2002-06-24 富士通株式会社 Charged particle beam exposure method
JPH05114549A (en) 1991-10-23 1993-05-07 Matsushita Electric Ind Co Ltd Electron-beam exposing method
JPH05267133A (en) 1992-03-17 1993-10-15 Hitachi Ltd Lithographic method for skew figure
JP2823418B2 (en) 1992-03-24 1998-11-11 株式会社日立製作所 Figure decomposition equipment for charged particle drawing equipment
JPH05335221A (en) 1992-05-28 1993-12-17 Fujitsu Ltd Method and device for exposure by charged particle beam
JPH0620931A (en) 1992-07-03 1994-01-28 Hitachi Ltd Method for electron beam exposure
JP3288794B2 (en) 1992-08-31 2002-06-04 株式会社東芝 Charge beam correction method and mark detection method
JPH06252036A (en) 1993-02-24 1994-09-09 Hitachi Ltd Exposure method and preparation of pattern data used for the method and aligner
JPH0864522A (en) 1994-06-16 1996-03-08 Nikon Corp Charged particle beam transfer method
JP3203963B2 (en) 1994-07-15 2001-09-04 株式会社日立製作所 Electron beam drawing apparatus and electron beam drawing method
JP3340248B2 (en) 1994-08-12 2002-11-05 沖電気工業株式会社 Electron beam exposure method
JPH08195339A (en) 1995-01-18 1996-07-30 Hitachi Ltd Electron beam drawing method
JPH08222504A (en) 1995-02-14 1996-08-30 Hitachi Ltd Charged particle beam exposing device
JP3331822B2 (en) 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
JP3334441B2 (en) 1995-08-01 2002-10-15 ソニー株式会社 Photomask drawing pattern data correction method and correction device
JP3940824B2 (en) 1995-08-14 2007-07-04 株式会社ニコン Pattern transfer method and transfer apparatus using charged particle beam
JP3454983B2 (en) 1995-08-25 2003-10-06 株式会社東芝 Charged beam drawing method
JP3038141B2 (en) 1995-09-19 2000-05-08 ホーヤ株式会社 Resist pattern forming condition determining method and resist pattern forming method
JP2956577B2 (en) 1996-03-28 1999-10-04 日本電気株式会社 Electron beam exposure method
KR0165524B1 (en) 1996-07-16 1999-03-20 김광호 Exposure method of photolithography process
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (en) 1997-03-13 2000-09-11 日本電気株式会社 Charged particle beam exposure method
JPH10289861A (en) 1997-04-16 1998-10-27 Nikon Corp Method for forming mask pattern
JPH10294255A (en) 1997-04-17 1998-11-04 Canon Inc Electron-beam illumination apparatus and aligner provided with the electron-beam illumination apparatus
JP3350416B2 (en) 1997-10-01 2002-11-25 株式会社東芝 Pattern formation method
JPH11233401A (en) 1998-02-09 1999-08-27 Hitachi Ltd Method and device for electron beam lithography
US6001513A (en) 1998-06-16 1999-12-14 Motorola, Inc. Method for forming a lithographic mask used for patterning semiconductor die
JP3564298B2 (en) 1998-06-18 2004-09-08 株式会社東芝 Pattern evaluation method and pattern generation method using computer
JP2000020564A (en) 1998-06-29 2000-01-21 Mitsubishi Electric Corp Device for correcting layout pattern data, method for correcting layout pattern data, manufacture of semiconductor device using the method, and recording medium having recorded manufacturing program of semiconductor device thereon
JP2000066366A (en) 1998-08-19 2000-03-03 Nec Corp Photomask and its production
JP3076570B2 (en) 1998-08-24 2000-08-14 松下電子工業株式会社 Charged particle drawing method and charged particle drawing device
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (en) 1998-09-09 2000-03-31 Nikon Corp Electron beam aligning mask, method therefor, and aligning device
JP2000269123A (en) 1999-03-19 2000-09-29 Toshiba Corp Generating method of exposure pattern data and charged-beam exposure system
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
JP2001013671A (en) 1999-06-30 2001-01-19 Toshiba Corp Pattern forming method
US6262427B1 (en) 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP2001093809A (en) 1999-09-22 2001-04-06 Toshiba Corp Pattern lithography method and charged beam lithography system
JP2001144008A (en) 1999-11-17 2001-05-25 Nec Corp Electron beam exposure method, mask used therefor and electron beam aligner
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (en) 2000-01-12 2002-03-06 윤종용 Correction method of dimension variation caused by re-scattered electron beam in electron beam lithography and recording medium in which the correction method is recorded
US6815693B2 (en) 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
JP2001305720A (en) 2000-02-18 2001-11-02 Nikon Corp Method for manufacturing medium to be transferred, memory medium storing program for forming pattern to be transferred and method for manufacturing semiconductor device
JP2001313253A (en) 2000-02-25 2001-11-09 Hitachi Ltd System and method of electron beam lithography
JP4196516B2 (en) 2000-03-08 2008-12-17 株式会社島津製作所 Liquid chromatograph
JP4251756B2 (en) 2000-04-10 2009-04-08 富士通マイクロエレクトロニクス株式会社 Photomask and method and apparatus for processing optical proximity effect correction data
JP4522547B2 (en) 2000-06-21 2010-08-11 大日本印刷株式会社 Micromachining simulation method
US6433348B1 (en) 2000-07-25 2002-08-13 Applied Materials, Inc. Lithography using multiple pass raster-shaped beam
JP2002050559A (en) 2000-08-01 2002-02-15 Canon Inc Aligner and method for manufacturing device using the same
JP2002075830A (en) 2000-08-29 2002-03-15 Nikon Corp Charged-particle beam exposure method, reticle and manufacturing method of device
JP3807909B2 (en) 2000-09-01 2006-08-09 富士通株式会社 Charged particle beam exposure method and charged particle beam exposure apparatus
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (en) 2000-09-27 2006-10-11 株式会社東芝 Exposure processing apparatus and exposure processing method
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (en) 2000-11-10 2002-05-24 Jeol Ltd Method for drawing electron beam
JP2002162566A (en) 2000-11-27 2002-06-07 Nikon Corp Method for designing optical system, the optical system and projection aligner
KR100594225B1 (en) 2000-12-27 2006-07-03 삼성전자주식회사 Electron-beam exposure method and patterning method using the same
JP2002217088A (en) 2001-01-17 2002-08-02 Nikon Corp Charged particle beam exposing system, method therefor and method for fabricating semiconductor device
JP2002217092A (en) 2001-01-22 2002-08-02 Nec Corp Method for forming resist pattern and method for fabricating semiconductor device
JP3590388B2 (en) 2001-03-23 2004-11-17 Hoya株式会社 Photomask defect correction method
EP1249734B1 (en) 2001-04-11 2012-04-18 Fujitsu Semiconductor Limited Rectangle/lattice data conversion method for charged particle beam exposure mask pattern and charged particle beam exposure method
JP2002329659A (en) 2001-05-02 2002-11-15 Nikon Corp Charged particle beam exposure method, charged particle beam aligner and device manufacturing method
US6919164B2 (en) 2001-06-08 2005-07-19 The Penn State Research Foundation Patterning compositions using E-beam lithography and structures and devices made thereby
JP2003068625A (en) 2001-08-29 2003-03-07 Nikon Corp Method of simulating shape of resist pattern
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (en) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ Pattern forming method and semiconductor device manufacturing method
JP4308467B2 (en) 2001-12-27 2009-08-05 新光電気工業株式会社 Exposure method and exposure apparatus
US6721939B2 (en) 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (en) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 How to make exposure master
JP2003347192A (en) 2002-05-24 2003-12-05 Toshiba Corp Energy beam exposure method and exposure device
JP4327497B2 (en) 2002-06-26 2009-09-09 株式会社アドバンテスト Electron beam exposure apparatus, electron beam exposure method, semiconductor element manufacturing method, mask, and mask manufacturing method
JP4252262B2 (en) 2002-07-11 2009-04-08 株式会社オクテック Method of manufacturing transfer mask for exposure
US20050017026A1 (en) * 2002-07-22 2005-01-27 Seaquist Perfect Dispensing Foreign, Inc. Locking aerosol dispenser
JP2004063546A (en) 2002-07-25 2004-02-26 Nikon Corp Electronic beam exposure method
JP2004134447A (en) 2002-10-08 2004-04-30 Sony Corp Method for exposure, mask, and method of manufacturing semiconductor device
JP2004134574A (en) 2002-10-10 2004-04-30 Renesas Technology Corp Manufacturing method of semiconductor device
EP2523207A3 (en) 2002-10-30 2015-08-26 Mapper Lithography IP B.V. Electron beam generator
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
EP1439420A1 (en) 2003-01-14 2004-07-21 ASML Masktools B.V. Simulation based method of optical proximity correction design for contact hole mask
US7245356B2 (en) 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP4494221B2 (en) 2003-02-28 2010-06-30 富士通マイクロエレクトロニクス株式会社 Photomask, manufacturing method thereof, and pattern forming method
JP2004273526A (en) 2003-03-05 2004-09-30 Nikon Corp Reticle manufacturing method, reticle, and charged particle beam exposure method
US6982135B2 (en) 2003-03-28 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern compensation for stitching
JP2004304031A (en) 2003-03-31 2004-10-28 Toshiba Corp Mask scanning lithography method
US7000207B2 (en) 2003-04-10 2006-02-14 Sioptical, Inc. Method of using a Manhattan layout to realize non-Manhattan shaped optical structures
JP4091470B2 (en) 2003-05-06 2008-05-28 株式会社東芝 Electron beam drawing apparatus and electron beam drawing method
JP4046012B2 (en) 2003-05-29 2008-02-13 ソニー株式会社 Mask distortion data generation method, exposure method, and semiconductor device manufacturing method
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (en) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc Method, device, and program for creating electron beam lithography data and electron beam lithography equipment
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
EP1530083A3 (en) 2003-11-05 2006-03-01 ASML MaskTools B.V. OPC based on decomposition into eigen-functions
US7588869B2 (en) 2003-12-30 2009-09-15 Lg Display Co., Ltd. Divided exposure method for making a liquid crystal display
KR100844872B1 (en) 2004-03-31 2008-07-09 호야 가부시키가이샤 An Electron Beam Drawing Method and a method of manufacturing lithography mask
US7420164B2 (en) 2004-05-26 2008-09-02 Ebara Corporation Objective lens, electron beam system and method of inspecting defect
JP4570400B2 (en) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 Exposure data creation method and exposure data creation apparatus
EP1612835A1 (en) 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (en) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 Exposure method, pattern dimension adjustment method, and focal blur amount acquisition method
JP4324049B2 (en) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 Mask pattern correction apparatus and method, and exposure correction apparatus and method
US7536664B2 (en) 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP2006100336A (en) 2004-09-28 2006-04-13 Advantest Corp Electron beam exposure mask, electron beam exposure method and device
JP4410075B2 (en) 2004-09-28 2010-02-03 株式会社東芝 Semiconductor device and manufacturing method thereof
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
JP4398342B2 (en) 2004-10-06 2010-01-13 株式会社東芝 Charged beam drawing apparatus and drawing method
US7908572B2 (en) 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
JP2006222230A (en) 2005-02-09 2006-08-24 Semiconductor Leading Edge Technologies Inc Proximity effect correction method
JP2006294794A (en) 2005-04-08 2006-10-26 Toshiba Corp Device and method for electronic beam exposure
US20060292501A1 (en) 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4808447B2 (en) 2005-08-01 2011-11-02 株式会社リコー Electron beam drawing method and electron beam drawing apparatus
JP4989158B2 (en) 2005-09-07 2012-08-01 株式会社ニューフレアテクノロジー Method for creating charged particle beam drawing data and method for converting charged particle beam drawing data
US20080248408A1 (en) 2005-09-07 2008-10-09 Macdonald Susan S Photomask and Method for Forming a Non-Orthogonal Feature on the Same
US7498591B2 (en) 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
JP2007115999A (en) 2005-10-21 2007-05-10 Toshiba Corp Process and device for charged particle beam exposure employing character projection (cp) method, and program
JP4476975B2 (en) 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4773224B2 (en) 2006-02-14 2011-09-14 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus, charged particle beam drawing method and program
JP5063071B2 (en) 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー Pattern creating method and charged particle beam drawing apparatus
JP4984810B2 (en) 2006-02-16 2012-07-25 株式会社ニコン Exposure method, exposure apparatus, and photomask
JP4976071B2 (en) 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー Charged particle beam drawing method and charged particle beam drawing apparatus
JP4814651B2 (en) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 Charged particle beam exposure method and program used therefor
JP4915502B2 (en) 2006-03-06 2012-04-11 凸版印刷株式会社 Resist pattern simulation method
JP5001563B2 (en) 2006-03-08 2012-08-15 株式会社ニューフレアテクノロジー Creating charged particle beam drawing data
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (en) 2006-05-12 2007-11-22 Toshiba Corp Character pattern extracting method, charged particle beam exposure method, and character pattern extracting program
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
KR20080001438A (en) 2006-06-29 2008-01-03 주식회사 하이닉스반도체 Method of designing mask layout
JP4866683B2 (en) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 Semiconductor device manufacturing method, data creation apparatus, data creation method, and program
JP4843425B2 (en) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 Variable shaped electron beam lithography system
US7856612B1 (en) 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
JP4378648B2 (en) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 Irradiation pattern data creation method, mask manufacturing method, and drawing system
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US7953582B2 (en) 2006-11-21 2011-05-31 Cadence Design Systems, Inc. Method and system for lithography simulation and measurement of critical dimensions
US8426832B2 (en) 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7897522B2 (en) 2006-11-21 2011-03-01 Cadence Design Systems, Inc. Method and system for improving particle beam lithography
US7579606B2 (en) 2006-12-01 2009-08-25 D2S, Inc. Method and system for logic design for cell projection particle beam lithography
JP2008175959A (en) 2007-01-17 2008-07-31 Toshiba Corp Method for fabricating photomask, and method for manufacturing semiconductor device
US7824828B2 (en) 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
JP5133087B2 (en) 2007-02-23 2013-01-30 株式会社ニューフレアテクノロジー Manufacturing method of semiconductor device
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5090887B2 (en) 2007-12-18 2012-12-05 日本電子株式会社 Drawing method for electron beam drawing apparatus and electron beam drawing apparatus
JP5288894B2 (en) 2008-06-09 2013-09-11 株式会社東芝 Manufacturing control method of semiconductor device
WO2010005957A1 (en) 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US20130070222A1 (en) 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120221980A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7759026B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for manufacturing a reticle using character projection particle beam lithography
US7754401B2 (en) 2008-09-01 2010-07-13 D2S, Inc. Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US7985514B2 (en) 2009-10-21 2011-07-26 D2S, Inc. Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
WO2010025031A2 (en) 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
US7901845B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using character projection lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
TWI506672B (en) 2008-09-01 2015-11-01 D2S Inc Method for fracturing and forming circular patterns on a surface and for manufacturing a semiconductor device
JP5739808B2 (en) 2008-09-01 2015-06-24 ディー・ツー・エス・インコーポレイテッドD2S, Inc. Method for optical proximity correction, design, and fabrication of reticles using variable shaped beam lithography
US20120221985A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of a surface to be manufactured using charged particle beam lithography
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
DE102008062450B4 (en) 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Arrangement for illuminating a substrate with a plurality of individually shaped particle beams for high-resolution lithography of structural patterns
WO2010117626A2 (en) 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US8312406B2 (en) 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (en) 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and method
JP2011040716A (en) 2009-08-06 2011-02-24 Nikon Corp Exposure apparatus, exposure method, and device manufacturing method
WO2011021346A1 (en) 2009-08-21 2011-02-24 株式会社 日立ハイテクノロジーズ Pattern shape estimation method and pattern measuring device
TWI496182B (en) 2009-08-26 2015-08-11 D2S Inc Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
WO2011049740A1 (en) 2009-10-21 2011-04-28 D2S, Inc. Method and system for forming a pattern on a surface using charged particle beam lithography
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (en) 2010-01-15 2013-09-11 株式会社東芝 Exposure amount determination method, semiconductor device manufacturing method, exposure amount determination program, and exposure amount determination apparatus
JP2011197520A (en) 2010-03-23 2011-10-06 Toppan Printing Co Ltd Method for manufacturing photomask
KR101646909B1 (en) 2010-08-19 2016-08-09 삼성전자주식회사 Method for manufacturing semiconductor devices
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
US9400857B2 (en) 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7571417B2 (en) * 2003-12-18 2009-08-04 Kabushiki Kaisha Toshiba Method and system for correcting a mask pattern design
US20070166646A1 (en) * 2006-01-17 2007-07-19 Samsung Electronics Co., Ltd. Methods for forming pattern using electron beam and cell masks used in electron beam lithography
US20080116398A1 (en) * 2006-11-21 2008-05-22 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device

Also Published As

Publication number Publication date
US9372391B2 (en) 2016-06-21
US20160299422A1 (en) 2016-10-13
US20120219886A1 (en) 2012-08-30
US20150331991A1 (en) 2015-11-19
US9625809B2 (en) 2017-04-18
US20180374675A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8612901B2 (en) Method and system for forming patterns using charged particle beam lithography with multiple exposure passes
US20120217421A1 (en) Method and system for forming patterns using charged particle beam lithography with overlapping shots
US9038003B2 (en) Method and system for critical dimension uniformity using charged particle beam lithography
US8703389B2 (en) Method and system for forming patterns with charged particle beam lithography
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
KR102005083B1 (en) Method and system for forming patterns using charged particle beam lithography
US20120221980A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
WO2012118621A2 (en) Method and system for design of enhanced patterns for charged particle beam lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: D2S, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FUJIMURA, AKIRA;ZABLE, HAROLD ROBERT;REEL/FRAME:041932/0298

Effective date: 20151009

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION