US20170022611A9 - Multi-zone temperature control for semiconductor wafer - Google Patents

Multi-zone temperature control for semiconductor wafer Download PDF

Info

Publication number
US20170022611A9
US20170022611A9 US14/680,105 US201514680105A US2017022611A9 US 20170022611 A9 US20170022611 A9 US 20170022611A9 US 201514680105 A US201514680105 A US 201514680105A US 2017022611 A9 US2017022611 A9 US 2017022611A9
Authority
US
United States
Prior art keywords
wafer
film
controller
heating element
platen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/680,105
Other versions
US20150211122A1 (en
US10113233B2 (en
Inventor
Chun-Lin Chang
Hsin-Hsien Wu
Zin-Chang Wei
Chi-Ming Yang
Chyi Shyuan Chern
Jun-Lin Yeh
Jih-Jse Lin
Jo Fei Wang
Ming-Yu Fan
Jong-I Mou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/370,746 external-priority patent/US8404572B2/en
Priority claimed from US13/772,212 external-priority patent/US9111212B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/680,105 priority Critical patent/US10113233B2/en
Publication of US20150211122A1 publication Critical patent/US20150211122A1/en
Publication of US20170022611A9 publication Critical patent/US20170022611A9/en
Application granted granted Critical
Publication of US10113233B2 publication Critical patent/US10113233B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • G06F18/243Classification techniques relating to the number of classes
    • G06F18/2433Single-class perspective, e.g. one-against-all classification; Novelty detection; Outlier detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q30/00Commerce
    • G06Q30/018Certifying business or products
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/30Computing systems specially adapted for manufacturing

Definitions

  • the present disclosure relates to semiconductor fabrication processes and equipment.
  • Processing larger wafers introduces mechanical challenges.
  • One of the methods of providing a reliable process with a high yield is strict control over processing conditions. Because a 450 mm wafer has a larger diameter and surface area, it is more difficult to attain and maintain a uniform environment throughout the wafer while processing. For example, several processing steps are performed at specific temperatures. If heat or cooling is applied at discrete locations on the wafer, hot spots or cold spots may occur on the wafer. Additionally, secondary sources of heating and cooling (e.g., radiative heat transfer to or from the chamber walls) may affect the wafer unevenly. If the wafer temperature is not uniform throughout the wafer, then local variations may occur in various processing steps, causing within die variations and within wafer (between die) variations, such as line width variations.
  • an apparatus comprises a process chamber configured to perform an ion implantation process.
  • An electrostatic chuck is provided within the process chamber.
  • the electrostatic chuck is configured to support a semiconductor wafer.
  • the electrostatic chuck has a plurality of temperature zones. Each temperature zone includes at least one fluid conduit within or adjacent to the electrostatic chuck.
  • At least two coolant sources are provided. Each coolant source is fluidly coupled to a respective one of the fluid conduits and configured to supply a respectively different coolant to a respective one of the plurality of temperature zones during the ion implantation process.
  • the at least two coolant sources include respectively different chilling or refrigeration units.
  • a method comprises performing an ion implantation process on a semiconductor wafer supported by an electrostatic chuck.
  • First and second different coolant fluids are supplied to respective first and second fluid conduits in or adjacent to the electrostatic chuck in respective first and second zones of the electrostatic chuck, to independently control the temperature of the wafer in respective first and second portions of the wafer adjacent to the first and second zones of the electrostatic chuck during the ion implantation process.
  • a method comprises etching a circuit pattern in a first film of a film material on a first semiconductor substrate.
  • a critical dimension (CD) of the circuit pattern is measured at a plurality of locations.
  • a single wafer chamber that forms a second film of the film material on a second semiconductor substrate is adjusted, based on the measured CD, so as to locally adjust a thickness of the second film.
  • the second film is formed on the second semiconductor substrate using the adjusted single wafer chamber.
  • an apparatus comprises a processor for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate.
  • a single wafer chamber is provided for forming a second film of the film material on a second semiconductor substrate.
  • the single wafer chamber is responsive to a control signal from the processor to locally adjust a thickness of the second film based on the measurements of the CD.
  • an apparatus comprises a process chamber configured to perform a substrate coating or photoresist development step.
  • the process chamber has a hot plate for supporting a semiconductor substrate.
  • the hot plate has a plurality of independently movable heating elements.
  • a controller is provided for controlling independent adjustments to positions of the movable heating elements.
  • a method comprises measuring a critical dimension at a plurality of locations on a first semiconductor substrate supported by a hot plate. Positions at which heat is applied to a second substrate by a plurality of independently controllable heating elements on the hot plate are independently adjusted, The adjusting is based on the measured critical dimension. Heat is applied to the second substrate at the positions while coating the second substrate or developing a photoresist on the second substrate.
  • FIG. 1 is a schematic diagram of a semiconductor processing tool.
  • FIG. 2 is a diagram of the cooling platen of FIG. 1 .
  • FIG. 3 is a schematic diagram of side view of the platen of FIG. 2 .
  • FIG. 4 is a variation of the platen shown in FIG. 3 .
  • FIG. 5 is a schematic diagram of a tool having a film deposition chamber.
  • FIG. 6 is a process schematic diagram for the tool of FIG. 5 , showing feedforward and feedback.
  • FIG. 7 is a flow chart of the process performed in the tool of FIG. 5 .
  • FIG. 8A is a plan view of a hot plate for use in a coater or developer.
  • FIG. 8B is a schematic view of the hot plate of FIG. 8A , with a heating element and controls for the heating element.
  • FIG. 9 is a block diagram of a processing line.
  • FIG. 10 is a block diagram of the control system for the process of FIG. 9 .
  • FIG. 11 is a flow chart of a process with independent temperature control in the coater.
  • FIG. 12 is a flow chart of a process with independent temperature control in the developer.
  • FIG. 13 is a schematic diagram of an alternative heating mechanism.
  • FIG. 1 shows an implantation tool 100 .
  • the tool 100 has a wafer transfer chamber 102 , which maintains the wafers in a sealed vacuum environment.
  • a plurality of loadlocks 104 are connectible to the wafer transfer chamber 102 .
  • the loadlocks 104 can vent to atmospheric pressure.
  • the loadlocks 104 are configured to receive wafers 105 from the four-loadport atmosphere-transfer module 114 , or other robotic device.
  • the loadlocks 104 are then sealed shut and evacuated to vacuum pressure.
  • the wafers 105 can then be transferred to the wafer transfer chamber 102 without interrupting the vacuum or process flow in wafer transfer chamber 102 .
  • the wafers 105 are transferred from the wafer transfer chamber 102 to the process cooling platen or electrostatic chuck (e-chuck) 106 of the process chamber 112 .
  • the process cooling platen or e-chuck 106 is cooled by a plurality of refrigerants supplied in cooling lines by a first refrigerator (compressor) 103 , a second refrigerator 113 , and a third refrigerator 123 for cooling to lower temperatures.
  • the process chamber 112 has a scan motor 108 that produces an ion beam 110 for the implantation process step.
  • Implantation is performed by bombarding the wafer 105 with an ion beam. Junction leakage can be generated by substrate damage from ion implantation.
  • a low temperature ion implantation process will reduce the substrate damage to eliminate end-of-range (EOR) defects (at the interface between amorphous layer and crystalline layer).
  • EOR end-of-range
  • Low temperature implantation bombardment of ions creates a totally amorphous region in the target crystal, i.e. one in which no specific crystal structure is present.
  • Performing annealing following the low temperature implantation encourages the implanted region ⁇ i.e.
  • the low implantation temperature should be uniform throughout the wafer.
  • the inventor has determined that when a conventional cooling platen is used for low temperature ion implantation, the temperature of the wafer varies, and is approximately a function of the radial position on the wafer. For example, if a cooling gas is supplied at the center of the wafer, the center will have the lowest temperature, and the periphery of the wafer will have the highest temperature. In such a configuration, as the radius of the wafer is increased to 450 mm, the potential temperature difference between center and periphery may be larger. This can result in non-uniform crystalline structure throughout the wafer, leading to non-uniform device performance.
  • FIG. 2 is a more detailed diagram of an exemplary multi-zone cooling platen or e-chuck 106 of the process chamber 112 .
  • the platen or e-chuck 106 is suitable for within-wafer temperature control during the implantation process.
  • improvements in critical dimension (CD) uniformity are possible, which makes it possible to improve within-wafer junction leakage performance, and threshold voltage uniformity, and to reduce or eliminate Ni piping defects.
  • the platen or e-chuck 106 within the process chamber 112 is configured to support a semiconductor wafer.
  • the platen or e-chuck 106 has a plurality of temperature zones 101 , 111 , 122 and 124 , where regions 122 and 124 form a single temperature control zone.
  • Each temperature zone 101 , 111 , and 122 , 124 includes at least one fluid conduit within or adjacent to the electrostatic chuck, as shown in detail with reference to FIGS. 3 and 4 .
  • the platen or e-chuck 106 has at least two coolant sources 103 , 113 , and 123 .
  • Each coolant source 103 , 113 , 123 is fluidly coupled to a respective one of the fluid conduits in respective temperature control zones 101 , 111 and 122 , 124 .
  • Each coolant source 103 , 113 , 123 is configured to supply a respectively different coolant to a respective one of the plurality of temperature zones.
  • the at least two coolant sources including respectively different chilling or refrigeration units 103 , 113 , and 123 configured to supply respectively different coolants at respectively different temperatures.
  • the coolants may be cryogenic fluids, such as coolants from the group consisting of liquid hydrogen (20 K, ⁇ 253 C.), liquid helium (3 K, ⁇ 270 C.), liquid nitrogen (77 K, ⁇ 196 C.), liquid oxygen (90 K, ⁇ 183 C.), liquid methane (112 K, ⁇ 162 C), and liquid nitrous oxide (88 K, ⁇ 185 C).
  • the cooling platen or e-chuck 106 can be cooled to a selected one of these temperatures.
  • a refrigerated, non-cryogenic coolant may be used to provide a temperature of about ⁇ 50 C., 0 C., or 5 C.
  • the wafer temperature may be a few degrees higher than the temperature of the coolant.
  • the plurality of temperature zones include a plurality of concentric annular zones 101 , 111 , and 122 , 124 .
  • Annular temperature control zones are generally suitable for a cylindrical wafer in which the local temperature is generally a function of the radial coordinate in a cylindrical polar coordinate system having its center at the center of the wafer.
  • FIG. 2 shows three temperature control zones 101 , 111 and 122 , 124 , in alternative embodiments, any number of two or more temperature control zones may be included.
  • the temperature distribution may also vary with the tangential polar coordinate of the wafer (e.g., if the platen or e-chuck 106 is on positioned a pedestal having an axially asymmetric internal structure that does not distribute heat evenly).
  • each radial temperature zone may be subdivided into two, three or four angular zones, to provide more precise temperature control for greater temperature uniformity during ion implantation.
  • a temperature controller 130 is provided for independently controlling the supply of the respectively different coolants from the refrigerators 103 , 113 , 123 to the plurality of temperature zones at respectively different temperatures so as to maintain a substantially uniform wafer temperature across the wafer. Temperature feedback is used to control the temperature in each zone. The temperature feedback may be collected by a plurality of sensors on or in the platen or e-chuck 106 . Alternatively, an image of the temperature distribution may be collected.
  • each coolant is supplied at substantially constant supply temperatures.
  • the amount of heat removed from each zone can be controlled either by varying the duty cycle of coolant flow (with a constant flow rate), or by varying the volumetric flow rate of the coolant in each temperature zone 101 , 111 , and 122 , 124 .
  • Controller 130 may have a table indicating an appropriate coolant flow rate or duty cycle for each of the coolant sources as a function of the average temperature in the zone controlled by each respective coolant source.
  • one or more of the refrigerators 103 , 113 , and 123 may be capable of providing an individual coolant over a range of temperatures, so that the temperature of one or more of the zones 101 , 111 , and 122 , 124 may be controlled by varying the coolant supply temperature within that (those) zone(s).
  • the first coolant fluid e.g., liquid methane at ⁇ 162 C
  • the second coolant fluid e.g., liquid nitrogen at ⁇ 196 C
  • FIGS. 3 and 4 show two examples of configurations for the platen or e-chuck.
  • the coolant fluid conduits 101 , 111 and 124 are tubes arranged on a back surface of the platen 106 . This configuration may be achieved by welding or otherwise joining the tubing to the back surface.
  • FIG. 4 shows a configuration in which the conduits 201 , 211 and 222 , 224 are formed inside the platen or e-chuck 206 .
  • the configuration of FIG. 4 provides improved thermal coupling between the coolant and the platen or e-chuck 206 , relative to the device shown in FIG. 3 .
  • FIGS. 1-4 relate to the ion implantation process step
  • multiple zone temperature control may be used in other portions of the semiconductor integrated circuit fabrication process.
  • FIGS. 5-7 relate to use of multiple-zone temperature control in film deposition processes, such as chemical vapor deposition (CVD), plasma enhanced CVD (PECVD) or physical vapor deposition (PVD).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • PVD physical vapor deposition
  • FIG. 6 is a schematic process diagram of a metal oxide semiconductor (MOS) process.
  • a substrate 600 has a polysilicon gate electrode 601 thereon.
  • a liner layer 602 such as a thin conformal oxide layer is formed on the sidewalls of the polysilicon gate electrode 601 and on the substrate 600 .
  • a conformal silicon nitride (SiN) layer 603 is formed over the liner layer 602 .
  • An anisotropic (dry) etch process is performed, etching away the SiN layer 603 above the polysilicon gate 601 .
  • spacers 603 are formed beside the polysilicon gate 601 on the liner layers 602 due to the anisotropic nature of the etch.
  • the spacers 603 may be used during the step of forming lightly doped drain (LDD) regions (not shown) in the substrate 600 .
  • LDD lightly doped drain
  • a critical dimension (CD) shown in FIG. 6 is controlled.
  • the inventor has determined that the CD can be controlled by controlling the thickness of the SiN layer 603 , and the CD uniformity (CDU) can be controlled by controlling the uniformity of the thickness of the SiN layer 603 . Further, the thickness uniformity of the SiN layer 603 and the CDU can be controlled by independently controlling the local temperature of the wafer in a plurality of independently controllable zones.
  • a single wafer deposition chamber is used to tune the specific thickness distribution using multiple heater zone for film deposition and etch matching.
  • the inventor has determined that a single-wafer chamber provides a wafer temperature distribution that is substantially axially symmetric. An axially symmetric temperature distribution can be more easily compensated by a plurality of annular heating zones.
  • FIG. 5 is a schematic diagram of a single wafer deposition chamber 500 for depositing a film by a CVD or PECVD process (or other anisotropic deposition process).
  • a platen 506 is provided for supporting a semiconductor wafer.
  • the platen 506 has a plurality of independently controllable temperature zones 501 , 511 , 521 and 531 .
  • FIG. 5 shows four temperature control zones 501 , 511 , 521 and 531 , any desired number of two or more temperature control zones may be used. The larger the number of temperature control zones, the greater the capability to maintain control of the thickness of films 602 and 603 , and thus the greater the capability to control the CDU.
  • At least one heating element 540 is provided in each of the heating zones 501 , 511 , 521 and 531 .
  • FIG. 5 shows 13 heating elements 540 arranged in a cross configuration, any number of heating elements may be provided, and the heating elements may be arranged in any desired configuration. The larger the number of heating elements 540 , the greater the capability to maintain control of the thickness of films 602 and 603 , and thus the greater the capability to control the CDU.
  • FIG. 6 schematically shows the control process.
  • the exemplary system provides feedback from the actual CD of devices formed by the process and the temperature control for controlling the thickness of the film layers 602 , 603 .
  • an etching tool e.g., a dry etching tool
  • CD measurements are made at a plurality of locations in circuit patterns on the wafer. The measurements may be performed using a scanning electron microscope (SEM), for example.
  • SEM scanning electron microscope
  • the CD measurements are automatically provided to the controller 550 , or to a processor that interfaces with the controller 550 .
  • a processor e.g., an automatic process controller 550 for receiving the plurality of CD measurements from the respective locations etched from the oxide and SiN films on a first semiconductor substrate.
  • the processor is configured to control the heating elements to increase the local thickness of the second film (on a second wafer), if the CD of the first film (at the same position on the first wafer) is less than a desired dimension, and to decrease the thickness of the second film, if the CD of the first film is greater than the desired dimension.
  • a film deposition process such as CVD or PECVD, as the temperature of the wafer is increased (while holding other process parameters constant), the thickness of the deposited layer increases.
  • the controller 550 determines a heating correction to be applied to each temperature zone 501 , 511 , 521 , 531 to achieve thickness uniformity of the film to be applied.
  • the controller 550 may have a table that specifies an increase in heating power to be supplied to each heating element 540 in a given temperature control zone in proportion to the difference between the average CD in that zone and the desired CD.
  • FIG. 5 schematically shows a single controller 550
  • the control function may be performed by a plurality of processors.
  • a process controller may interface directly with the heating elements 540
  • a general processor may provide application program software for controlling the algorithm and data used to implement the feedback between the CD measurements and the power supplied to the heating elements 540 .
  • the new heating power levels determined from the SEM CD measurements of a first wafer are then applied to the heating elements 540 when performing a film deposition on a second or subsequent wafer.
  • oxide and SiN films are formed on the wafer, and the width of the SiN spacers is controlled, in other examples, films of other materials may be deposited, and multiple independently controlled temperature zones may be used to control a thickness of the deposited layer, for controlling a CD of another feature.
  • FIG. 7 is a flow chart showing an example of a method according to FIG. 6 .
  • a wafer is provided in the single wafer deposition chamber 500 , for deposition of a conformal film by an anisotropic process such as CVD or PECVD.
  • the conformal film is deposited on the substrate 600 in the single wafer deposition chamber. If this is the first wafer being processed, the power supplied to the heating elements 540 in each temperature control zone during the deposition may be set to a default value.
  • the wafer is transferred to an etching tool, such as a plasma etching reaction chamber.
  • a circuit pattern is etched in the first film on a first semiconductor substrate.
  • a dry etch step may be used to form the SiN spacers besides a polysilicon gate electrode 601 .
  • a CD of the circuit pattern is measured at a plurality of locations.
  • scanning electron microscopy may be used.
  • the plurality of locations should include at least one (and preferably more than one) location in each temperature control zone.
  • the CD to be measured is the width of the SiN spacer.
  • step 708 the processor or controller 550 determines which temperature control zones in the single wafer deposition chamber 500 should have increased or decreased thickness to achieve a desired CDU, based on the CDU feedback from the SEM data.
  • steps 700 to 706 are repeated (e.g., 2 or 3 or more times) before proceeding to the adjustment step 708 .
  • step 708 is performed every time another wafer is processed in steps 700 - 706 .
  • the determination of how often to make the adjustments may be based on several factors, such as stability of the process, the length of time it takes for the platen zone temperatures to adjust to a change in heater power, or a desire to base adjustments on a larger sample of data.
  • the controller 550 adjusts the power supplied to each heating element 540 in the temperature control zones of the single wafer chamber 500 , based on the measured CD, so as to locally adjust a thickness of the second film.
  • Each temperature control zone can be adjusted separately, to differentially adjust the thickness to improve CDU.
  • the heating power supplied to a temperature zone is increased to increase the thickness of the film, if the CD of the first wafer is less than a desired dimension.
  • the heating power supplied to a temperature zone is decreased to decrease the thickness of the film, if the CD of the first wafer is greater than a desired dimension.
  • step 710 the loop from step 700 to 710 is repeated, so that a second film of the film material is formed on a second semiconductor substrate using the adjusted single wafer chamber.
  • FIGS. 8A-12 Another example of a multiple temperature zone system is shown in FIGS. 8A-12 .
  • FIGS. 8A-12 enhance the process control capability of the apparatus and method by flexible temperature control in the hot plate or e-chuck through variable position heating elements. By moving individual heating elements 840 , the hot plate 801 independently adjusts the positions at which heat is applied to the substrate.
  • FIG. 8A shows a hot plate 801 suitable for use in a photolithography process sequence.
  • the hot plate 801 may be included in a coater 902 ( FIG. 9 ) or a developer 906 ( FIG. 9 ).
  • the hot plate 801 of FIG. 8A has a plurality of heating elements, which may be provided in any desired number and arranged in any desired locations.
  • each heating element 840 is movable in the XY plane, within an X range R X and a Y range R Y .
  • the movable elements 840 may be moved in the radial and/or tangential directions.
  • the movable elements 840 may be moved into positions to form symmetric or asymmetric arrangements of heating elements.
  • the movable heating elements may be used to eliminate an asymmetrically shaped zone of increased or decreased temperature.
  • the power to each heating element can be varied, to eliminate a local hot spot or cold spot.
  • the remaining heating elements 840 can be rearranged to at least partially compensate for the missing heating element.
  • the power to each heating element can be varied, to boost the heating power in the remaining heating elements nearest to the failed heating element.
  • FIG. 8B is a schematic diagram showing the control of one of the heating elements 840 . Only one heating element 840 is shown in FIG. 8B , but one of ordinary skill understands that the rest of the heating elements 840 may be controlled the same way as shown in FIG. 8B .
  • a respective driver unit 842 is coupled to each respective movable heating element 840 , to actuate that heating element in a plane parallel to a wafer-engaging surface of the hot plate 801 .
  • a variety of electrically controllable XY stages may be used such as, but not limited to, an XY stage suitable for use in a stepper.
  • the driver unit 842 provides a range of motion in two orthogonal directions, R X and R Y .
  • a controller 850 includes an XY drive motor controller 852 , which is a process (or module) for controlling independent adjustments to positions of the movable heating elements 840 .
  • the XY The controller 850 also includes a second process (or module) 854 for controlling the power supplied to each heating element 840 .
  • the controller 850 may also include a processor that receives feedback signals and computes the desired position and heating power for each of the movable heating elements 840 .
  • the positional adjustments are limited so that the movable heating elements 840 do not bump into each other. For example, movement of each element 840 from its default position (the center of its range of motion) may be limited to a distance of less than one half of the distance between the nearest surfaces of two adjacent XY stages 842 when both stages 842 are centered in their default positions.
  • the controller 850 has one or more tables for providing predetermined configurations of heating element positions and power levels for a plurality of feedback scenarios.
  • FIG. 9 is a block diagram of a photolithographic system in which the hot plate 801 may be used.
  • the system includes a coater 902 (such as a TractrixTM Spin Tool sold by Site Services, Inc. of Santa Clara, Calif.) for applying a photoresist to a substrate.
  • a scanner 904 exposes the photoresist through a mask to form a desired pattern.
  • a developer 906 applies a solution to harden desired portions of the photoresist after exposure.
  • a scanning electron microscope 908 measures the CD of a pattern at a plurality of locations on the wafer and determines the CDU.
  • the SEM 908 may be integrated into the developer.
  • An etcher 910 removes the undesired portion of the photoresist and the underlying film in the substrate.
  • the process may be adjusted in either or both of two different ways.
  • the heating elements 840 of the hot plate 801 in the coater 902 may be adjusted to increase or decrease local temperatures to adjust the uniformity of application of the photoresist film. Adjustments to the local temperature of the wafer in the coater 902 result in local adjustments to the thickness of the photoresist deposited in the coater.
  • the heating elements 840 of the hot plate 801 in the developer 906 may be adjusted. Portions of a positive photoresist that have been exposed becomes soluble during post exposure bake (PEB). By adjusting the local temperature on the wafer during the PEB, the desired portions of the photoresist are more evenly rendered soluble, facilitating CD uniformity.
  • PEB post exposure bake
  • FIG. 10 is a block diagram of the control of the system in FIG. 9 .
  • initial values may be provided by SEM measurements prior to applying the photoresist to the substrate.
  • the substrate may already have patterns formed by a previous processing step.
  • the CD of these patterns may be measured, and any variation in the CD can be identified. Any topography in the wafer can be identified at this step.
  • the initial SEM measurements are used as feed-forward information for the process.
  • the feed-forward information is compared with the target CD data to determine an initial desired bias for the process. This information is used to initially define the desired heat input to the temperature zones. This input is implemented by the controller 850 .
  • the controller 850 operates the heating elements 840 in the manner described above with reference to FIGS. 8A and 8B . These heat inputs affect the operation of the processing equipment shown in FIG. 9 .
  • the controller may include an embedded proportional-integral-derivative (PID) control mechanism that varies the heater power based on the difference between the target CD and the CD input to the controller.
  • PID proportional-integral-derivative
  • the wafer is output from the developer, and the SEM CD data are fed into a model 916 .
  • the model 916 receives as inputs the CD data from the plurality of locations, and identifies a set of heating element positions and heating power levels to improve the photoresist thickness and/or the CDU.
  • the model 916 may identify the cold spots in the wafer (based on the CD data), and assume that each of the heating elements 840 is moved as much as possible towards the nearest cold spot. Then the heating power to be supplied to each of the heating elements 840 is estimated.
  • a thermal module (not shown) within the model 916 can calculate the temperature distribution throughout the wafer based on the heat input values. The temperature distribution can then be input to a CD module (not shown) which estimates the CD at a plurality of locations on the wafer based on the estimated temperature distribution. If the predicted CD uniformity is within a convergence criterion, then the model can output this set of heating element positions and power levels to the controller 850 , for use in the next process run.
  • the model 916 may perform additional iterations by re-running the temperature distribution prediction and CD distribution projection using a different set of heater input power levels. After plural iterations, if none of the sets of heater positions and power levels satisfies the model's convergence criterion, then the set of positions and heating powers providing the best predicted CDU is selected.
  • the automatic process controller will calculate the predicted CD base on the input from nodes 914 and 912 .
  • the CD mean may be compensated by using the stepper to adjust the exposure dose, and the CD uniformity (CDU) may be controlled by the hot-plate with this flexible temperature control unit.
  • FIG. 11 is a flow chart of a method of using the apparatus of FIGS. 8A and 8B .
  • FIG. 11 depicts the process as an ongoing process that is repeated as long as wafers are supplied.
  • an N th semiconductor substrate (wafer) is provided (where N is an integer).
  • the N th wafer is supported by a hot plate.
  • the N th wafer may have already undergone previous fabrication processes and may have patterns formed on it.
  • initial SEM measurements of the Nth wafer may be made to feed forward to the process.
  • Steps 1104 and 1106 are both performed in the hot plate of the coater 902 .
  • the positions of individual heating elements 840 of the hot plate 801 are independently adjusted. If this is the first process run (first wafer), then a set of default positions may be used (e.g., the center of the range of motion for each heating element 840 ). If the N th wafer is a second or subsequent wafer, then the position adjustment for the Nth wafer is based on the CD feedback data from the metrology (SEM) 1116 from the N ⁇ 1 th wafer. (In alternative embodiments, the adjustments may be based on the CD feedback from another recent previously processed wafer, if adjustments are made each time a predetermined number of wafers are processed, or each time a fixed period of time elapses).
  • SEM metrology
  • the heating power supplied to each individual heating element 840 of the hot plate 801 is adjusted. If this is the first process run (first wafer), then a set of default power levels may be used (e.g., the average expected heating power). If the N th wafer is a second or subsequent wafer, then the heating power adjustment for the N th wafer is based on the CD feedback data from the metrology (SEM) 1116 of the N ⁇ 1 th wafer (or other recent previous wafer used to determine position adjustments).
  • SEM metrology
  • the N th wafer is coated with a photoresist, while the heaters 840 apply heat at the desired locations, at the desired power levels.
  • the N th wafer is exposed in the scanner.
  • PEB is performed to activate the photo acid produced during the resist exposure.
  • the acid attacks the bonds of the resist in a self-catalyzing sequence, making them soluble in developer solution.
  • Heat is applied at the positions determined in step 1104 .
  • the developer chemical is applied.
  • the portions of the photoresist that were rendered soluble are removed.
  • the SEM measures a CD at a plurality of locations on the N th semiconductor substrate (wafer) supported by the hot plate.
  • the CD feedback from the SEM of the N th wafer is provided to the model, which generates a new set of heating element positions and power levels to be used in the next iterations of steps 1104 and 1106 , for processing the N+1 th wafer.
  • FIG. 12 is a flow chart of a variation of the method of FIG. 11 , in which the hot plate temperatures are controlled and adjusted in during the PEB step.
  • an N th semiconductor substrate (wafer) is provided, (where N is an integer).
  • the N th wafer is supported by a hot plate.
  • the N th wafer may have already undergone previous fabrication processes and may have patterns formed on it.
  • initial SEM measurements of the Nth wafer may be made to feed forward to the process.
  • the N th wafer is coated with a photoresist.
  • the N th wafer is exposed in the scanner.
  • Steps 1208 and 1210 are both performed in the hot plate of the developer 902 before and during PEB.
  • the positions of individual heating elements 840 of the hot plate 801 are independently adjusted. If this is the first process run (first wafer), then a set of default positions may be used (e.g., the center of the range of motion for each heating element 840 ). If the N th wafer is a second or subsequent wafer, then the position adjustment for the N th wafer is based on the CD feedback data from the metrology (SEM) 1216 from the N ⁇ 1 th wafer. (In alternative embodiments, the adjustments may be based on the CD feedback from another recent previously processed wafer, if adjustments are made each time a predetermined number of wafers are processed, or each time a fixed period of time elapses).
  • SEM metrology
  • the heating power supplied to each individual heating element 840 of the hot plate 801 is adjusted. If this is the first process run (first wafer), then a set of default power levels may be used (e.g., the average expected heating power). If the N th wafer is a second or subsequent wafer, then the heating power adjustment is based on the CD feedback data from the metrology (SEM) 1216 of the N ⁇ 1 th wafer (or other recent previous wafer used to determine position adjustments).
  • SEM metrology
  • PEB is performed to activate the photo acid produced during the resist exposure. Heat is applied at the positions determined in step 1208 by heaters 840 at the desired locations and power levels.
  • the developer chemical is applied.
  • the portions of the photoresist that were rendered soluble are removed.
  • the SEM measures a CD at a plurality of locations on the N th semiconductor substrate (wafer) supported by the hot plate.
  • the CD feedback from the SEM of the N th wafer is provided to the model, which generates a new set of heating element positions and power levels to be used in the next iterations of steps 1208 and 1210 , for processing the N+1 th wafer.
  • FIG. 13 is a diagram of an alternative hot plate 1301 having a different heating structure.
  • a large number of independently controlled heating elements 1340 are provided.
  • the heating elements 1340 can be fixed-location resistive elements.
  • the size of the heating elements 1340 is sufficiently small, and the number of heating elements is sufficiently large that the heating adjustments can be made electrically, instead of mechanically.
  • the hot plate 1301 independently adjusts the positions at which heat is applied to the substrate.
  • the power supplied to each of the active heating elements can be varied to adjust temperature, as discussed above with reference to FIG. 8B .
  • FIGS. 8A-13 Use of the apparatus of FIGS. 8A-13 enables within wafer process control, and improves the coating and PEB steps to improve photoresist thickness uniformity and CDU.
  • Apparatus and methods have been described above to collect data from different locations on a wafer on a first process run, calculate proper equipment or process settings for the individual locations through automatic process control, and to run the adjusted process on another wafer.

Abstract

An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's.

Description

    RELATED APPLICATIONS
  • This application is a continuation application of U.S. patent application Ser. No. 13/777,212, filed on Feb. 26, 2013, which is a divisional application of U.S. patent application Ser. No. 12/370,746, filed on Feb. 13, 2009, the contents of each of which are incorporated herein by reference in their entirety.
  • FIELD OF THE INVENTION
  • The present disclosure relates to semiconductor fabrication processes and equipment.
  • BACKGROUND
  • The semiconductor chip fabrication industry continues to strive for reductions in costs. One of the major strategies to reduce the production cost per chip is to migrate towards the use of larger diameter semiconductor wafers. Current semiconductor foundries primarily use 200 mm (8 inch) and 300 mm (12 inch) silicon wafers. By migrating to use of 450 mm wafers, the number of dies (of the same size) produced from each wafer will increase approximately in proportion to the growth in the area of the wafer. Thus, a 450 mm wafer can yield 2.25 times as many chips as a 300 mm wafer.
  • Processing larger wafers introduces mechanical challenges. One of the methods of providing a reliable process with a high yield is strict control over processing conditions. Because a 450 mm wafer has a larger diameter and surface area, it is more difficult to attain and maintain a uniform environment throughout the wafer while processing. For example, several processing steps are performed at specific temperatures. If heat or cooling is applied at discrete locations on the wafer, hot spots or cold spots may occur on the wafer. Additionally, secondary sources of heating and cooling (e.g., radiative heat transfer to or from the chamber walls) may affect the wafer unevenly. If the wafer temperature is not uniform throughout the wafer, then local variations may occur in various processing steps, causing within die variations and within wafer (between die) variations, such as line width variations.
  • SUMMARY OF THE INVENTION
  • In some embodiments, an apparatus comprises a process chamber configured to perform an ion implantation process. An electrostatic chuck is provided within the process chamber. The electrostatic chuck is configured to support a semiconductor wafer. The electrostatic chuck has a plurality of temperature zones. Each temperature zone includes at least one fluid conduit within or adjacent to the electrostatic chuck. At least two coolant sources are provided. Each coolant source is fluidly coupled to a respective one of the fluid conduits and configured to supply a respectively different coolant to a respective one of the plurality of temperature zones during the ion implantation process. The at least two coolant sources include respectively different chilling or refrigeration units.
  • In some embodiments, a method comprises performing an ion implantation process on a semiconductor wafer supported by an electrostatic chuck. First and second different coolant fluids are supplied to respective first and second fluid conduits in or adjacent to the electrostatic chuck in respective first and second zones of the electrostatic chuck, to independently control the temperature of the wafer in respective first and second portions of the wafer adjacent to the first and second zones of the electrostatic chuck during the ion implantation process.
  • In some embodiments, a method comprises etching a circuit pattern in a first film of a film material on a first semiconductor substrate. A critical dimension (CD) of the circuit pattern is measured at a plurality of locations. A single wafer chamber that forms a second film of the film material on a second semiconductor substrate is adjusted, based on the measured CD, so as to locally adjust a thickness of the second film. The second film is formed on the second semiconductor substrate using the adjusted single wafer chamber.
  • In some embodiments, an apparatus comprises a processor for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate. A single wafer chamber is provided for forming a second film of the film material on a second semiconductor substrate. The single wafer chamber is responsive to a control signal from the processor to locally adjust a thickness of the second film based on the measurements of the CD.
  • In some embodiments, an apparatus comprises a process chamber configured to perform a substrate coating or photoresist development step. The process chamber has a hot plate for supporting a semiconductor substrate. The hot plate has a plurality of independently movable heating elements. A controller is provided for controlling independent adjustments to positions of the movable heating elements.
  • In some embodiments, a method comprises measuring a critical dimension at a plurality of locations on a first semiconductor substrate supported by a hot plate. Positions at which heat is applied to a second substrate by a plurality of independently controllable heating elements on the hot plate are independently adjusted, The adjusting is based on the measured critical dimension. Heat is applied to the second substrate at the positions while coating the second substrate or developing a photoresist on the second substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic diagram of a semiconductor processing tool.
  • FIG. 2 is a diagram of the cooling platen of FIG. 1.
  • FIG. 3 is a schematic diagram of side view of the platen of FIG. 2.
  • FIG. 4 is a variation of the platen shown in FIG. 3.
  • FIG. 5 is a schematic diagram of a tool having a film deposition chamber.
  • FIG. 6 is a process schematic diagram for the tool of FIG. 5, showing feedforward and feedback.
  • FIG. 7 is a flow chart of the process performed in the tool of FIG. 5.
  • FIG. 8A is a plan view of a hot plate for use in a coater or developer.
  • FIG. 8B is a schematic view of the hot plate of FIG. 8A, with a heating element and controls for the heating element.
  • FIG. 9 is a block diagram of a processing line.
  • FIG. 10 is a block diagram of the control system for the process of FIG. 9.
  • FIG. 11 is a flow chart of a process with independent temperature control in the coater.
  • FIG. 12 is a flow chart of a process with independent temperature control in the developer.
  • FIG. 13 is a schematic diagram of an alternative heating mechanism.
  • DETAILED DESCRIPTION
  • This description of the exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. In the description, relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivative thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description and do not require that the apparatus be constructed or operated in a particular orientation. Terms concerning attachments, coupling and the like, such as “connected” and “interconnected,” refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise.
  • FIG. 1 shows an implantation tool 100. The tool 100 has a wafer transfer chamber 102, which maintains the wafers in a sealed vacuum environment. A plurality of loadlocks 104 are connectible to the wafer transfer chamber 102. The loadlocks 104 can vent to atmospheric pressure. The loadlocks 104 are configured to receive wafers 105 from the four-loadport atmosphere-transfer module 114, or other robotic device. The loadlocks 104 are then sealed shut and evacuated to vacuum pressure. The wafers 105 can then be transferred to the wafer transfer chamber 102 without interrupting the vacuum or process flow in wafer transfer chamber 102. The wafers 105 are transferred from the wafer transfer chamber 102 to the process cooling platen or electrostatic chuck (e-chuck) 106 of the process chamber 112. The process cooling platen or e-chuck 106 is cooled by a plurality of refrigerants supplied in cooling lines by a first refrigerator (compressor) 103, a second refrigerator 113, and a third refrigerator 123 for cooling to lower temperatures. The process chamber 112 has a scan motor 108 that produces an ion beam 110 for the implantation process step.
  • Implantation is performed by bombarding the wafer 105 with an ion beam. Junction leakage can be generated by substrate damage from ion implantation. A low temperature ion implantation process will reduce the substrate damage to eliminate end-of-range (EOR) defects (at the interface between amorphous layer and crystalline layer). Low temperature implantation bombardment of ions creates a totally amorphous region in the target crystal, i.e. one in which no specific crystal structure is present. Performing annealing following the low temperature implantation encourages the implanted region ÿ i.e. the layer represented by the depth to which the bombarding ions have penetrated ÿ to recrystallize into a layer which resembles an epitaxial growth portion, giving this technique the name “solid-phase-epitaxy.” The low implantation temperature should be uniform throughout the wafer.
  • The inventor has determined that when a conventional cooling platen is used for low temperature ion implantation, the temperature of the wafer varies, and is approximately a function of the radial position on the wafer. For example, if a cooling gas is supplied at the center of the wafer, the center will have the lowest temperature, and the periphery of the wafer will have the highest temperature. In such a configuration, as the radius of the wafer is increased to 450 mm, the potential temperature difference between center and periphery may be larger. This can result in non-uniform crystalline structure throughout the wafer, leading to non-uniform device performance.
  • FIG. 2 is a more detailed diagram of an exemplary multi-zone cooling platen or e-chuck 106 of the process chamber 112. The platen or e-chuck 106 is suitable for within-wafer temperature control during the implantation process. By providing a uniform desired temperature throughout the wafer during implantation, improvements in critical dimension (CD) uniformity, are possible, which makes it possible to improve within-wafer junction leakage performance, and threshold voltage uniformity, and to reduce or eliminate Ni piping defects.
  • The platen or e-chuck 106 within the process chamber 112 is configured to support a semiconductor wafer. The platen or e-chuck 106 has a plurality of temperature zones 101, 111, 122 and 124, where regions 122 and 124 form a single temperature control zone. Each temperature zone 101, 111, and 122, 124 includes at least one fluid conduit within or adjacent to the electrostatic chuck, as shown in detail with reference to FIGS. 3 and 4. The platen or e-chuck 106 has at least two coolant sources 103, 113, and 123. Each coolant source 103, 113, 123 is fluidly coupled to a respective one of the fluid conduits in respective temperature control zones 101, 111 and 122, 124. Each coolant source 103, 113, 123 is configured to supply a respectively different coolant to a respective one of the plurality of temperature zones.
  • In some embodiments, the at least two coolant sources including respectively different chilling or refrigeration units 103, 113, and 123 configured to supply respectively different coolants at respectively different temperatures. For example, the coolants may be cryogenic fluids, such as coolants from the group consisting of liquid hydrogen (20 K, −253 C.), liquid helium (3 K, −270 C.), liquid nitrogen (77 K, −196 C.), liquid oxygen (90 K, −183 C.), liquid methane (112 K, −162 C), and liquid nitrous oxide (88 K, −185 C). Thus, the cooling platen or e-chuck 106 can be cooled to a selected one of these temperatures. Alternatively, a refrigerated, non-cryogenic coolant may be used to provide a temperature of about −50 C., 0 C., or 5 C. Depending on the configuration of the cooling platen or e-chuck 106, and the thermal conductance of the materials therein, the wafer temperature may be a few degrees higher than the temperature of the coolant.
  • In the example of FIG. 2, the plurality of temperature zones include a plurality of concentric annular zones 101, 111, and 122, 124. Annular temperature control zones are generally suitable for a cylindrical wafer in which the local temperature is generally a function of the radial coordinate in a cylindrical polar coordinate system having its center at the center of the wafer.
  • Although FIG. 2 shows three temperature control zones 101, 111 and 122, 124, in alternative embodiments, any number of two or more temperature control zones may be included.
  • In some configurations, the temperature distribution may also vary with the tangential polar coordinate of the wafer (e.g., if the platen or e-chuck 106 is on positioned a pedestal having an axially asymmetric internal structure that does not distribute heat evenly). In such configurations, each radial temperature zone may be subdivided into two, three or four angular zones, to provide more precise temperature control for greater temperature uniformity during ion implantation.
  • A temperature controller 130 is provided for independently controlling the supply of the respectively different coolants from the refrigerators 103, 113, 123 to the plurality of temperature zones at respectively different temperatures so as to maintain a substantially uniform wafer temperature across the wafer. Temperature feedback is used to control the temperature in each zone. The temperature feedback may be collected by a plurality of sensors on or in the platen or e-chuck 106. Alternatively, an image of the temperature distribution may be collected.
  • If cryogenic coolants are used, each coolant is supplied at substantially constant supply temperatures. The amount of heat removed from each zone can be controlled either by varying the duty cycle of coolant flow (with a constant flow rate), or by varying the volumetric flow rate of the coolant in each temperature zone 101, 111, and 122, 124. Controller 130 may have a table indicating an appropriate coolant flow rate or duty cycle for each of the coolant sources as a function of the average temperature in the zone controlled by each respective coolant source.
  • In other embodiments, (e.g., if non-cryogenic coolants are used), one or more of the refrigerators 103, 113, and 123 may be capable of providing an individual coolant over a range of temperatures, so that the temperature of one or more of the zones 101, 111, and 122, 124 may be controlled by varying the coolant supply temperature within that (those) zone(s).
  • By supplying different coolants in different radial zones, radial variations in the wafer temperature can be minimize or avoided. For example, the first coolant fluid (e.g., liquid methane at −162 C) may be provided in or adjacent to an inner annular zone 122, 124 of the platen or e-chuck 106 and the second coolant fluid (e.g., liquid nitrogen at −196 C) may be provided in an outer annular zone 111 of the platen or e-chuck 106, where the second coolant has a lower boiling temperature than the first coolant.
  • FIGS. 3 and 4 show two examples of configurations for the platen or e-chuck. In FIG. 3, the coolant fluid conduits 101, 111 and 124 are tubes arranged on a back surface of the platen 106. This configuration may be achieved by welding or otherwise joining the tubing to the back surface. FIG. 4 shows a configuration in which the conduits 201, 211 and 222, 224 are formed inside the platen or e-chuck 206. The configuration of FIG. 4 provides improved thermal coupling between the coolant and the platen or e-chuck 206, relative to the device shown in FIG. 3.
  • Although FIGS. 1-4 relate to the ion implantation process step, multiple zone temperature control may be used in other portions of the semiconductor integrated circuit fabrication process. FIGS. 5-7 relate to use of multiple-zone temperature control in film deposition processes, such as chemical vapor deposition (CVD), plasma enhanced CVD (PECVD) or physical vapor deposition (PVD).
  • FIG. 6 is a schematic process diagram of a metal oxide semiconductor (MOS) process. A substrate 600 has a polysilicon gate electrode 601 thereon. A liner layer 602 such as a thin conformal oxide layer is formed on the sidewalls of the polysilicon gate electrode 601 and on the substrate 600. A conformal silicon nitride (SiN) layer 603 is formed over the liner layer 602. An anisotropic (dry) etch process is performed, etching away the SiN layer 603 above the polysilicon gate 601. As a result, spacers 603 are formed beside the polysilicon gate 601 on the liner layers 602 due to the anisotropic nature of the etch. The spacers 603 may be used during the step of forming lightly doped drain (LDD) regions (not shown) in the substrate 600.
  • To control the size of the LDD regions, a critical dimension (CD) shown in FIG. 6 is controlled. The inventor has determined that the CD can be controlled by controlling the thickness of the SiN layer 603, and the CD uniformity (CDU) can be controlled by controlling the uniformity of the thickness of the SiN layer 603. Further, the thickness uniformity of the SiN layer 603 and the CDU can be controlled by independently controlling the local temperature of the wafer in a plurality of independently controllable zones.
  • In some embodiments, a single wafer deposition chamber is used to tune the specific thickness distribution using multiple heater zone for film deposition and etch matching. The inventor has determined that a single-wafer chamber provides a wafer temperature distribution that is substantially axially symmetric. An axially symmetric temperature distribution can be more easily compensated by a plurality of annular heating zones.
  • FIG. 5 is a schematic diagram of a single wafer deposition chamber 500 for depositing a film by a CVD or PECVD process (or other anisotropic deposition process). A platen 506 is provided for supporting a semiconductor wafer. The platen 506 has a plurality of independently controllable temperature zones 501, 511, 521 and 531. Although FIG. 5 shows four temperature control zones 501, 511, 521 and 531, any desired number of two or more temperature control zones may be used. The larger the number of temperature control zones, the greater the capability to maintain control of the thickness of films 602 and 603, and thus the greater the capability to control the CDU.
  • At least one heating element 540 is provided in each of the heating zones 501, 511, 521 and 531. Although FIG. 5 shows 13 heating elements 540 arranged in a cross configuration, any number of heating elements may be provided, and the heating elements may be arranged in any desired configuration. The larger the number of heating elements 540, the greater the capability to maintain control of the thickness of films 602 and 603, and thus the greater the capability to control the CDU.
  • FIG. 6 schematically shows the control process. The exemplary system provides feedback from the actual CD of devices formed by the process and the temperature control for controlling the thickness of the film layers 602, 603. After the etchings step is performed in an etching tool (e.g., a dry etching tool), CD measurements are made at a plurality of locations in circuit patterns on the wafer. The measurements may be performed using a scanning electron microscope (SEM), for example. Preferably, the CD measurements are automatically provided to the controller 550, or to a processor that interfaces with the controller 550.
  • A processor (e.g., an automatic process controller 550) is provided for receiving the plurality of CD measurements from the respective locations etched from the oxide and SiN films on a first semiconductor substrate. The processor is configured to control the heating elements to increase the local thickness of the second film (on a second wafer), if the CD of the first film (at the same position on the first wafer) is less than a desired dimension, and to decrease the thickness of the second film, if the CD of the first film is greater than the desired dimension. In a film deposition process such as CVD or PECVD, as the temperature of the wafer is increased (while holding other process parameters constant), the thickness of the deposited layer increases.
  • The controller 550 determines a heating correction to be applied to each temperature zone 501, 511, 521, 531 to achieve thickness uniformity of the film to be applied. For example, the controller 550 may have a table that specifies an increase in heating power to be supplied to each heating element 540 in a given temperature control zone in proportion to the difference between the average CD in that zone and the desired CD.
  • Although FIG. 5 schematically shows a single controller 550, the control function may be performed by a plurality of processors. For example, a process controller may interface directly with the heating elements 540, and a general processor may provide application program software for controlling the algorithm and data used to implement the feedback between the CD measurements and the power supplied to the heating elements 540. The new heating power levels determined from the SEM CD measurements of a first wafer are then applied to the heating elements 540 when performing a film deposition on a second or subsequent wafer.
  • Although an example is described above where oxide and SiN films are formed on the wafer, and the width of the SiN spacers is controlled, in other examples, films of other materials may be deposited, and multiple independently controlled temperature zones may be used to control a thickness of the deposited layer, for controlling a CD of another feature.
  • FIG. 7 is a flow chart showing an example of a method according to FIG. 6.
  • At step 700 a wafer is provided in the single wafer deposition chamber 500, for deposition of a conformal film by an anisotropic process such as CVD or PECVD.
  • At step 702, the conformal film is deposited on the substrate 600 in the single wafer deposition chamber. If this is the first wafer being processed, the power supplied to the heating elements 540 in each temperature control zone during the deposition may be set to a default value.
  • At step 704, the wafer is transferred to an etching tool, such as a plasma etching reaction chamber. A circuit pattern is etched in the first film on a first semiconductor substrate. For example, as shown in FIG. 6, a dry etch step may be used to form the SiN spacers besides a polysilicon gate electrode 601.
  • At step 706, a CD of the circuit pattern is measured at a plurality of locations. For example, scanning electron microscopy may be used. The plurality of locations should include at least one (and preferably more than one) location in each temperature control zone. For example, in FIG. 6, the CD to be measured is the width of the SiN spacer. By collecting CD measurements in all of the temperature control zones, the CDU is measured.
  • At step 708, the processor or controller 550 determines which temperature control zones in the single wafer deposition chamber 500 should have increased or decreased thickness to achieve a desired CDU, based on the CDU feedback from the SEM data. In some embodiments, steps 700 to 706 are repeated (e.g., 2 or 3 or more times) before proceeding to the adjustment step 708. In other embodiments, step 708 is performed every time another wafer is processed in steps 700-706. The determination of how often to make the adjustments may be based on several factors, such as stability of the process, the length of time it takes for the platen zone temperatures to adjust to a change in heater power, or a desire to base adjustments on a larger sample of data.
  • At step 710, the controller 550 adjusts the power supplied to each heating element 540 in the temperature control zones of the single wafer chamber 500, based on the measured CD, so as to locally adjust a thickness of the second film. Each temperature control zone can be adjusted separately, to differentially adjust the thickness to improve CDU. The heating power supplied to a temperature zone is increased to increase the thickness of the film, if the CD of the first wafer is less than a desired dimension. The heating power supplied to a temperature zone is decreased to decrease the thickness of the film, if the CD of the first wafer is greater than a desired dimension.
  • After step 710, the loop from step 700 to 710 is repeated, so that a second film of the film material is formed on a second semiconductor substrate using the adjusted single wafer chamber.
  • Another example of a multiple temperature zone system is shown in FIGS. 8A-12. FIGS. 8A-12 enhance the process control capability of the apparatus and method by flexible temperature control in the hot plate or e-chuck through variable position heating elements. By moving individual heating elements 840, the hot plate 801 independently adjusts the positions at which heat is applied to the substrate.
  • FIG. 8A shows a hot plate 801 suitable for use in a photolithography process sequence. The hot plate 801 may be included in a coater 902 (FIG. 9) or a developer 906 (FIG. 9). The hot plate 801 of FIG. 8A has a plurality of heating elements, which may be provided in any desired number and arranged in any desired locations. As indicated in phantom, each heating element 840 is movable in the XY plane, within an X range RX and a Y range RY. The movable elements 840 may be moved in the radial and/or tangential directions. The movable elements 840 may be moved into positions to form symmetric or asymmetric arrangements of heating elements. Thus, the movable heating elements may be used to eliminate an asymmetrically shaped zone of increased or decreased temperature. In addition to being movable, the power to each heating element can be varied, to eliminate a local hot spot or cold spot.
  • Additionally, in the event of a failure of a heating element 840, the remaining heating elements 840 can be rearranged to at least partially compensate for the missing heating element. In addition to being movable, the power to each heating element can be varied, to boost the heating power in the remaining heating elements nearest to the failed heating element.
  • FIG. 8B is a schematic diagram showing the control of one of the heating elements 840. Only one heating element 840 is shown in FIG. 8B, but one of ordinary skill understands that the rest of the heating elements 840 may be controlled the same way as shown in FIG. 8B.
  • A respective driver unit 842 is coupled to each respective movable heating element 840, to actuate that heating element in a plane parallel to a wafer-engaging surface of the hot plate 801. A variety of electrically controllable XY stages may be used such as, but not limited to, an XY stage suitable for use in a stepper. The driver unit 842 provides a range of motion in two orthogonal directions, RX and RY.
  • A controller 850 includes an XY drive motor controller 852, which is a process (or module) for controlling independent adjustments to positions of the movable heating elements 840. The XY The controller 850 also includes a second process (or module) 854 for controlling the power supplied to each heating element 840.
  • The controller 850 may also include a processor that receives feedback signals and computes the desired position and heating power for each of the movable heating elements 840. The positional adjustments are limited so that the movable heating elements 840 do not bump into each other. For example, movement of each element 840 from its default position (the center of its range of motion) may be limited to a distance of less than one half of the distance between the nearest surfaces of two adjacent XY stages 842 when both stages 842 are centered in their default positions.
  • In other embodiments, the controller 850 has one or more tables for providing predetermined configurations of heating element positions and power levels for a plurality of feedback scenarios.
  • FIG. 9 is a block diagram of a photolithographic system in which the hot plate 801 may be used. The system includes a coater 902 (such as a Tractrix™ Spin Tool sold by Site Services, Inc. of Santa Clara, Calif.) for applying a photoresist to a substrate. A scanner 904 exposes the photoresist through a mask to form a desired pattern. A developer 906 applies a solution to harden desired portions of the photoresist after exposure. A scanning electron microscope 908 measures the CD of a pattern at a plurality of locations on the wafer and determines the CDU. The SEM 908 may be integrated into the developer. An etcher 910 removes the undesired portion of the photoresist and the underlying film in the substrate.
  • As shown in FIG. 9, the process may be adjusted in either or both of two different ways. The heating elements 840 of the hot plate 801 in the coater 902 may be adjusted to increase or decrease local temperatures to adjust the uniformity of application of the photoresist film. Adjustments to the local temperature of the wafer in the coater 902 result in local adjustments to the thickness of the photoresist deposited in the coater.
  • Alternatively, the heating elements 840 of the hot plate 801 in the developer 906 may be adjusted. Portions of a positive photoresist that have been exposed becomes soluble during post exposure bake (PEB). By adjusting the local temperature on the wafer during the PEB, the desired portions of the photoresist are more evenly rendered soluble, facilitating CD uniformity.
  • FIG. 10 is a block diagram of the control of the system in FIG. 9.
  • For an incoming wafer, initial values may be provided by SEM measurements prior to applying the photoresist to the substrate. For example, the substrate may already have patterns formed by a previous processing step. The CD of these patterns may be measured, and any variation in the CD can be identified. Any topography in the wafer can be identified at this step. The initial SEM measurements are used as feed-forward information for the process.
  • At node 912, the feed-forward information is compared with the target CD data to determine an initial desired bias for the process. This information is used to initially define the desired heat input to the temperature zones. This input is implemented by the controller 850.
  • The controller 850 operates the heating elements 840 in the manner described above with reference to FIGS. 8A and 8B. These heat inputs affect the operation of the processing equipment shown in FIG. 9. The controller may include an embedded proportional-integral-derivative (PID) control mechanism that varies the heater power based on the difference between the target CD and the CD input to the controller.
  • At node 914, the wafer is output from the developer, and the SEM CD data are fed into a model 916. The model 916 receives as inputs the CD data from the plurality of locations, and identifies a set of heating element positions and heating power levels to improve the photoresist thickness and/or the CDU.
  • For example, the model 916 may identify the cold spots in the wafer (based on the CD data), and assume that each of the heating elements 840 is moved as much as possible towards the nearest cold spot. Then the heating power to be supplied to each of the heating elements 840 is estimated. A thermal module (not shown) within the model 916 can calculate the temperature distribution throughout the wafer based on the heat input values. The temperature distribution can then be input to a CD module (not shown) which estimates the CD at a plurality of locations on the wafer based on the estimated temperature distribution. If the predicted CD uniformity is within a convergence criterion, then the model can output this set of heating element positions and power levels to the controller 850, for use in the next process run. If the convergence criterion is not met, then the model 916 may perform additional iterations by re-running the temperature distribution prediction and CD distribution projection using a different set of heater input power levels. After plural iterations, if none of the sets of heater positions and power levels satisfies the model's convergence criterion, then the set of positions and heating powers providing the best predicted CDU is selected. In some embodiments, the automatic process controller will calculate the predicted CD base on the input from nodes 914 and 912. The CD mean may be compensated by using the stepper to adjust the exposure dose, and the CD uniformity (CDU) may be controlled by the hot-plate with this flexible temperature control unit.
  • FIG. 11 is a flow chart of a method of using the apparatus of FIGS. 8A and 8B. FIG. 11 depicts the process as an ongoing process that is repeated as long as wafers are supplied.
  • At step 1100, an Nth semiconductor substrate (wafer) is provided (where N is an integer). The Nth wafer is supported by a hot plate. The Nth wafer may have already undergone previous fabrication processes and may have patterns formed on it.
  • At step 1102, initial SEM measurements of the Nth wafer may be made to feed forward to the process.
  • Steps 1104 and 1106 are both performed in the hot plate of the coater 902. At step 1104, the positions of individual heating elements 840 of the hot plate 801 are independently adjusted. If this is the first process run (first wafer), then a set of default positions may be used (e.g., the center of the range of motion for each heating element 840). If the Nth wafer is a second or subsequent wafer, then the position adjustment for the Nth wafer is based on the CD feedback data from the metrology (SEM) 1116 from the N−1th wafer. (In alternative embodiments, the adjustments may be based on the CD feedback from another recent previously processed wafer, if adjustments are made each time a predetermined number of wafers are processed, or each time a fixed period of time elapses).
  • At step 1106, the heating power supplied to each individual heating element 840 of the hot plate 801 is adjusted. If this is the first process run (first wafer), then a set of default power levels may be used (e.g., the average expected heating power). If the Nth wafer is a second or subsequent wafer, then the heating power adjustment for the Nth wafer is based on the CD feedback data from the metrology (SEM) 1116 of the N−1th wafer (or other recent previous wafer used to determine position adjustments).
  • At step 1108, the Nth wafer is coated with a photoresist, while the heaters 840 apply heat at the desired locations, at the desired power levels.
  • At step 1110, the Nth wafer is exposed in the scanner.
  • At step 1112, PEB is performed to activate the photo acid produced during the resist exposure. The acid attacks the bonds of the resist in a self-catalyzing sequence, making them soluble in developer solution. Heat is applied at the positions determined in step 1104.
  • At step 1114, the developer chemical is applied. The portions of the photoresist that were rendered soluble are removed.
  • At step 1116, the SEM measures a CD at a plurality of locations on the Nth semiconductor substrate (wafer) supported by the hot plate. The CD feedback from the SEM of the Nth wafer is provided to the model, which generates a new set of heating element positions and power levels to be used in the next iterations of steps 1104 and 1106, for processing the N+1th wafer.
  • FIG. 12 is a flow chart of a variation of the method of FIG. 11, in which the hot plate temperatures are controlled and adjusted in during the PEB step.
  • At step 1200, an Nth semiconductor substrate (wafer) is provided, (where N is an integer). The Nth wafer is supported by a hot plate. The Nth wafer may have already undergone previous fabrication processes and may have patterns formed on it.
  • At step 1202, initial SEM measurements of the Nth wafer may be made to feed forward to the process.
  • At step 1204, the Nth wafer is coated with a photoresist.
  • At step 1206, the Nth wafer is exposed in the scanner.
  • Steps 1208 and 1210 are both performed in the hot plate of the developer 902 before and during PEB. At step 1208, the positions of individual heating elements 840 of the hot plate 801 are independently adjusted. If this is the first process run (first wafer), then a set of default positions may be used (e.g., the center of the range of motion for each heating element 840). If the Nth wafer is a second or subsequent wafer, then the position adjustment for the Nth wafer is based on the CD feedback data from the metrology (SEM) 1216 from the N−1th wafer. (In alternative embodiments, the adjustments may be based on the CD feedback from another recent previously processed wafer, if adjustments are made each time a predetermined number of wafers are processed, or each time a fixed period of time elapses).
  • At step 1210, the heating power supplied to each individual heating element 840 of the hot plate 801 is adjusted. If this is the first process run (first wafer), then a set of default power levels may be used (e.g., the average expected heating power). If the Nth wafer is a second or subsequent wafer, then the heating power adjustment is based on the CD feedback data from the metrology (SEM) 1216 of the N−1th wafer (or other recent previous wafer used to determine position adjustments).
  • At step 1212, PEB is performed to activate the photo acid produced during the resist exposure. Heat is applied at the positions determined in step 1208 by heaters 840 at the desired locations and power levels.
  • At step 1214, the developer chemical is applied. The portions of the photoresist that were rendered soluble are removed.
  • At step 1216, the SEM measures a CD at a plurality of locations on the Nth semiconductor substrate (wafer) supported by the hot plate. The CD feedback from the SEM of the Nth wafer is provided to the model, which generates a new set of heating element positions and power levels to be used in the next iterations of steps 1208 and 1210, for processing the N+1th wafer.
  • FIG. 13 is a diagram of an alternative hot plate 1301 having a different heating structure. Instead of providing movable heating elements 840 (as discussed above with respect to FIG. 8B), a large number of independently controlled heating elements 1340 are provided. The heating elements 1340 can be fixed-location resistive elements. The size of the heating elements 1340 is sufficiently small, and the number of heating elements is sufficiently large that the heating adjustments can be made electrically, instead of mechanically. By selecting and deselecting any subset of the heating elements 1340, the hot plate 1301 independently adjusts the positions at which heat is applied to the substrate. The power supplied to each of the active heating elements can be varied to adjust temperature, as discussed above with reference to FIG. 8B.
  • Use of the apparatus of FIGS. 8A-13 enables within wafer process control, and improves the coating and PEB steps to improve photoresist thickness uniformity and CDU.
  • Apparatus and methods have been described above to collect data from different locations on a wafer on a first process run, calculate proper equipment or process settings for the individual locations through automatic process control, and to run the adjusted process on another wafer.
  • Although the invention has been described in terms of exemplary embodiments, it is not limited thereto. Rather, the appended claims should be construed broadly, to include other variants and embodiments of the invention, which may be made by those skilled in the art without departing from the scope and range of equivalents of the invention.

Claims (20)

What is claimed is:
1. An apparatus, comprising:
a controller for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from a film comprising a film material on a first semiconductor substrate; and
a single wafer chamber for forming a second film of the film material on a second semiconductor substrate, the single wafer chamber being responsive to a control signal from the controller to locally adjust a thickness of the second film based on the measurements of the CD.
2. The apparatus of claim 1, wherein the single wafer chamber has a platen that supports the second semiconductor substrate, the platen having a plurality of independently controllable temperature zones.
3. The apparatus of claim 2, wherein each temperature zone of the platen has at least one heating element.
4. The apparatus of claim 3, wherein the processor is configured to control the heating elements to
increase heater power in a respective temperature zone of the substrate to increase the thickness of the second film locally, if the CD of the first film is less than a predetermined dimension, and
decrease the heater power in the respective temperature zone to decrease the thickness of the second film locally, if the CD of the first film is greater than the desired dimension.
5. The apparatus of claim 3, the at least one heating element of each temperature zone of the platen comprises a moveable heating element.
6. The apparatus of claim 5, wherein the processor is configured to adjust a position of each of the moveable heating elements based on the measurements of the CD.
7. The apparatus of claim 5, comprising a respective driver unit coupled to each respective movable heating element, to actuate the respective moveable heating element in a plane parallel to a wafer-engaging surface of the platen.
8. The apparatus of claim 7, wherein each respective driver unit is configured for moving its respective heating element in two orthogonal directions.
9. The apparatus of claim 1, wherein the single wafer chamber is configured to perform a process from the group consisting of chemical vapor deposition, physical vapor deposition and plasma enhanced chemical vapor deposition.
10. An apparatus, comprising:
a process chamber configured to perform a substrate coating or photoresist development step, the process chamber having a heating plate for supporting a semiconductor substrate, the heating plate having a plurality of independently movable heating elements; and
a controller for controlling independent adjustments to positions of the movable heating elements.
11. The apparatus of claim 10, further comprising a respective driver unit coupled to each respective movable heating element, to actuate that heating element in a plane parallel to a wafer-engaging surface of the heating plate.
12. The apparatus of claim 11, wherein each respective driver unit is configured for moving its respective heating element in two orthogonal directions.
13. The apparatus of claim 10, wherein the controller is responsive to measurements of a critical dimension measured at a plurality of locations on the substrate, for initiating independent adjustments based on the feedback signal.
14. The apparatus of claim 13, wherein the controller is configured to adjust power supplied to the movable heating elements based on the measurements of the critical dimension.
15. The apparatus of claim 14, wherein the controller is configured to receive one or more feedback signals, and wherein the controller computes a desired position and heating power for each of the moveable heating elements based on the one or more feedback signals.
16. The apparatus of claim 10, comprising a coater configured to apply a photoresist to the semiconductor substrate.
17. The apparatus of claim 16, comprising an etcher configured to etch the semiconductor substrate to remove a portion of the photoresist.
18. A system comprising:
a single wafer chamber for forming one or more films on a first semiconductor substrate, wherein the single wafer chamber includes a platen configured to support the semiconductor substrate, the platen having a plurality of independently controllable temperature zones;
a plurality of heating elements, wherein at least one of the plurality of heating elements is associated with each of the plurality of independently controllable temperature zones; and
a controller for receiving a plurality of measurements of a critical dimension (CD) at respective locations in a circuit pattern etched from at least one of the one or more films formed on the first semiconductor substrate.
19. The apparatus of claim 18, further comprising a respective driver unit coupled to each respective heating element, the respective driver unit configured to actuate the respective heating element in a plane parallel to a wafer-engaging surface of the platen.
20. The apparatus of claim 19, wherein the controller is configured to adjust power supplied to the plurality of heating elements based on the measurements of the CD.
US14/680,105 2009-02-13 2015-04-07 Multi-zone temperature control for semiconductor wafer Active 2029-06-02 US10113233B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/680,105 US10113233B2 (en) 2009-02-13 2015-04-07 Multi-zone temperature control for semiconductor wafer

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/370,746 US8404572B2 (en) 2009-02-13 2009-02-13 Multi-zone temperature control for semiconductor wafer
US13/772,212 US9111212B2 (en) 2011-08-19 2013-02-20 Dynamic outlier bias reduction system and method
US14/680,105 US10113233B2 (en) 2009-02-13 2015-04-07 Multi-zone temperature control for semiconductor wafer

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US13/772,212 Continuation US9111212B2 (en) 2009-02-13 2013-02-20 Dynamic outlier bias reduction system and method
US13/777,212 Continuation US9023664B2 (en) 2009-02-13 2013-02-26 Multi-zone temperature control for semiconductor wafer

Publications (3)

Publication Number Publication Date
US20150211122A1 US20150211122A1 (en) 2015-07-30
US20170022611A9 true US20170022611A9 (en) 2017-01-26
US10113233B2 US10113233B2 (en) 2018-10-30

Family

ID=62912351

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/680,105 Active 2029-06-02 US10113233B2 (en) 2009-02-13 2015-04-07 Multi-zone temperature control for semiconductor wafer

Country Status (6)

Country Link
US (1) US10113233B2 (en)
EP (2) EP2770442A3 (en)
JP (10) JP6297855B2 (en)
KR (2) KR102052217B1 (en)
CN (1) CN104090861B (en)
CA (1) CA2843276A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450552B2 (en) 2019-08-01 2022-09-20 Micron Technology, Inc. Methods and apparatus for adjusting surface topography of a substrate support apparatus
US11754630B2 (en) 2019-08-29 2023-09-12 Lg Energy Solution, Ltd. Method and device for determining temperature estimating model, and battery management system to which the temperature estimating model is applied

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2099612B1 (en) * 2006-12-26 2012-06-06 Fujifilm Dimatix, Inc. Printing system with conductive element
US10557840B2 (en) 2011-08-19 2020-02-11 Hartford Steam Boiler Inspection And Insurance Company System and method for performing industrial processes across facilities
US9069725B2 (en) 2011-08-19 2015-06-30 Hartford Steam Boiler Inspection & Insurance Company Dynamic outlier bias reduction system and method
CA2843276A1 (en) * 2013-02-20 2014-08-20 Hartford Steam Boiler Inspection And Insurance Company Dynamic outlier bias reduction system and method
TW201448108A (en) * 2013-03-12 2014-12-16 Applied Materials Inc Multi zone heating and cooling ESC for plasma process chamber
CA3116974A1 (en) 2014-04-11 2015-10-15 Hartford Steam Boiler Inspection And Insurance Company Improving future reliability prediction based on system operational and performance data modelling
WO2015179387A1 (en) * 2014-05-21 2015-11-26 Brewer Science Inc. Multi-size adaptable spin chuck system
JP6272138B2 (en) * 2014-05-22 2018-01-31 東京エレクトロン株式会社 Application processing equipment
JP6398761B2 (en) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 Substrate processing equipment
CN105005822A (en) * 2015-06-26 2015-10-28 华能澜沧江水电股份有限公司 Optimal step length and dynamic model selection based ultrahigh arch dam response prediction method
JP6512089B2 (en) * 2015-12-15 2019-05-15 東京エレクトロン株式会社 Substrate processing apparatus and adjustment method of substrate processing apparatus
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
KR102329513B1 (en) * 2016-05-10 2021-11-23 램 리써치 코포레이션 Connections between laminated heater and heater voltage inputs
JP6792368B2 (en) * 2016-07-25 2020-11-25 株式会社Screenホールディングス Heat treatment equipment, substrate processing equipment and heat treatment method
CN109643671B (en) * 2016-08-26 2023-06-06 应用材料公司 Self-repairing semiconductor wafer processing
CN109560006B (en) * 2017-09-26 2023-10-20 天津环鑫科技发展有限公司 Automatic source production line of scribbling of silicon chip
CN107704714B (en) * 2017-11-06 2020-11-27 中车株洲电力机车有限公司 Method and system for processing finite element simulation stress value and test stress value
TWI798314B (en) 2017-12-28 2023-04-11 日商東京威力科創股份有限公司 Data processing device, data processing method, and data processing program
US11860971B2 (en) * 2018-05-24 2024-01-02 International Business Machines Corporation Anomaly detection
US11636292B2 (en) 2018-09-28 2023-04-25 Hartford Steam Boiler Inspection And Insurance Company Dynamic outlier bias reduction system and method
US11581547B2 (en) * 2019-05-29 2023-02-14 Uchicago Argonne, Llc Electrode ink deposition system for high-throughput polymer electrolyte fuel cell
JP6890632B2 (en) 2019-06-27 2021-06-18 東京エレクトロン株式会社 Data processing equipment, data processing methods and programs
CN110403582B (en) * 2019-07-23 2021-12-03 宏人仁医医疗器械设备(东莞)有限公司 Method for analyzing pulse wave form quality
US11615348B2 (en) 2019-09-18 2023-03-28 Hartford Steam Boiler Inspection And Insurance Company Computer-based systems, computing components and computing objects configured to implement dynamic outlier bias reduction in machine learning models
US11328177B2 (en) 2019-09-18 2022-05-10 Hartford Steam Boiler Inspection And Insurance Company Computer-based systems, computing components and computing objects configured to implement dynamic outlier bias reduction in machine learning models
GB2603358B (en) 2019-09-18 2023-08-30 Hartford Steam Boiler Inspection And Insurance Company Computer-based systems, computing components and computing objects configured to implement dynamic outlier bias reduction in machine learning models
US11742231B2 (en) * 2019-10-18 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Movable wafer holder for film deposition chamber having six degrees of freedom
TWI711717B (en) * 2019-11-06 2020-12-01 錼創顯示科技股份有限公司 Heating apparatus and chemical vapor deposition system
CN111162004B (en) * 2019-12-27 2022-08-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) Method and device for adjusting internal environment of semiconductor equipment and electronic equipment
CN111446198B (en) * 2020-03-23 2023-05-16 北京北方华创微电子装备有限公司 Electrostatic chuck and control method thereof
CN111696897B (en) * 2020-06-12 2023-10-13 北京北方华创微电子装备有限公司 Semiconductor processing equipment
US11243986B1 (en) 2020-07-21 2022-02-08 International Business Machines Corporation Method for proactive trouble-shooting of provisioning workflows for efficient cloud operations
CN112663026B (en) * 2020-11-25 2022-10-21 北京北方华创微电子装备有限公司 Process chamber, semiconductor process equipment and heating control method
KR102321735B1 (en) * 2020-11-27 2021-11-04 부산대학교 산학협력단 Apparatus for ensuring fairness of ai learning datasets based on multidimensional subset association analysis and method for ensuring fairness of ai learning datasets thereof
CN112680724A (en) * 2020-12-21 2021-04-20 苏州雨竹机电有限公司 Chemical vapor deposition device and temperature control method thereof
KR20220147936A (en) * 2021-04-28 2022-11-04 에스케이가스 주식회사 System and method for predicting process change reflected core factors in commercial chemical processes
KR20220147932A (en) * 2021-04-28 2022-11-04 에스케이가스 주식회사 System and method for process core factor screening in commercial chemical processes
US20220389566A1 (en) * 2021-06-03 2022-12-08 Applied Materials, Inc. Automated temperature controlled substrate support
CN113399784B (en) * 2021-07-09 2022-08-12 武汉武重机床有限公司 Workpiece processing control method, device, equipment and storage medium
CN113791578B (en) * 2021-08-23 2023-05-02 五邑大学 Track filtering method and device based on numerical control machining system and electronic equipment

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679165A (en) * 1992-11-30 1997-10-21 Semiconductor Process Laboratory Co., Ltd. Apparatus for manufacturing semiconductor device
US5859408A (en) * 1994-06-28 1999-01-12 Btg International Limited Apparatus for uniformly heating a substrate
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US5998766A (en) * 1996-02-08 1999-12-07 Tokyo Electron Limited Apparatus and method for cleaning substrate surface by use of Ozone
US20030029381A1 (en) * 2001-08-10 2003-02-13 Michio Nishibayashi Vertical chemical vapor deposition system
US20040060917A1 (en) * 2002-09-30 2004-04-01 Yong Liu Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US20040163599A1 (en) * 2003-02-26 2004-08-26 Renesas Technology Corp. Film deposition system and method of fabricating semiconductor device employing the film deposition system
US6876816B2 (en) * 2000-12-28 2005-04-05 Tokyo Electron Limited Heating device, heat treatment apparatus having the heating device and method for controlling heat treatment
US20070125303A1 (en) * 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
US7645342B2 (en) * 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
US20100210041A1 (en) * 2009-02-13 2010-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
US7842905B2 (en) * 2004-11-17 2010-11-30 Steag Hamatech Ag Method and device for the thermal treatment of substrates
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
US20110303145A1 (en) * 2010-06-11 2011-12-15 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US8354618B1 (en) * 2010-06-30 2013-01-15 Wd Media, Inc. Load chamber with dual heaters
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US20130189433A1 (en) * 2012-01-19 2013-07-25 Samsung Mobile Display Co., Ltd. Vapor Deposition Apparatus and Vapor Deposition Method
US20140273302A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fine Temperature Controllable Wafer Heating System
US8920162B1 (en) * 2007-11-08 2014-12-30 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US20150211122A1 (en) * 2009-02-13 2015-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
US9239192B2 (en) * 2013-02-20 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate rapid thermal heating system and methods

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4371246A (en) * 1981-02-13 1983-02-01 Rca Corporation Thermal processor
US4589286A (en) * 1984-03-30 1986-05-20 The Babcock & Wilcox Company Fused silica diaphragm module for high temperature pressure transducers
US5155337A (en) 1989-12-21 1992-10-13 North Carolina State University Method and apparatus for controlling rapid thermal processing systems
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5296385A (en) 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5618461A (en) 1994-11-30 1997-04-08 Micron Technology, Inc. Reflectance method for accurate process calibration in semiconductor wafer heat treatment
US5609720A (en) 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US5861609A (en) 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
ES2202433T3 (en) * 1995-10-12 2004-04-01 Yazaki Corporation DEVICE FOR CALCULATING A BAD DISTRIBUTION OF THE LOAD IN A VEHICLE AND DEVICE FOR CALCULATING THE LOAD OF THE VEHICLE.
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6108937A (en) * 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
JP2001318745A (en) * 2000-05-11 2001-11-16 Sony Corp Data processor, data processing method and recording medium
FR2815395B1 (en) 2000-10-13 2004-06-18 Joint Industrial Processors For Electronics DEVICE FOR QUICK AND UNIFORM HEATING OF A SUBSTRATE BY INFRARED RADIATION
US7043461B2 (en) * 2001-01-19 2006-05-09 Genalytics, Inc. Process and system for developing a predictive model
EP1367637A4 (en) 2001-02-16 2006-01-04 Tokyo Electron Ltd Sheet-type treating device
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP4042492B2 (en) * 2002-08-07 2008-02-06 トヨタ自動車株式会社 Method and system for adapting engine control parameters
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US8478534B2 (en) * 2003-06-11 2013-07-02 The Research Foundation For The State University Of New York Method for detecting discriminatory data patterns in multiple sets of data and diagnosing disease
US20050125322A1 (en) * 2003-11-21 2005-06-09 General Electric Company System, method and computer product to detect behavioral patterns related to the financial health of a business entity
US20050131794A1 (en) * 2003-12-15 2005-06-16 Lifson Kalman A. Stock portfolio and method
JP4728968B2 (en) * 2004-02-06 2011-07-20 テスト アドバンテージ, インコーポレイテッド Data analysis method and apparatus
US9164067B2 (en) * 2004-02-13 2015-10-20 Waters Technologies Corporation System and method for tracking chemical entities in an LC/MS system
CA2501003C (en) * 2004-04-23 2009-05-19 F. Hoffmann-La Roche Ag Sample analysis to provide characterization data
EP1768552A4 (en) * 2004-06-21 2009-06-03 Aorora Technologies Pty Ltd Cardiac monitoring system
DE102004032822A1 (en) * 2004-07-06 2006-03-23 Micro-Epsilon Messtechnik Gmbh & Co Kg Method for processing measured values
US20060069667A1 (en) * 2004-09-30 2006-03-30 Microsoft Corporation Content evaluation
EP2013844A4 (en) * 2006-04-07 2010-07-07 Hsb Solomon Associates Llc Emission trading product and method
JP5183058B2 (en) 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド Substrate processing with rapid temperature gradient control
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7534627B2 (en) * 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
WO2008028004A2 (en) * 2006-08-31 2008-03-06 Nonlinear Medicine, Inc. Automated noise reduction system for predicting arrhythmic deaths
US8247331B2 (en) * 2006-12-28 2012-08-21 Tokyo Electron Limited Method for forming insulating film and method for manufacturing semiconductor device
JP5116307B2 (en) * 2007-01-04 2013-01-09 ルネサスエレクトロニクス株式会社 Integrated circuit device abnormality detection device, method and program
US8346691B1 (en) * 2007-02-20 2013-01-01 Sas Institute Inc. Computer-implemented semi-supervised learning systems and methods
KR101109913B1 (en) * 2007-03-27 2012-03-13 후지쯔 가부시끼가이샤 Method, device, and recording medium having program for making prediction model by multiple regression analysis
JP4870604B2 (en) * 2007-03-29 2012-02-08 株式会社ニューフレアテクノロジー Vapor growth equipment
US20090017229A1 (en) 2007-07-10 2009-01-15 Varian Semiconductor Equipment Associates, Inc. Processing System Platen having a Variable Thermal Conductivity Profile
US7939450B2 (en) 2007-09-21 2011-05-10 Tokyo Electron Limited Method and apparatus for spacer-optimization (S-O)
US8040246B2 (en) * 2007-12-04 2011-10-18 Avaya Inc. Systems and methods for facilitating a first response mission at an incident scene
JP5003566B2 (en) * 2008-04-01 2012-08-15 三菱電機株式会社 Network performance prediction system, network performance prediction method and program
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US20100181501A1 (en) 2009-01-21 2010-07-22 Pollock John D Apparatus for sub-zero degree c ion implantation
JP2010250674A (en) * 2009-04-17 2010-11-04 Nec Corp Working hour estimation device, method, and program
US8311772B2 (en) * 2009-12-21 2012-11-13 Teradata Us, Inc. Outlier processing
JP5592813B2 (en) * 2011-01-28 2014-09-17 株式会社日立ソリューションズ東日本 Lifetime demand forecasting method, program, and lifetime demand forecasting device
US20160237569A1 (en) * 2015-02-12 2016-08-18 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679165A (en) * 1992-11-30 1997-10-21 Semiconductor Process Laboratory Co., Ltd. Apparatus for manufacturing semiconductor device
US5859408A (en) * 1994-06-28 1999-01-12 Btg International Limited Apparatus for uniformly heating a substrate
US5998766A (en) * 1996-02-08 1999-12-07 Tokyo Electron Limited Apparatus and method for cleaning substrate surface by use of Ozone
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US6876816B2 (en) * 2000-12-28 2005-04-05 Tokyo Electron Limited Heating device, heat treatment apparatus having the heating device and method for controlling heat treatment
US20030029381A1 (en) * 2001-08-10 2003-02-13 Michio Nishibayashi Vertical chemical vapor deposition system
US6736901B2 (en) * 2001-08-10 2004-05-18 Toshiba Machine Co., Ltd. Vertical chemical vapor deposition system
US20040060917A1 (en) * 2002-09-30 2004-04-01 Yong Liu Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
US20040163599A1 (en) * 2003-02-26 2004-08-26 Renesas Technology Corp. Film deposition system and method of fabricating semiconductor device employing the film deposition system
US7645342B2 (en) * 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
US7842905B2 (en) * 2004-11-17 2010-11-30 Steag Hamatech Ag Method and device for the thermal treatment of substrates
US20070125303A1 (en) * 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
US8920162B1 (en) * 2007-11-08 2014-12-30 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US20100210041A1 (en) * 2009-02-13 2010-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
US20150211122A1 (en) * 2009-02-13 2015-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone temperature control for semiconductor wafer
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
US20110303145A1 (en) * 2010-06-11 2011-12-15 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US8354618B1 (en) * 2010-06-30 2013-01-15 Wd Media, Inc. Load chamber with dual heaters
US20130189433A1 (en) * 2012-01-19 2013-07-25 Samsung Mobile Display Co., Ltd. Vapor Deposition Apparatus and Vapor Deposition Method
US20150144062A1 (en) * 2012-01-19 2015-05-28 Samsung Display Co., Ltd. Vapor deposition and vapor deposition method
US9239192B2 (en) * 2013-02-20 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate rapid thermal heating system and methods
US20140273302A1 (en) * 2013-03-14 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fine Temperature Controllable Wafer Heating System

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450552B2 (en) 2019-08-01 2022-09-20 Micron Technology, Inc. Methods and apparatus for adjusting surface topography of a substrate support apparatus
US11754630B2 (en) 2019-08-29 2023-09-12 Lg Energy Solution, Ltd. Method and device for determining temperature estimating model, and battery management system to which the temperature estimating model is applied

Also Published As

Publication number Publication date
JP2014170532A (en) 2014-09-18
JP2018113048A (en) 2018-07-19
JP6626910B2 (en) 2019-12-25
JP6613329B2 (en) 2019-11-27
JP6527976B2 (en) 2019-06-12
JP2018139109A (en) 2018-09-06
CN104090861A (en) 2014-10-08
KR102052217B1 (en) 2019-12-04
KR102208210B1 (en) 2021-01-28
JP6978541B2 (en) 2021-12-08
JP7244610B2 (en) 2023-03-22
KR20190135445A (en) 2019-12-06
JP6297855B2 (en) 2018-03-20
KR20140104386A (en) 2014-08-28
US20150211122A1 (en) 2015-07-30
EP2770442A3 (en) 2014-09-17
JP6686056B2 (en) 2020-04-22
JP6626911B2 (en) 2019-12-25
JP6636071B2 (en) 2020-01-29
CA2843276A1 (en) 2014-08-20
JP2018116712A (en) 2018-07-26
JP2023113140A (en) 2023-08-15
EP3514700A1 (en) 2019-07-24
CN104090861B (en) 2019-06-25
US10113233B2 (en) 2018-10-30
JP2018116714A (en) 2018-07-26
JP2022031709A (en) 2022-02-22
EP2770442A2 (en) 2014-08-27
JP2018136945A (en) 2018-08-30
JP2020123365A (en) 2020-08-13
JP2018116713A (en) 2018-07-26

Similar Documents

Publication Publication Date Title
US10113233B2 (en) Multi-zone temperature control for semiconductor wafer
US9023664B2 (en) Multi-zone temperature control for semiconductor wafer
US10629464B2 (en) Plasma processing apparatus and heater temperature control method
JP4607865B2 (en) Method and system for substrate temperature control
KR101526615B1 (en) Method of controlling process uniformity, plasma processing apparatus and method of locally deforming a substrate
CN1323427C (en) Variable temperature processes for tunable electrostatic chuck
US20090065145A1 (en) Plasma Processing Apparatus And Method Capable Of Adjusting Temperature Within Sample Table
US20130175005A1 (en) Adaptive heat transfer methods and systems for uniform heat transfer
WO2010053173A1 (en) Apparatus and method for controlling temperature of semiconductor wafer
JP2010500762A (en) Method and system for controlling critical dimensions in track lithography tools
TWI406348B (en) Dynamic temperature backside gas control for improved within-substrate process uniformity
JP2012507174A (en) Process kit with low erosion sensitivity
JP5204721B2 (en) Film forming apparatus and film forming method
US10217616B2 (en) Method of controlling temperature and plasma processing apparatus
US20210320027A1 (en) Systems and methods for substrate support temperature control
JP2023525710A (en) Automated feedforward and feedback sequences for patterned CD control
TWI323011B (en) Method for etching having a controlled distribution of process results
US20100267243A1 (en) Plasma processing method and apparatus
KR20210011837A (en) Wafer processing apparatus, and wafer processing method using the same
US20210388495A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
JP7321026B2 (en) EDGE RING, PLACE, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD
US11920242B2 (en) Temperature control method and plasma processing apparatus
US20230272529A1 (en) Pedestal thermal profile tuning using multiple heated zones and thermal voids
TW202220085A (en) Systems and methods for faceplate temperature control
KR20190141260A (en) Temperature-Tuned Substrate Supports for Substrate Processing Systems

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4