US20150340555A1 - Light emitting devices having dislocation density maintaining buffer layers - Google Patents

Light emitting devices having dislocation density maintaining buffer layers Download PDF

Info

Publication number
US20150340555A1
US20150340555A1 US14/819,221 US201514819221A US2015340555A1 US 20150340555 A1 US20150340555 A1 US 20150340555A1 US 201514819221 A US201514819221 A US 201514819221A US 2015340555 A1 US2015340555 A1 US 2015340555A1
Authority
US
United States
Prior art keywords
layer
light emitting
gan
emitting device
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/819,221
Inventor
Long Yang
Will Fenwick
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Manutius IP Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Manutius IP Inc filed Critical Manutius IP Inc
Priority to US14/819,221 priority Critical patent/US20150340555A1/en
Assigned to BRIDGELUX, INC. reassignment BRIDGELUX, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FENWICK, WILL, YANG, LONG
Assigned to TOSHIBA TECHNO CENTER INC. reassignment TOSHIBA TECHNO CENTER INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BRIDGELUX, INC.
Assigned to MANUTIUS IP, INC. reassignment MANUTIUS IP, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TOSHIBA TECHNO CENTER INC.
Publication of US20150340555A1 publication Critical patent/US20150340555A1/en
Assigned to TOSHIBA CORPORATION reassignment TOSHIBA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MANUTIUS IP, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/0004Devices characterised by their operation
    • H01L33/002Devices characterised by their operation having heterojunctions or graded gap
    • H01L33/0025Devices characterised by their operation having heterojunctions or graded gap comprising only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/025Physical imperfections, e.g. particular concentration or distribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • H01L33/32Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Lighting applications typically use incandescent or gas-filled bulbs. Such bulbs typically do not have long operating lifetimes and thus require frequent replacement.
  • Gas-filled tubes such as fluorescent or neon tubes, may have longer lifetimes, but operate using high voltages and are relatively expensive. Further, both bulbs and gas-filled tubes consume substantial amounts of energy.
  • a light emitting diode is a device that emits light upon the recombination of electrons and holes.
  • An LED typically includes a chip of semiconducting material doped with impurities to create a p-n junction. Current flows from the p-side, or anode, to the n-side, or cathode. Charge-carriers-electrons and holes-flow into the p-n junction from electrodes with different voltages. When an electron meets a hole, the electron recombines with the hole in a process that may result in the radiative emission of energy in the form of a photon (hv). The photons, or light, are transmitted out of the LED and employed for use in various applications, such as, for example, lighting applications and electronics applications.
  • LEDs in contrast to incandescent or gas-filled bulbs, are relatively inexpensive, operate at low voltages, and have long operating lifetimes. Additionally, LEDs consume relatively little power and are compact. These attributes make LEDs particularly desirable and well suited for many applications.
  • LEDs there are limitations associated with such devices. Such limitations include materials limitations, which may limit the efficiency of LEDs; structural limitations, which may limit transmission of light generated by an LED out of the device; and manufacturing limitations, which may lead to high processing costs. Accordingly, there is a need for improved LEDs and methods for manufacturing LEDs.
  • a light emitting device comprises a buffer layer comprising an aluminum gallium nitride layer and a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer.
  • the light emitting device further comprises a light emitting stack adjacent to the buffer layer, the light emitting stack having an active layer configured to generate light upon the recombination of electrons and holes, wherein a combined thickness of the buffer layer and the light emitting stack is less than or equal to 5 micrometers ( ⁇ m).
  • the buffer layer includes an aluminum nitride (AlN) layer.
  • AlN layer can be adjacent to the aluminum gallium nitride layer.
  • the AlN layer is between a substrate, such as a silicon substrate, and the aluminum gallium nitride layer.
  • a light emitting device comprises a buffer layer having an aluminum nitride (AlN) layer, an aluminum gallium nitride layer adjacent to the AlN layer, and a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer; and a light emitting stack adjacent to the GaN layer.
  • the light emitting stack includes an active layer configured to generate light upon the recombination of electrons and holes.
  • An absolute value of a radius of curvature of the buffer layer is greater than 50 m.
  • a light emitting device comprises a buffer layer comprising i) a tensile strained aluminum nitride (AlN) layer, ii) a compressive strained Al x Ga 1-x N layer adjacent to the AlN layer, wherein ‘x’ is a number between 0 and 1, and iii) a compressive strained gallium nitride (GaN) layer adjacent to the strained Al x Ga 1-x N layer.
  • the light emitting device further comprises a light emitting stack adjacent to the buffer layer.
  • the light emitting stack includes an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer, and an active layer between the n-GaN and p-GaN layers.
  • the active layer configured to generate light upon the recombination of electrons and holes.
  • a light emitting device comprises a buffer layer adjacent to a light emitting stack.
  • the light emitting stack includes an active layer configured to generate light upon the recombination of electrons and holes.
  • the active layer includes an n-type gallium nitride layer and a p-type gallium nitride layer.
  • the buffer layer has a radius of curvature (absolute value) that is greater than 50 m.
  • a method for forming a light emitting device comprises forming, over a substrate in a reaction chamber, a light emitting stack having an active layer configured to generate light upon the recombination of electrons and holes.
  • the light emitting stack is formed adjacent to a gallium nitride (GaN) layer that is, in turn, formed adjacent to an aluminum gallium nitride layer under processing conditions that form defects in the GaN layer.
  • the aluminum gallium nitride layer is formed adjacent to an aluminum nitride (AlN) layer under processing conditions that form defects in the aluminum gallium nitride layer.
  • AlN aluminum nitride
  • the AlN layer is formed adjacent to the substrate under processing conditions that form defects in the AlN layer.
  • a method for forming a light emitting device comprises providing a substrate in a reaction chamber and forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate defects in the AlN layer.
  • AlN aluminum nitride
  • An aluminum gallium nitride layer is formed adjacent to the AlN layer under processing conditions selected to generate defects in the aluminum gallium nitride layer.
  • a gallium nitride (GaN) layer is formed adjacent to the aluminum gallium nitride layer under processing conditions selected to generate defects in the GaN layer.
  • a method for forming a light emitting device comprises forming a plurality of layers adjacent to a substrate.
  • the plurality of layers include i) an aluminum nitride layer adjacent to the substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer.
  • one or more process parameters are selected such that an individual layer of the plurality of layers has a strain that is nonzero with increasing thickness of the individual layer.
  • a method for forming a light emitting device comprises forming, over a substrate in a reaction chamber (or reaction space if the reaction chamber includes a plurality of reaction spaces), a light emitting stack having an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer and an active layer between the n-GaN layer and the p-GaN layer.
  • the active layer is configured to generate light upon the recombination of electrons and holes.
  • the light emitting stack is formed adjacent to a gallium nitride (GaN) layer.
  • the GaN layer is formed adjacent to an aluminum gallium nitride layer, the aluminum gallium nitride is formed adjacent to an aluminum nitride layer, and the AlN layer is formed adjacent to the substrate.
  • the substrate in some cases is a silicon substrate.
  • processing conditions are selected to generate defects (or strain-inducing defects) in one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer.
  • processing conditions are selected to generate defects in each of the GaN layer, aluminum gallium nitride layer and the AlN layer.
  • Processing conditions in some cases are selected to maintain a predetermined density of defects in the layers. In some situations, the predetermined defect density is between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • processing conditions are selected such that at a growth temperature between about 800° C. and 1200° C., or between about 900° C. and 1100° C., each of the GaN layer, aluminum gallium nitride layer and the AlN layer has a non-zero tensile or compressive strain with increasing thickness of the layer.
  • a method for forming a light emitting device comprises providing a substrate in a reaction chamber, and forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate strain in the AlN layer.
  • AlN aluminum nitride
  • An aluminum gallium nitride layer is formed adjacent to the AlN layer under processing conditions selected to generate strain in the aluminum gallium nitride layer.
  • a gallium nitride (GaN) layer is formed adjacent to the aluminum gallium nitride layer under processing conditions selected to generate strain in the GaN layer.
  • a system for forming a light emitting device comprises a reaction chamber for holding a substrate and a pumping system in fluid communication with the reaction chamber, the pumping system configured to purge or evacuate the reaction chamber.
  • the system includes a computer system having a processor for executing machine readable code implementing a method for forming a buffer layer adjacent to the substrate.
  • the method comprises forming a plurality of layers adjacent to the substrate, the plurality of layers including i) an aluminum nitride layer adjacent to the substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer.
  • one or more process parameters are selected such that an individual layer of the plurality of layers has a strain that is nonzero with increasing thickness of the individual layer.
  • FIG. 1 schematically illustrates a nascent light emitting device
  • FIG. 2 schematically illustrates a cross section of a light emitting diode, in accordance with an embodiment
  • FIG. 3 schematically illustrates a method for forming a light emitting device, in accordance with an embodiment
  • FIG. 4 schematically illustrates the strain and accumulated stress on a light emitting device at various stages of formation of a buffer layer over a silicon substrate, in accordance with an embodiment
  • FIG. 5 shows simplified cross-sectional side views at various stages of a process for forming a buffer layer of a nascent light emitting device over a silicon substrate, in accordance with an embodiment
  • FIG. 6 shows a system used to fabricate a light emitting device, in accordance with an embodiment.
  • light emitting device refers to a device configured to generate light upon the recombination of electrons and holes in a light emitting region (or “active layer”) of the device, such as upon the application (or flow) of a forward-biasing electrical current through the light emitting region.
  • a light emitting device in some cases is a solid state device that converts electrical energy to light.
  • a light emitting diode (“LED”) is a light emitting device.
  • LED light emitting device.
  • Some light emitting devices (laser diodes) emit laser light, and others generate non-monochromatic light. Some LEDs are optimized for performance in particular applications.
  • An LED may be a so-called blue LED comprising a multiple quantum well (MQW) active layer having indium gallium nitride.
  • a blue LED may emit non-monochromatic light having a wavelength in a range from about 440 nanometers to 500 nanometers.
  • a phosphor coating may be provided that absorbs some of the emitted blue light. The phosphor in turn fluoresces to emit light of other wavelengths so that the light the overall LED device emits has a wider range of wavelengths.
  • a layer refers to a layer of atoms or molecules on a substrate.
  • a layer includes an epitaxial layer or a plurality of epitaxial layers.
  • a layer may include a film or thin film.
  • a layer is a structural component of a device (e.g., light emitting diode) serving a predetermined device function, such as, for example, an active layer that is configured to generate (or emit) light.
  • a layer generally has a thickness from about one monoatomic monolayer (ML) to tens of monolayers, hundreds of monolayers, thousands of monolayers, millions of monolayers, billions of monolayers, trillions of monolayers, or more.
  • ML monoatomic monolayer
  • a layer is a multilayer structure having a thickness greater than one monoatomic monolayer.
  • a layer may include multiple material layers (or sub-layers).
  • a multiple quantum well active layer includes multiple well and barrier layers.
  • a layer may include a plurality of sub-layers.
  • an active layer may include a barrier sub-layer and a well sub-layer.
  • coverage refers to the fraction of a surface covered or occupied by a species in relation to the total area of the surface. For example, a coverage of 10% for a species indicates that 10% of a surface is covered by the species. In some situations, coverage is represented by monolayers (ML), with 1 ML corresponding to complete saturation of a surface with a particular species. For example, a pit coverage of 0.1 ML indicates that 10% of a surface is occupied by pits.
  • ML monolayers
  • active region refers to a light emitting region of a light emitting diode (LED) that is configured to generate light.
  • An active layer comprises an active material that generates light upon the recombination of electrons and holes, such as, for example, with the aid of a forward-biasing electrical current through the active layer.
  • An active layer may include one or a plurality of layers (or sub-layers).
  • an active layer includes one or more barrier layers (or cladding layers, such as, e.g., GaN) and one or more quantum well (“well”) layers (such as, e.g., InGaN).
  • an active layer comprises multiple quantum wells, in which case the active layer may be referred to as a multiple quantum well (“MQW”) active layer.
  • MQW multiple quantum well
  • doped refers to a structure or layer that is chemically doped.
  • a layer may be doped with an n-type chemical dopant (also “n-doped” herein) or a p-type chemical dopant (also “p-doped” herein).
  • a layer is undoped or unintentionally doped (also “u-doped” or “u-type” herein).
  • a u-GaN (or u-type GaN) layer includes undoped or unintentionally doped GaN.
  • Group III-V semiconductor refers to a material having one or more Group III species and one or more Group V species.
  • a Group III-V semiconductor material in some cases is selected from gallium nitride (GaN), gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), gallium arsenide phosphide (GaAsP), aluminum gallium indium phosphide (AlGaInP), gallium phosphide (GaP), indium gallium nitride (InGaN), aluminum gallium phosphide (AlGaP), aluminum nitride (AlN), aluminum gallium nitride (AlGaN), and aluminum gallium indium nitride (AlGaInN).
  • dopant refers to a chemical dopant, such as an n-type dopant or a p-type dopant.
  • P-type dopants include, without limitation, magnesium, beryllium, zinc and carbon.
  • N-type dopants include, without limitation, silicon, germanium, tin, tellurium, and selenium.
  • a p-type semiconductor is a semiconductor that is doped with a p-type dopant.
  • An n-type semiconductor is a semiconductor that is doped with an n-type dopant.
  • n-type Group III-V material such as n-type gallium nitride (“n-GaN”), includes a Group III-V material that is doped with an n-type dopant.
  • a p-type Group III-V material such as p-type GaN (“p-GaN”), includes a Group III-V material that is doped with a p-type dopant.
  • a Group III-V material includes at least one Group Ill element selected from boron, aluminum, gallium, indium, and thallium, and at least one Group V element selected from nitrogen, phosphorus, arsenic, antimony and bismuth.
  • adjacent includes ‘next to’, ‘adjoining’, ‘in contact with’, and ‘in proximity to’.
  • adjacent components are separated from one another by one or more intervening layers.
  • the one or more intervening layers can have a thickness less than about 10 micrometers (“microns”), 1 micron, 500 nanometers (“nm”), 100 nm, 50 nm, 10 nm, 1 nm, or less.
  • a first layer is adjacent to a second layer when the first layer is in direct contact with the second layer.
  • a first layer is adjacent to a second layer when the first layer is separated from the second layer by a third layer.
  • a substrate refers to any workpiece on which film or thin film formation is desired.
  • a substrate includes, without limitation, silicon, germanium, silica, sapphire, zinc oxide, carbon (e.g., graphene), SiC, AlN, GaN, spinel, coated silicon, silicon on oxide, silicon carbide on oxide, glass, gallium nitride, indium nitride, titanium dioxide, aluminum nitride, a ceramic material (e.g., alumina, AlN), a metallic material (e.g., molybdenum, tungsten, copper, aluminum), and combinations (or alloys) thereof.
  • injection efficiency refers to the proportion of electrons passing through a light emitting device that are injected into the active region of the light emitting device.
  • optical quantum efficiency refers to the proportion of all electron-hole recombination events in an active region of a light emitting device that are radiative (i.e., producing photons).
  • extraction efficiency refers to the proportion of photons generated in an active region of a light emitting device that escape from the device.
  • EQE external quantum efficiency
  • Group III-V semiconductor LEDs may be formed of various semiconductor device layers. In some situations, Group III-V semiconductor LEDs offer device parameters (e.g., wavelength of light, external quantum efficiency) that may be preferable over other semiconductor materials.
  • Gallium nitride (GaN) is a binary Group III-V direct bandgap semiconductor that may be used in optoelectronic applications and high-power and high-frequency devices.
  • Group III-V semiconductor based LEDs may be formed on various substrates, such as silicon, germanium and sapphire. Silicon provides various advantages over certain other substrates, such as the capability of using current manufacturing and processing techniques, in addition to using large wafer sizes that aid in maximizing the number of LEDs formed within a predetermined period of time. However, while silicon provides various advantages, recognized herein are various limitations and difficulties associated with forming Group III-V semiconductor-based LEDs (such as gallium nitride-based LEDs) on silicon.
  • One issue is the formation of a gallium and silicon alloy, which may be undesirable in circumstances in which high quality GaN is desired. In some situations, at a temperature greater than about 1000° C., the growth of high quality GaN may be difficult due to the formation of a silicon-gallium alloy at an interface between a gallium nitride device layer and the silicon substrate.
  • Another issue associated with forming Group III-V semiconductor-based LEDs on silicon is the lattice mismatch and the mismatch in coefficient of thermal expansion (CTE) between gallium nitride and silicon, which may generate structural stresses that may lead to cracking issues in LED devices. Cracking of various device layers of a light emitting device (e.g., LED) may yield poor device performance and limit the lifetime of the light emitting device.
  • CTE coefficient of thermal expansion
  • the stress in the epilayer increases with increasing thickness in the GaN epilayer.
  • the increase in stress may lead to the silicon wafer to bow and in some cases crack.
  • the cracking issue may be more severe for a GaN layer that is n-doped with silicon, due at least in part to a high tensile strain in silicon-doped GaN. While the thickness of the silicon-doped GaN layer may be selected to avoid cracking, such thickness limitations may impose performance limitations for GaN and silicon-based LED devices.
  • GaN thin film following the formation of a GaN thin film on a silicon substrate at an elevated growth temperature, during cool down the silicon substrate contracts at a lower rate than the GaN thin film, at least partly because GaN has a higher coefficient of thermal expansion than silicon. Under such circumstances, at room temperature the GaN thin film is under tensile strain. Conversely, GaN has a lower coefficient of thermal expansion than sapphire (Al 2 O 3 ). As a consequence, for a GaN thin film grown on a sapphire substrate, following thin film formation and cool down to room temperature, the GaN thin film is under compressive strain.
  • GaN thin films formed on silicon and GaN thin films formed on sapphire the differences in lattice constants between GaN and silicon and sapphire imposes tensile strain on GaN thin films at room temperature.
  • the tensile strain due to the mismatch in lattice constants is counterbalanced by the compressive strain due to mismatch in coefficient of thermal expansion between GaN and sapphire, preventing GaN thin films on from cracking.
  • the tensile strain due to the mismatch in coefficient of thermal expansion and mismatch in lattice constant generate tensile strain at room temperature, which typically leads to the GaN thin film to bow and in some cases crack at room temperature. At least in some situations, this provides a disincentive for forming LEDs having GaN thin films on silicon substrates.
  • FIG. 1 schematically illustrates simplified cross-sectional views showing the formation of a light emitting device 100 having silicon substrate 105 and a GaN thin film 110 formed thereon.
  • the light emitting device 100 in some cases is a nascent light emitting device; additional processing operations may be required to form a completed light emitting device.
  • the silicon substrate 105 is heated to a growth temperature, as illustrated in the top view of FIG. 1 .
  • the GaN film 110 is formed on the silicon substrate 105 , which causes the silicon substrate 105 and the GaN film 110 to bow, as illustrated in the middle view of FIG. 1 .
  • the structure is allowed to cool down to room temperature. However, the stress produced by the GaN film 110 on the substrate 105 leaves a bow on the structure, as illustrated in the lower of view of FIG. 1 .
  • the GaN film 110 is formed on a monocrystalline (or single crystal) substrate, such as Si(111), in which case the GaN film 110 is an epilayer. Due to the mismatch of coefficient of thermal expansion between the silicon substrate 105 and the GaN thin film 110 , at the growth temperature the GaN thin film 110 is under tensile strain, leading the GaN thin film 110 and the silicon substrate 105 to bow. At the growth temperature, the GaN thin film 110 and the silicon substrate 105 are bowed by an angle ⁇ in relation to an axis parallel to a bottom surface of the silicon substrate 105 . The angle ⁇ is greater than 0°. The GaN thin film 110 and the silicon substrate 105 have a concave configuration in relation to the axis.
  • the GaN thin film 110 is under tensile strain, which may lead to cracking in various device layers of the light emitting device 100 .
  • the bowing and cracking issues in GaN thin films on silicon substrates may be addressed by minimizing the defect density of GaN thin film during formation. This helps provide low defect density, high quality GaN thin films on silicon substrates. However, the formation of low defect density GaN thin films on silicon substrates has posed manufacturing challenges.
  • Structures, devices and methods described in various embodiments of the invention help address the issues described above in regards to the formation of GaN thin films on silicon substrates.
  • structures and methods are provided to reduce the strain in GaN thin films formed on silicon substrates. This minimizes, if not eliminates, bowing and cracking of GaN thin films on silicon substrates following cool down from a growth temperature to room temperature.
  • Structures, devices and methods are based, at least in part, on the unexpected realization that any tensile strain in a GaN thin film on a silicon substrate—due, for example, to the mismatch in coefficient of thermal expansion)—may be counterbalanced by an opposing strain generated in the GaN thin film.
  • the opposing strain in some cases is a compressive strain.
  • a GaN-containing buffer layer having on a silicon substrate is strained at a growth temperature to have compressive strain, which may balance the tensile strain in the GaN-containing buffer layer, thereby minimizing, if not eliminating bowing and crack formation.
  • various device layers of a light emitting device are formed by introducing or maintaining dislocations in the various device layers.
  • the dislocations which may give rise to V-pits (or V-defects) under unique (or otherwise predetermined) growth conditions, help maintain strain (compressive or tensile) in each of the various device layers at the growth temperature.
  • device layers of a light emitting device are formed over a silicon substrate to have a predetermined dislocation density in order to generate a compressive strain at the growth temperature that balances the tensile strain in the device layers.
  • the thickness of the device layers is selected to maintain a predetermined dislocation density in the device layers at the growth temperature. That is, certain device layers are formed to have a thickness that provides a predetermined dislocation density. In an example, a device layer is formed at a thickness selected to maintain a dislocation density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • dislocations have at least two functions. One function is to balance stresses in the various layers of the light emitting device. Another function is to generate V-pits (or V-defects) in the light emitting device. The active layer may be formed in the V-pits during the formation of the light emitting device.
  • a light emitting device comprises a plurality of layers formed on a silicon substrate.
  • the plurality of layers includes a buffer layer.
  • One or more of the plurality of layers are strained.
  • one or more of the plurality of layers are intentionally strained—e.g., during the formation of the plurality of layers; processing conditions are selected to generate strain in the plurality of layers, such as by way of defects.
  • the strain generates a compressive strain that balances any tensile strain-due, for example, to the mismatch in coefficient of thermal expansion between the silicon substrate and overlying device layers—in the light emitting device, which provides a light emitting device that has little to no net strain at room temperature.
  • the buffer layer is compressively strained at a growth temperature. Upon cool down from the growth temperature (such as, for example, to room temperature), the compressive strain balances the tensile strain in the buffer layer.
  • one or more layers of the light emitting device are strained with the aid of dislocations formed in the one or more layers during growth.
  • the dislocations aid in maintaining (or generating) strain in the one or more layers at a growth temperature and upon cool-down from the growth temperature.
  • a light emitting device includes a buffer layer formed on a silicon substrate and a light emitting stack formed on the buffer layer.
  • the light emitting stack includes a light emitting active layer.
  • the buffer layer is strained to have a net compressive strain that balances any tensile strain in the buffer layer. This provides a buffer layer having little to no overall strain at room temperature.
  • the light emitting device may be concave, flat or substantially flat. In cases in which the light emitting device is concave, the substrate bends toward the buffer layer. In some embodiments, the light emitting device has a radius of curvature (absolute value) that is greater than about 30 meters (“m”), or 40 m, or 50 m, or 100 m, or 200 m, or 300 m, or 400 m, or 500 m, or 1000 m, or 10,000 m. In some cases, the radius of curvature (or degree of bowing) is substantially zero or less than zero (i.e., the substrate and various device layers are convex).
  • the light emitting device has a radius of curvature (degree of bowing) that is less than about ⁇ 50 m, or ⁇ 100 m, or ⁇ 200 m, or ⁇ 300 m, or ⁇ 400 m, or ⁇ 500 m, or ⁇ 1000 m, or ⁇ 10,000 m.
  • the light emitting device may be convex—i.e., the substrate bends away from the buffer layer (see FIG. 5 ).
  • the light emitting device has a radius of curvature (absolute value) that is greater than about 3 m, or 4 m, or 5 m, or 6 m, or 7 m, or 8 m, or 9 m, or 10 m, or 15 m, or 20 m, or 25 m, or 30 m, or 35 m, or 40 m, or 45 m.
  • the light emitting device has a radius of curvature (absolute value) that is between about 0.1 m and 50 m, or 0.5 m and 20 m, or 1 m and 6 m.
  • the radius of curvature at the growth temperature may be predetermined by regulating one or more growth conditions (see below).
  • the radius of curvature may be calculated by calculating the degree to which light directed to a surface scatters, such as, for example, with the aid of a deflectometer. By measuring the scattering of light during device layer formation, any change in strain may be calculated.
  • the radius of curvature is inversely proportional to the strain—the more strained a layer, the lower the radius of curvature; conversely, the less strained a layer, the higher the radius of curvature. In the case of a substantially flat surface (i.e., little to no bowing), the radius of curvature approaches infinity.
  • one or more layers of a light emitting device are strained at a growth temperature.
  • the growth temperature is elevated in relation to room temperature.
  • the strain at the elevated growth temperature aids in balancing any opposing strain (e.g., compressive strain) at the elevated growth temperature.
  • any opposing strain e.g., compressive strain
  • the one or more layers of the light emitting device upon cool down to room temperature, have little to no strain, which advantageously minimizes, if not eliminates bowing and, in some cases, the formation of cracks.
  • a light emitting device comprises a buffer layer adjacent to a light emitting stack.
  • the buffer layer comprises a strained aluminum nitride (AlN) layer, a strained Al x Ga 1-x N (wherein ‘x’ is a number between 0 and 1) layer adjacent to the AlN layer, and a strained gallium nitride (GaN) layer adjacent to the strained Al x Ga 1-x N layer.
  • AlN strained aluminum nitride
  • GaN strained gallium nitride
  • the light emitting stack comprises an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer, and an active layer between the n-GaN and p-GaN layers.
  • the active layer is configured to generate light upon the recombination of electrons and holes, such as upon the application of a forward-biasing electrical current through the active layer.
  • the n-GaN layer is adjacent to the strained GaN layer.
  • the n-GaN layer is configured to aid in the flow of electrical current to the active layer.
  • the p-GaN layer is configured to aid in the flow of holes to the active layer.
  • the buffer layer of the light emitting device has at most one AlN layer, at most one Al x Ga 1-x N layer adjacent to the at most one AlN layer, and at most one GaN adjacent to the at most one Al x Ga 1-x N layer.
  • the light emitting device has one AlN layer, one Al x Ga 1-x N layer adjacent to the AlN layer, and one GaN layer adjacent to the Al x Ga 1-x N layer. The light emitting device in such a case does not include any additional AlN layers, Al x Ga 1-x N layers, and GaN layers.
  • the light emitting device include one or more additional strained aluminum gallium nitride layers between the strained Al x Ga 1-x N layer and the strained GaN layer.
  • the light emitting device includes a strained Al y Ga 1-y N layer (wherein ‘y’ is a number between 0 and 1) between the Al x Ga 1-x N layer and the strained GaN layer.
  • the strained Al y Ga 1-y N layer may be compositionally graded between the composition of an outermost sub-layer of the strained Al x Ga 1-x N layer (adjacent to the strained Al y Ga 1-y N layer) and the internationally strained GaN layer.
  • the light emitting device further includes a substrate adjacent to the buffer layer or the light emitting stack.
  • the substrate is adjacent to the buffer layer.
  • the substrate is adjacent to the AlN layer of the buffer layer.
  • the substrate is adjacent to the light emitting stack, such as adjacent to the p-GaN layer of the light emitting stack.
  • the substrate includes one or more of silicon, germanium, silicon oxide, silicon dioxide, titanium oxide, titanium dioxide, sapphire, silicon carbide (SiC), a ceramic material (e.g., alumina, AlN) and a metallic material (e.g., molybdenum, tungsten, copper, aluminum).
  • a thickness of a light emitting device is selected to generate and/or maintain a predetermined defect density (e.g., dislocation density) in the light emitting device, including the buffer layer of the light emitting device.
  • the defects in turn induce strain (e.g., compressive or tensile strain).
  • the defect density in some cases can be a function of the thickness of the buffer layer. In an example, the thicker the buffer layer, the lower the defect density, and the thinner the buffer layer, the higher the defect density.
  • Devices described in certain embodiments are based on the unexpected realization that by carefully selecting the thickness of individual layers of the light emitting device and the growth conditions, various issues describe above, such as cracking upon cool-down to room temperature, may be mitigated, if not eliminated.
  • a thickness of the light emitting device is less than or equal to about 5 micrometers (“ ⁇ m”), or less than or equal to about 4 ⁇ m, or less than or equal to about 3 p.m. In some embodiments, a combined thickness of the buffer layer and the light emitting stack is less than or equal to about 5 micrometers (“ ⁇ m”), or less than or equal to about 4 ⁇ m, or less than or equal to about 3 In some embodiments, a thickness of the strained AlN layer is less than or equal to about 1 ⁇ m, or less than or equal to about 0.5 ⁇ m, or less than or equal to about 0.4 ⁇ m.
  • a thickness of the strained Al x Ga 1-x N layer is less than or equal to about 1 ⁇ m, or less than or equal to about 0.8 or less than or equal to about 0.7 ⁇ m. In some embodiments, a thickness of the strained GaN layer is less than or equal to about 4 ⁇ m, or less than or equal to about 3 ⁇ m, or less than or equal to about 2.5 ⁇ m. In some embodiments, a thickness of the buffer layer is less than or equal to about 5 ⁇ m, or less than or equal to about 4 ⁇ m, or less than or equal to about 3 ⁇ m.
  • the strained AlN layer has a defect density (e.g., dislocation density) between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the strained Al x Ga 1-x N layer has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the strained GaN layer has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the light emitting stack has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • the dislocation density of the strained GaN layer is less than those of the strained AlGaN and AlN layers.
  • the dislocation density of the strained AlGaN layer may be less than the dislocation density of the AlN layer.
  • the addition of a new material during the growth of the buffer layer is accompanied by a release of strain for the first 10-150 monolayers of the layer.
  • the buffer layer has a dislocation density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 , which facilitates in the formation of V-defects (or V-pits) in the GaN layer and the LED layers.
  • straining the buffer layer-including AlN, Al x Ga 1-x N and GaN layers of the buffer layer—by maintaining a density of dislocations facilitates the formation of V-defects in the buffer layer and the LED layers.
  • the size of V-defect can be controlled.
  • the active region, where the light is generated can be grown selectively only at the areas between V-defects. This is an effective way to grow high-efficiency LED materials. The selective growth of the active layer, thus, tolerates the existing of dislocations which, then, is utilized to engineer the stress of the overall grown layers.
  • the light emitting device includes additional layers. In some cases, the light emitting device includes an electron blocking layer between the active layer and the p-GaN layer. In some embodiment, the light emitting device includes a first electrode in electrical communication with the n-GaN layer and a second electrode in electrical communication with the p-GaN layer.
  • the light emitting device may include a layer of an optically reflective material (also “optical reflector” herein) adjacent to the p-GaN layer.
  • the layer of the optically reflective material may be formed of one or more of silver, platinum, gold and nickel, rhodium and indium.
  • FIG. 2 shows an LED 200 , in accordance with an embodiment.
  • the LED 200 comprises a first substrate 205 , an AlN layer 210 adjacent to the first substrate 205 , an AlGaN layer 215 adjacent to the AlN layer 210 , a GaN layer 220 adjacent to the AlGaN layer 215 , an n-type GaN (“n-GaN”) layer 225 adjacent to the GaN layer 220 , an active layer 230 adjacent to the n-GaN layer 225 , an electron blocking (e.g., AlGaN) layer 235 adjacent to the active layer 230 , and a p-type GaN (“p-GaN”) layer 240 adjacent to the electron blocking layer 235 .
  • n-GaN n-type GaN
  • the GaN layer 220 may be formed of u-GaN (i.e., undoped or unintentionally doped GaN).
  • the AlN layer 210 , AlGaN layer 215 and GaN layer 220 at least partly define a buffer layer of the LED 200 .
  • the n-GaN layer 225 , active layer 230 , and p-GaN layer 240 define a light emitting stack 245 of the LED 200 .
  • the light emitting sack 245 may include other layers, such as the electron blocking layer 235 .
  • the electron blocking layer 235 is configured to minimize the recombination of electrons with holes in the p-GaN layer 240 .
  • the first substrate 205 may be formed of silicon.
  • the LED 200 includes a second substrate 250 (Substrate 2 ) adjacent to the p-GaN layer 240 . In such a case, the first substrate 205 may be precluded.
  • the second substrate 250 may be included in the final LED 200 .
  • the AlN layer 210 , AlGaN layer 215 and the GaN layer 220 are strained layers. In some cases, the AlN layer 210 is under tensile strain, the AlGaN layer 215 is under compressive strain and the GaN layer 220 is under compressive strain.
  • the AlGaN layer 215 may have an aluminum and gallium composition selected to effect desirable (or predetermined) device properties. In some cases, the aluminum and gallium composition is selected to generate strain in the AlGaN layer 215 .
  • the AlGaN layer 215 may have the formula Al x Ga 1-x N, wherein ‘x’ is a number between 0 and 1. In some situations, the AlGaN layer 215 is compositionally graded in aluminum and gallium.
  • the aluminum content of the AlGaN layer 215 is greater than the gallium content (i.e., x>1 ⁇ x), and at the interface between the AlGaN layer 215 and the GaN layer 220 , the gallium content of the AlGaN layer 215 is greater than the aluminum content (i.e., 1 ⁇ x>x).
  • the aluminum content of the AlGaN layer 215 is less than the gallium content (i.e., x ⁇ 1 ⁇ x), and at the interface between the AlGaN layer 215 and the GaN layer 220 , the gallium content of the AlGaN layer 215 is greater than the aluminum content (i.e., 1 ⁇ x>x).
  • the AlN layer 210 has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the AlGaN layer 215 has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the GaN layer 220 has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2
  • the light emitting stack 245 has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • the LED 200 may include a first electrode in electrical communication with the n-GaN layer 225 and a second electrode in electrical communication with the p-GaN layer 240 .
  • the first electrode is in electrical contact with the n-GaN layer 225 .
  • the second electrode may be in electrical contact with the p-GaN layer 240 .
  • the LED 200 includes a layer of an optically reflective material adjacent to the p-GaN layer.
  • the Led 200 includes layer of an optically reflective material (e.g., silver) between the p-GaN layer 240 and the second substrate 250 .
  • methods for forming a light emitting device comprise forming a barrier layer adjacent to a substrate, the barrier layer including i) an aluminum nitride (AlN) layer adjacent to the silicon substrate, ii) an aluminum gallium nitride layer adjacent to the AlN layer, and iii) a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer.
  • AlN aluminum nitride
  • GaN gallium nitride
  • one or more process parameters are selected such that an individual layer of the barrier layer has a tensile strain or compressive strain that is nonzero with increasing thickness of the layer. The tensile strain and compressive strain in the barrier layer can be adjusted such that the barrier layer has a net compressive strain at a growth temperature.
  • the strain (compressive or tensile) in device layers (e.g., AlN layer, aluminum gallium nitride layer, GaN layer) of the light emitting device may be at least partially dependent on the defect density in the device layers.
  • one or more process parameters are selected such that an individual layer of the barrier layer has a predetermined concentration of defects (e.g., dislocations). In some situations process parameters are selected such that an individual layer of the barrier layer has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • the substrate is formed of a material including silicon, germanium, silicon oxide, silicon dioxide, titanium oxide, titanium dioxide, sapphire, silicon carbide (SiC), a ceramic material and a metallic material.
  • the substrate is formed of silicon.
  • Process parameters are adjustable based upon the selection of one or more process parameters for forming a light emitting device.
  • Growth conditions may include growth temperature, carrier gas flow rate, precursor flow rate, growth rate, reaction chamber pressure and susceptor (or platten) rotation rate.
  • one or more layers of a light emitting device are formed at a growth temperature between about 750° C. and 1200° C., or between about 900° C. and 1100° C. Individual layers may be formed at growth temperatures selected to effect a predetermined defect density.
  • processing conditions are selected to generate defects in one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer. In some cases, during the formation of the GaN layer, aluminum gallium nitride layer and the AlN layer, processing conditions are selected to generate defects in the GaN layer, aluminum gallium nitride layer and the AlN layer. The defects aid in maintaining a predetermined level of strain in the layers at the growth temperature.
  • the AlN layer is formed under growth conditions selected to generate tensile strain in the AlN layer.
  • the aluminum gallium nitride layer is formed under growth conditions selected to generate compressive strain in the aluminum gallium nitride layer.
  • the GaN layer is formed under growth conditions selected to generate compressive strain in the GaN layer.
  • various device layers are under tensile strain or compressive strain by virtue of defects (e.g., dislocations).
  • Process conditions are selected to form a layer having a predetermined defect density.
  • an AlN layer is formed under process conditions selected such that the AlN layer is under tensile strain due at least in part to defects in the AlN layer.
  • the AlN layer in some cases is under tensile strain at a growth temperature that is elevated with respect to the tensile strain it exhibits at room temperature.
  • the density of defects is selected to generate a predetermined level of tensile strain. In some cases, the defect density is between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • an aluminum gallium nitride layer and GaN layer are formed under process conditions selected such that the aluminum gallium nitride and GaN layers are under compressive strain due at least in part to defects in the aluminum gallium nitride and GaN layers.
  • the aluminum gallium nitride and GaN layers in some cases are under compressive strain at a growth temperature that is elevated with respect to room temperature.
  • the density of defects is selected to generate a predetermined level of compressive strain. In some cases, the defect density is between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • process conditions are selected such that a buffer layer having AlN, aluminum gallium nitride and GaN layers is under compressive strain at a growth temperature, due at least in part to defects in the buffer layer.
  • the defect density in the buffer layer is between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • a gallium precursor may include trimethylgallium (TMG), triethylgallium, diethylgallium chloride and coordinated gallium hydride compounds (e.g., dimethylgallium hydride).
  • An aluminum precursor may include tri-isobutyl aluminum (TIBAL), trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminum hydride (DMAH).
  • An indium precursor may include trimethyl indium (TMI) and triethyl indium (TEI).
  • a nitrogen precursor may include ammonia (NH 3 ), nitrogen (N 2 ), and plasma-excited species of ammonia and/or N 2 .
  • a p-type dopant precursor may be selected from a boron precursor (e.g., B 2 H 6 ), a magnesium precursor (e.g., biscyclopentadienyl magnesium), an aluminum precursor, to name a few examples.
  • An n-type precursor may be selected from a silicon precursor (e.g, SiH 4 ), a germanium precursor (e.g., tetramethylgermanium, tetraethylgermanium, dimethyl amino germanium tetrachloride, isobutylgermane) and a phosphorous precursor (e.g., PH 3 ), to name a few examples.
  • FIG. 3 shows a method 300 for forming a light emitting device, in accordance with an embodiment.
  • a substrate is provided in a reaction chamber.
  • the reaction chamber may be a vacuum chamber configured for thin film formation, such as with the aid of chemical vapor deposition (e.g., metal organic chemical vapor deposition, or MOCVD) or atomic layer deposition (ALD).
  • chemical vapor deposition e.g., metal organic chemical vapor deposition, or MOCVD
  • ALD atomic layer deposition
  • an aluminum nitride (AlN) layer is formed adjacent to the substrate.
  • the AlN layer is formed by heating the substrate to a growth temperature ranging between about 750° C. and 1200° C. in a reaction chamber with aluminum precursor and nitrogen precursor gas.
  • the growth temperature is set to be between about 900° C. and 1100° C.
  • the aluminum precursor and the nitrogen precursor may be supplied into the reaction chamber with the aid of a carrier gas.
  • the carrier gas may include hydrogen (H 2 ), argon, neon, and helium.
  • the reaction chamber includes both aluminum precursor and nitrogen precursor gas at the same time so that the substrate is exposed to the aluminum precursor and the nitrogen precursor simultaneously.
  • aluminum precursor gas and nitrogen precursor gas are provided into the reaction chamber in an alternating fashion so that the substrate is exposed to the aluminum precursor and the nitrogen precursor in an alternating fashion.
  • one or more process parameters are selected such that the AlN layer as formed has a thickness selected to maintain tensile strain in the AIN layer at the growth temperature.
  • the hydrogen flow rate and the one or both of the aluminum and nitrogen precursor flow rates are selected such that the AlN layer has a finite tensile strain at the growth temperature.
  • the AlN layer in such a case has a predetermined defect density. In an example, the AlN layer has a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • a first aluminum gallium nitride layer is formed adjacent to the AlN layer, the first aluminum gallium nitride layer having the composition Al x Ga 1-x N, wherein ‘x’ is a number between 0 and 1.
  • the first aluminum gallium nitride layer is formed by exposing the AlN layer to an aluminum precursor (e.g., TMA), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH 3 ).
  • TMA aluminum precursor
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • the first aluminum gallium nitride layer is compositionally graded in aluminum and gallium (i.e., the aluminum and gallium content of the first aluminum gallium nitride layer varies along the direction of growth).
  • process parameters e.g., carrier gas flow rate, precursor flow rates
  • the AlGaN layer can relax quickly and the overall stress of the grown layers may level out.
  • relaxed layers may be desirable because new layers grown on such relaxed layers are free of strain and may be of higher crystal quality.
  • a layer free of compressive stress (or strain) at a growth temperature may not be desirable upon cool-down to room temperature.
  • layers that are otherwise free of compressive strain at a growth temperature have strain (e.g., tensile strain) at or near room temperature, leading to bowing and in some cases cracking.
  • a second aluminum gallium nitride layer is formed adjacent to the first aluminum gallium nitride layer, the second aluminum gallium nitride layer having the composition Al y Ga 1-y N, wherein ‘y’ is a number between 0 and 1.
  • the second aluminum gallium nitride layer is formed by exposing the first aluminum gallium nitride layer to an aluminum precursor, a gallium precursor and a nitrogen precursor. The partial pressure and flow rate of each of the precursors is selected to provide a desirable aluminum and gallium content.
  • the second aluminum gallium nitride layer is compositionally graded in aluminum and gallium (i.e., the aluminum and gallium content of the first aluminum gallium nitride layer varies along the direction of growth).
  • process parameters e.g., carrier gas flow rate, precursor flow rates
  • the second aluminum gallium nitride layer has a net compressive strain at the growth temperature.
  • a gallium nitride (GaN) layer is formed adjacent to the second aluminum gallium nitride layer.
  • the GaN layer is formed by supplying into the reaction chamber a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH 3 ), and exposing the second aluminum gallium nitride layer to the gallium precursor and the nitrogen precursor.
  • process parameters e.g., carrier gas flow rate, precursor flow rates
  • the gallium nitride layer has a net compressive strain at the growth temperature.
  • the second aluminum gallium nitride layer is precluded.
  • the GaN layer is formed adjacent to the first aluminum gallium nitride layer.
  • a device stack is formed adjacent to the GaN layer.
  • the device stack includes an n-type gallium nitride (n-GaN) layer adjacent to the GaN layer formed in operation 325 , an active layer adjacent to the n-GaN layer, and a p-type gallium nitride (p-GaN) layer adjacent to the active layer.
  • the GaN layer is exposed to a gallium precursor (e.g., TMG), a nitrogen precursor (e.g., NH 3 ) and a precursor of an n-type dopant (e.g., silane) to form the n-GaN layer.
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • an n-type dopant e.g., silane
  • the n-GaN layer in some cases is formed at a growth temperature ranging between about 750° C. and 1100° C. In some embodiments, the growth temperature ranges between about 800° C. and 1050° C. In other embodiments, the growth temperature ranges between about 850° C. and 1000° C.
  • the active layer is then formed adjacent to the n-GaN layer.
  • the active layer is formed of one or more well layers (e.g., indium gallium nitride, aluminum gallium nitride, aluminum indium gallium nitride) and one or more barrier layers (e.g., gallium nitride) layers, with the well layers and barrier layers distributed in an alternating configuration.
  • the well layer is formed by supplying an indium precursor (e.g., TMI), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH 3 ) into the reaction chamber.
  • an indium precursor e.g., TMI
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • a well layer having aluminum gallium nitride is formed by supplying an aluminum precursor (e.g., TMA), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH 3 ) into the reaction chamber.
  • an aluminum precursor e.g., TMA
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • barrier layers such as barrier layers having gallium nitride.
  • a gallium nitride barrier layer is formed by supplying into the reaction chamber a gallium precursor and a nitrogen precursor.
  • the active layer is formed to have a predetermined period of well-barrier stacks.
  • the active layer has 1, or 2, or 3, or 4, or 5, or 6, or 7, or 8, or 9, or 10, or more periods of well-barrier stacks.
  • the active layer is a multiple quantum well (MQW) active layer having, for example, 10 or more periods.
  • MQW multiple quantum well
  • the quantum well (“well”) layer is formed at temperatures ranging between about 750° C. and 790° C. In some embodiments, the well is formed at temperatures ranging between about 770° C. and 780° C.
  • the barrier layer may be formed at temperatures ranging between about 790° C. and 850° C. In some embodiments, the barrier layer is formed at temperatures ranging between about 810° C. and 840° C.
  • the p-GaN layer is then formed adjacent to the active layer.
  • the p-GaN layer is formed by supplying a gallium precursor (e.g., TMG), a nitrogen precursor (e.g., NH 3 ) and a precursor of a p-type dopant (e.g., biscyclopentadienyl magnesium, or CP2Mg) into the reaction chamber.
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • a precursor of a p-type dopant e.g., biscyclopentadienyl magnesium, or CP2Mg
  • the p-GaN layer in some cases is formed at a temperature ranging between about 700° C. and 1100° C. In some embodiments, the temperature ranges between about 800° C. and 1050° C., while in other embodiments the temperature ranges between about 850° C. and 1000° C.
  • a second substrate may be provided adjacent to the p-GaN layer.
  • the second substrate may be a silicon substrate.
  • one or more intervening layers are formed prior to providing the second substrate.
  • the substrate adjacent to the AlN layer may then be removed to expose the AlN layer.
  • a first electrode is formed that is in electrical communication with the n-GaN layer and a second electrode is formed that is in electrical communication with the p-GaN layer.
  • the first electrode, as formed is in contact with the n-GaN layer and the second electrode, as formed, is in contact with the second substrate (adjacent to the p-GaN layer).
  • the first electrode may include one or more elemental metals such as titanium, aluminum, nickel, platinum, gold, silver, rhodium, copper, chromium, or combinations thereof.
  • the second electrode may include one or more elemental metals such as aluminum, titanium, chromium, platinum, nickel, gold, rhodium, silver, or combinations, thereof.
  • the light emitting device formed according to the method 300 may have reduced strain at room temperature.
  • the formation of the buffer layer, per operations 305 - 325 provides a compressive strain that balances the tensile strain in the buffer layer, thereby reducing bowing and in some cases crack formation in the buffer layer and/or the device stack at room temperature.
  • FIG. 4 schematically illustrates the strain and accumulated stress on a light emitting device at various stages of growth of a buffer layer over a silicon substrate of a light emitting device, in accordance with an embodiment.
  • the y-axis schematically illustrates the strain and accumulative stress in the buffer layer at various stages of growth of the buffer layer.
  • the shaded rectangles (top) show the relative strain in each layer, and the layer schematics (bottom) show the degree of bowing of the buffer layer at various stages of growth.
  • the x-axis shows film thickness.
  • the buffer layer which is formed on a silicon substrate, includes an aluminum nitride (AlN) layer adjacent to the silicon substrate, a first aluminum gallium nitride (Al x Ga 1-x N) layer adjacent to the AIN layer, a second aluminum gallium nitride (Al y Ga 1-y N) layer adjacent to the first aluminum gallium nitride layer, and a gallium nitride layer adjacent to the second aluminum gallium nitride layer.
  • AlN aluminum nitride
  • Al x Ga 1-x N aluminum gallium nitride
  • Al y Ga 1-y N aluminum gallium nitride
  • the buffer layer of the light emitting device is strained by selecting one or more process parameters to effect strain in the layer—that is, each layer is formed to have a predetermined level of strain.
  • the AlN is provided to aid in the formation of the gallium-containing layers.
  • AIN may minimize or eliminate the formation of a gallium-silicon alloy adjacent to the silicon substrate.
  • the buffer layer is formed at a growth temperature. In other cases, the various layers of the buffer layer are formed at the same growth temperature or different growth temperatures.
  • the AlN layer is formed such that the buffer layer is under tensile strain.
  • the light emitting device following the formation of the AlN layer bows (or is concave).
  • the Al x Ga 1-x N layer is formed on the AIN layer under process conditions selected such that the tensile strain in the buffer layer is balanced by compressive strain in the Al x Ga 1-x N layer.
  • the light emitting device in such a case is under minimal strain at the growth temperature.
  • the Al y Ga 1-y N layer is formed on the Al x Ga 1-x N layer under process conditions selected such that the Al y Ga 1-y N layer is under compressive strain.
  • the light emitting device is under compressive strain.
  • the light emitting device in such a case is convex—the compressive strain in the buffer layer is greater than the tensile strain.
  • the GaN layer is formed on the Al x Ga 1-x N layer under process conditions selected such that the GaN layer is under compressive strain.
  • each layer of the buffer layer is formed to have a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • a light emitting diode device stack (“LED device stack”) is formed.
  • the LED device stack is configured to generate light upon the recombination of electrons and holes.
  • the device stack comprises an n-GaN layer, a p-GaN layer and an active layer between the n-GaN layer and the p-GaN.
  • the device stack in some cases is formed to have a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 9 cm ⁇ 2 .
  • the buffer layer has a negative strain.
  • the strain in the buffer layer increases.
  • the slope of the plot of FIG. 4 (strain divided by thickness) is nearly or substantially constant.
  • the strain of the buffer layer at various stages of growth, when divided by thickness is nearly or substantially constant.
  • process conditions are selected such that the thickness of various layers of the buffer layer and the light emitting device are within a predetermined limit.
  • process conditions are selected such that the light emitting diode, as formed, has a thickness that is less than or equal to about 5 ⁇ m, or less than or equal to about 4 ⁇ m, or less than or equal to about 3 micrometers (“ ⁇ m”).
  • ⁇ m micrometers
  • process conditions are selected such that a thickness of the AlN layer, as formed, is less than or equal to about 1 ⁇ m.
  • the thickness of the AlN layer is less than or equal to about 0.5 ⁇ m, while in other embodiments the thickness of the AlN layer is than or equal to about 0.3 ⁇ m.
  • process conditions are selected such that a combined thickness of the Al x Ga 1-x N and Al y Ga 1-y N layers, as formed, is less than or equal to about 1 ⁇ m.
  • the combined thickness is less than or equal to about 0.8 ⁇ m, while in other embodiments the combined thickness is less than or equal to about 0.7 ⁇ m.
  • process conditions are selected such that a thickness of the GaN layer is less than or equal to about 4 ⁇ m. In other embodiments, the thickness of the GaN layer is less than or equal to about 3 ⁇ m, while in other embodiments the thickness of the GaN layer is less than or equal to about 2.5 ⁇ m. In some embodiments, during the formation of the buffer layer, process conditions are selected such that a thickness of the buffer layer, as formed, is less than or equal to about 5 ⁇ m. In other embodiments, the thickness of the buffer layer is less than or equal to about 4 ⁇ m, while in other embodiments the thickness of the buffer layer is less than or equal to about 3 ⁇ m. Process conditions, which are used to control these thicknesses, include one or more of growth temperature, precursor flow rate, carrier gas (e.g., H 2 gas) flow rate, reaction chamber pressure, growth rate and susceptor (or platten) rotation rate.
  • carrier gas e.g., H 2 gas
  • each layer may have a different amount of strain.
  • the strain in the individual layer as a function of the thickness of the individual layer is constant.
  • FIG. 5 shows a method for forming a buffer layer, in accordance with an embodiment.
  • the buffer layer is part of a light emitting device, which may be a nascent light emitting device.
  • an AlN layer is formed on a substrate under process conditions selected such that the AlN layer, as formed, has a predetermined level of strain.
  • the strain in some cases is tensile strain.
  • the AlN layer is formed to have a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • the AlN layer in such a case is under tensile strain at the growth temperature; the nascent light emitting device, comprising the AlN layer and the substrate, bows (or is concave).
  • the substrate is a silicon-containing substrate, such as a substrate having a predominantly silicon content (e.g., Si(111)).
  • an aluminum gallium nitride layer is formed on the AlN layer under process conditions selected such that the aluminum gallium nitride layer, as formed, has a compressive strain that balances the tensile strain in the nascent light emitting device.
  • the aluminum gallium nitride layer is formed to have a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 . At the growth temperature, the nascent light emitting in such a case does not bow and is thus neither concave nor convex.
  • a GaN layer is formed on the aluminum gallium nitride layer under process conditions selected such that the GaN layer, as formed, has a compressive strain.
  • the nascent light emitting device in such a case has a net compressive strain at the growth temperature.
  • the GaN layer is formed to have a defect density ranging between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • the light emitting device in such a case is convex. Following cool-down to room temperature, the nascent light emitting device has little to no net strain (i.e., the compressive strain balances the tensile strain).
  • additional layers may be formed on the buffer layer.
  • a light emitting stack is formed on the GaN layer, the light emitting stack having an n-GaN layer, a p-GaN layer and an active layer between the n-GaN layer and the p-GaN layer.
  • the substrate is exposed to two or more precursor simultaneously. In other situations, during the formation of various device layers, the substrate is exposed to the various precursors an alternating and sequential fashion.
  • a gallium nitride layer is formed by exposing a substrate to a gallium precursor (e.g., TMG) and followed by a nitrogen precursor (e.g., NH 3 ), with an intervening purging or evacuation operation.
  • a gallium precursor e.g., TMG
  • a nitrogen precursor e.g., NH 3
  • the precursor may be supplied into the reaction chamber simultaneously or in an alternating and sequential fashion.
  • Device layers may be formed using various deposition techniques.
  • device layers are formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced CVD (PECVD), plasma enhanced ALD (PEALD), metal organic CVD (MOCVD), hot wire CVD (HWCVD), initiated CVD (iCVD), modified CVD (MCVD), vapor axial deposition (VAD), outside vapor deposition (OVD), physical vapor deposition (e.g., sputter deposition, evaporative deposition).
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PECVD plasma enhanced CVD
  • PEALD plasma enhanced ALD
  • MOCVD metal organic CVD
  • HWCVD hot wire CVD
  • iCVD initiated CVD
  • MCVD modified CVD
  • VAD vapor axial deposition
  • OTD vapor axial deposition
  • physical vapor deposition e.g., sputter deposition, evaporative deposition
  • GaN gallium nitride
  • GaAs gallium arsenide
  • AlGaAs aluminum gallium arsenide
  • GaAsP gallium arsenide phosphide
  • AlGaInP aluminum gallium indium phosphide
  • GaP gallium phosphide
  • InGaN aluminum gallium phosphide
  • ZnSe zinc selenide
  • AlN aluminum nitride
  • AlGaN aluminum gallium nitride
  • AlGaN aluminum gallium nitride
  • AlGaInN aluminum gallium indium nitride
  • a system for forming a light emitting device comprises a reaction chamber for holding a substrate, a pumping system in fluid communication with the reaction chamber, the pumping system configured to purge or evacuate the reaction chamber, and a computer system having a processor for executing machine readable code implementing a method for forming the light emitting device.
  • the code may implement any of the methods provided herein.
  • the code implements a method comprising forming a plurality of layers adjacent to a silicon substrate, the plurality of layers including i) an aluminum nitride layer adjacent to the silicon substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer.
  • one or more process parameters are selected such that an individual layer of the plurality of layers has a tensile strain or compressive strain that is nonzero with increasing thickness of the individual layer.
  • the code implements a method comprising (a) providing a substrate in a reaction chamber, (b) forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate defects (e.g., dislocations) in the AlN layer, (c) forming an aluminum gallium nitride layer adjacent to the AlN layer under processing conditions selected to generate (or form) defects in the aluminum gallium nitride layer, and (d) forming a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer under processing conditions selected to generate defects in the GaN layer.
  • the defects induce strain (i.e., compressive strain or tensile strain) in each of the layers.
  • processing conditions are selected to generate and maintain a predetermined density of defects, such as, e.g., a defect density between about 1 ⁇ 10 8 cm ⁇ 2 and 2 ⁇ 10 10 cm ⁇ 2 .
  • FIG. 6 shows a system 600 for forming a light emitting device, in accordance with an embodiment.
  • the system 600 includes a reaction chamber 605 having a susceptor (or substrate holder) 610 configured to hold a substrate that is used to form the light emitting device.
  • the system comprises a first precursor storage vessel (or tank) 615 , a second precursor storage vessel 620 , and a carrier gas storage tank 625 .
  • the first precursor storage vessel 615 may be for holding a Group III precursor (e.g., TMG) and the second precursor storage vessel 620 may be for holding a Group V precursor (e.g., NH 3 ).
  • the carrier gas storage tank 625 is for holding a carrier gas (e.g., H 2 ).
  • the system 600 may include other storage tanks or vessels, such as for holding additional precursors and carrier gases.
  • the system 600 includes valves between the storage vessels and the reaction chamber 605 for fluidically isolating the reaction chamber 605 from each of the storage vessels.
  • the system 600 further includes a vacuum system 630 for providing a vacuum to the reaction chamber 605 .
  • the vacuum system 630 is in fluid communication with the reaction chamber 605 .
  • the vacuum system 630 is configured to be isolated from the reaction pace 605 with the aid of a valve, such as a gate valve.
  • a controller (or control system) 635 of the system 600 facilitates a method for forming a light emitting device in the reaction chamber 605 , such as forming one or more layers of the light emitting device.
  • the controller 635 is communicatively coupled to a valve of each of the first precursor storage vessel 615 , the second precursor storage vessel 620 , the carrier gas storage tank 625 and the vacuum system 630 .
  • the controller 635 is operatively coupled to the susceptor 610 for regulating the temperature of the susceptor and a substrate on the susceptor, and the vacuum system 630 for regulating the pressure in the reaction chamber 605 .
  • the vacuum system 630 includes one or more of a turbomolecular (“turbo”) pump, a diffusion pump and a mechanical pump.
  • a turbomolecular (“turbo”) pump In some cases, the vacuum system 630 includes a turbo pump, diffusion pump and/or mechanical pump.
  • a pump may include one or more backing pumps.
  • a turbo pump may be backed by a mechanical pump.
  • the controller 635 is configured to regulate one or more processing parameters, such as the substrate temperature, precursor flow rates, growth rate, carrier gas flow rate and reaction chamber pressure.
  • the controller 635 in some cases, is in communication with valves between the storage vessels and the reaction chamber 605 , which aids in terminating (or regulating) the flow of a precursor to the reaction chamber 605 .
  • the controller 635 includes a processor configured to aid in executing machine-executable code that is configured to implement the methods provided herein.
  • the machine-executable code is stored on a physical storage medium, such as flash memory, a hard disk, or other physical storage medium configured to store computer-executable code.
  • the controller 635 is configured to regulate one or more process parameters. In some situations, the controller 635 regulates the growth temperature, carrier gas flow rate, precursor flow rate, growth rate and/or growth pressure (or reaction chamber pressure).
  • the controller 635 is configured to regulate process parameters such that one or more layers of a light emitting device are strained. For instance, the controller 635 regulates one or more of the growth temperature, the precursor flow rate the carrier gas flow rate, reaction chamber pressure, and growth rate to generate a predetermined level of strain in one or more layers of a buffer layer of a nascent or completed light emitting device.
  • the system 600 includes various surface or bulk analytical instruments (spectroscopies) for qualitatively and/or quantitatively analyzing a substrate and various layers formed over the substrate.
  • the system includes a deflectometer for measuring the curvature of the substrate or a thin film formed on the substrate. The curvature in some cases is related to the stress in the substrate or the thin film (e.g., a thin film under stress is concave or convex).
  • a silicon substrate is provided on a susceptor in a reaction chamber and a dislocation density maintaining buffer layer is formed on the silicon substrate.
  • the dislocation density maintaining buffer layer includes an aluminum nitride layer, an aluminum gallium nitride adjacent to the AlN layer, and a gallium nitride layer adjacent to the aluminum gallium nitride layer.
  • the buffer layer is formed by exposing the silicon substrate to TMA and NH 3 to form the AlN layer on the silicon substrate.
  • the AlN layer has a thickness of about 0.4 micrometer (“ ⁇ m”).
  • the susceptor is exposed to TMA, TMG and NH 3 to form an aluminum gallium nitride layer on the AIN layer.
  • the aluminum gallium nitride has a thickness of about 0.7 ⁇ m.
  • the aluminum gallium nitride layer is exposed to TMG and NH 3 to form a GaN layer at a thickness of about 2.5 ⁇ m.
  • the substrate has a radius of curvature (absolute value) of about 5 m.
  • the substrate Upon cool down to room temperature, the substrate has a radius of curvature (absolute value) greater than 50 m.
  • words using the singular or plural number also include the plural or singular number respectively.
  • words ‘herein,’ ‘hereunder,’ ‘above,’ ‘below,’ and words of similar import refer to this application as a whole and not to any particular portions of this application.
  • word ‘or’ is used in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list.

Abstract

A method for forming a light emitting device comprises forming a buffer layer having a plurality of layers comprising a substrate, an aluminum gallium nitride layer adjacent to the substrate, and a gallium nitride layer adjacent to the aluminum gallium nitride layer. During the formation of each of the plurality of layers, one or more process parameters are selected such that an individual layer of the plurality of layers is strained.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a continuation of co-pending U.S. patent application Ser. No. 14/158,401 entitled “LIGHT EMITTING DEVICES HAVING DISLOCATION DENSITY MAINTAINING BUFFER LAYERS,” filed Jan. 17, 2014, which is a continuation of co-pending U.S. patent application Ser. No. 13/249,157 entitled “LIGHT EMITTING DEVICES HAVING DISLOCATION DENSITY MAINTAINING BUFFER LAYERS,” filed Sep. 29, 2011, the disclosures of which are hereby incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • Lighting applications typically use incandescent or gas-filled bulbs. Such bulbs typically do not have long operating lifetimes and thus require frequent replacement. Gas-filled tubes, such as fluorescent or neon tubes, may have longer lifetimes, but operate using high voltages and are relatively expensive. Further, both bulbs and gas-filled tubes consume substantial amounts of energy.
  • A light emitting diode (LED) is a device that emits light upon the recombination of electrons and holes. An LED typically includes a chip of semiconducting material doped with impurities to create a p-n junction. Current flows from the p-side, or anode, to the n-side, or cathode. Charge-carriers-electrons and holes-flow into the p-n junction from electrodes with different voltages. When an electron meets a hole, the electron recombines with the hole in a process that may result in the radiative emission of energy in the form of a photon (hv). The photons, or light, are transmitted out of the LED and employed for use in various applications, such as, for example, lighting applications and electronics applications.
  • LEDs, in contrast to incandescent or gas-filled bulbs, are relatively inexpensive, operate at low voltages, and have long operating lifetimes. Additionally, LEDs consume relatively little power and are compact. These attributes make LEDs particularly desirable and well suited for many applications.
  • Despite the advantages of LEDs, there are limitations associated with such devices. Such limitations include materials limitations, which may limit the efficiency of LEDs; structural limitations, which may limit transmission of light generated by an LED out of the device; and manufacturing limitations, which may lead to high processing costs. Accordingly, there is a need for improved LEDs and methods for manufacturing LEDs.
  • BRIEF SUMMARY OF THE INVENTION
  • In an aspect, light emitting devices, such as light emitting diodes (LEDs), are provided. In an embodiment, a light emitting device comprises a buffer layer comprising an aluminum gallium nitride layer and a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer. The light emitting device further comprises a light emitting stack adjacent to the buffer layer, the light emitting stack having an active layer configured to generate light upon the recombination of electrons and holes, wherein a combined thickness of the buffer layer and the light emitting stack is less than or equal to 5 micrometers (μm). In some cases, the buffer layer includes an aluminum nitride (AlN) layer. The AlN layer can be adjacent to the aluminum gallium nitride layer. In some situations, the AlN layer is between a substrate, such as a silicon substrate, and the aluminum gallium nitride layer.
  • In another embodiment, a light emitting device comprises a buffer layer having an aluminum nitride (AlN) layer, an aluminum gallium nitride layer adjacent to the AlN layer, and a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer; and a light emitting stack adjacent to the GaN layer. The light emitting stack includes an active layer configured to generate light upon the recombination of electrons and holes. An absolute value of a radius of curvature of the buffer layer is greater than 50 m.
  • In another embodiment, a light emitting device comprises a buffer layer comprising i) a tensile strained aluminum nitride (AlN) layer, ii) a compressive strained AlxGa1-xN layer adjacent to the AlN layer, wherein ‘x’ is a number between 0 and 1, and iii) a compressive strained gallium nitride (GaN) layer adjacent to the strained AlxGa1-xN layer. The light emitting device further comprises a light emitting stack adjacent to the buffer layer. The light emitting stack includes an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer, and an active layer between the n-GaN and p-GaN layers. The active layer configured to generate light upon the recombination of electrons and holes.
  • In another embodiment, a light emitting device comprises a buffer layer adjacent to a light emitting stack. The light emitting stack includes an active layer configured to generate light upon the recombination of electrons and holes. The active layer includes an n-type gallium nitride layer and a p-type gallium nitride layer. The buffer layer has a radius of curvature (absolute value) that is greater than 50 m.
  • In another aspect, methods for forming light emitting devices are provided. In an embodiment, a method for forming a light emitting device comprises forming, over a substrate in a reaction chamber, a light emitting stack having an active layer configured to generate light upon the recombination of electrons and holes. The light emitting stack is formed adjacent to a gallium nitride (GaN) layer that is, in turn, formed adjacent to an aluminum gallium nitride layer under processing conditions that form defects in the GaN layer. The aluminum gallium nitride layer is formed adjacent to an aluminum nitride (AlN) layer under processing conditions that form defects in the aluminum gallium nitride layer. The AlN layer is formed adjacent to the substrate under processing conditions that form defects in the AlN layer.
  • In another embodiment, a method for forming a light emitting device comprises providing a substrate in a reaction chamber and forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate defects in the AlN layer. An aluminum gallium nitride layer is formed adjacent to the AlN layer under processing conditions selected to generate defects in the aluminum gallium nitride layer. A gallium nitride (GaN) layer is formed adjacent to the aluminum gallium nitride layer under processing conditions selected to generate defects in the GaN layer.
  • In another embodiment, a method for forming a light emitting device comprises forming a plurality of layers adjacent to a substrate. The plurality of layers include i) an aluminum nitride layer adjacent to the substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer. During the formation of each of the plurality of layers, one or more process parameters are selected such that an individual layer of the plurality of layers has a strain that is nonzero with increasing thickness of the individual layer.
  • In another embodiment, a method for forming a light emitting device comprises forming, over a substrate in a reaction chamber (or reaction space if the reaction chamber includes a plurality of reaction spaces), a light emitting stack having an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer and an active layer between the n-GaN layer and the p-GaN layer. The active layer is configured to generate light upon the recombination of electrons and holes. The light emitting stack is formed adjacent to a gallium nitride (GaN) layer. The GaN layer is formed adjacent to an aluminum gallium nitride layer, the aluminum gallium nitride is formed adjacent to an aluminum nitride layer, and the AlN layer is formed adjacent to the substrate. The substrate in some cases is a silicon substrate.
  • In some cases, during the formation of one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer, processing conditions are selected to generate defects (or strain-inducing defects) in one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer. In some cases, during the formation of the GaN layer, aluminum gallium nitride layer and the AlN layer, processing conditions are selected to generate defects in each of the GaN layer, aluminum gallium nitride layer and the AlN layer. Processing conditions in some cases are selected to maintain a predetermined density of defects in the layers. In some situations, the predetermined defect density is between about 1×108 cm−2 and 2×1010 cm−2. In some embodiments, processing conditions are selected such that at a growth temperature between about 800° C. and 1200° C., or between about 900° C. and 1100° C., each of the GaN layer, aluminum gallium nitride layer and the AlN layer has a non-zero tensile or compressive strain with increasing thickness of the layer.
  • In another embodiment, a method for forming a light emitting device comprises providing a substrate in a reaction chamber, and forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate strain in the AlN layer. An aluminum gallium nitride layer is formed adjacent to the AlN layer under processing conditions selected to generate strain in the aluminum gallium nitride layer. A gallium nitride (GaN) layer is formed adjacent to the aluminum gallium nitride layer under processing conditions selected to generate strain in the GaN layer.
  • In another aspect, systems for forming light emitting devices are provided. In an embodiment, a system for forming a light emitting device comprises a reaction chamber for holding a substrate and a pumping system in fluid communication with the reaction chamber, the pumping system configured to purge or evacuate the reaction chamber. The system includes a computer system having a processor for executing machine readable code implementing a method for forming a buffer layer adjacent to the substrate. The method comprises forming a plurality of layers adjacent to the substrate, the plurality of layers including i) an aluminum nitride layer adjacent to the substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer. During the formation of each of the plurality of layers, one or more process parameters are selected such that an individual layer of the plurality of layers has a strain that is nonzero with increasing thickness of the individual layer.
  • Additional aspects and advantages of the present disclosure will become readily apparent to those skilled in this art from the following detailed description, wherein only illustrative embodiments of the present disclosure are shown and described. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
  • All publications, patents, and patent applications mentioned in this specification are herein incorporated by reference to the same extent as if each individual publication, patent, or patent application was specifically and individually indicated to be incorporated by reference.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A better understanding of the features and advantages of the present invention will be obtained by reference to the following detailed description that sets forth illustrative embodiments, in which the principles of the invention are utilized, and the accompanying drawings of which:
  • FIG. 1 schematically illustrates a nascent light emitting device;
  • FIG. 2 schematically illustrates a cross section of a light emitting diode, in accordance with an embodiment;
  • FIG. 3 schematically illustrates a method for forming a light emitting device, in accordance with an embodiment;
  • FIG. 4 schematically illustrates the strain and accumulated stress on a light emitting device at various stages of formation of a buffer layer over a silicon substrate, in accordance with an embodiment;
  • FIG. 5 shows simplified cross-sectional side views at various stages of a process for forming a buffer layer of a nascent light emitting device over a silicon substrate, in accordance with an embodiment; and
  • FIG. 6 shows a system used to fabricate a light emitting device, in accordance with an embodiment.
  • DETAILED DESCRIPTION
  • While various embodiments of the invention have been shown and described herein, it will be obvious to those skilled in the art that such embodiments are provided by way of example only. Numerous variations, changes, and substitutions may occur to those skilled in the art without departing from the invention. It should be understood that various alternatives to the embodiments of the invention described herein may be employed in practicing the invention.
  • The term “light emitting device,” as used herein, refers to a device configured to generate light upon the recombination of electrons and holes in a light emitting region (or “active layer”) of the device, such as upon the application (or flow) of a forward-biasing electrical current through the light emitting region. A light emitting device in some cases is a solid state device that converts electrical energy to light. A light emitting diode (“LED”) is a light emitting device. There are many different LED device structures that are made of different materials and have different structures and perform in a variety of ways. Some light emitting devices (laser diodes) emit laser light, and others generate non-monochromatic light. Some LEDs are optimized for performance in particular applications. An LED may be a so-called blue LED comprising a multiple quantum well (MQW) active layer having indium gallium nitride. A blue LED may emit non-monochromatic light having a wavelength in a range from about 440 nanometers to 500 nanometers. A phosphor coating may be provided that absorbs some of the emitted blue light. The phosphor in turn fluoresces to emit light of other wavelengths so that the light the overall LED device emits has a wider range of wavelengths.
  • The term “layer,” as used herein, refers to a layer of atoms or molecules on a substrate. In some cases, a layer includes an epitaxial layer or a plurality of epitaxial layers. A layer may include a film or thin film. In some situations, a layer is a structural component of a device (e.g., light emitting diode) serving a predetermined device function, such as, for example, an active layer that is configured to generate (or emit) light. A layer generally has a thickness from about one monoatomic monolayer (ML) to tens of monolayers, hundreds of monolayers, thousands of monolayers, millions of monolayers, billions of monolayers, trillions of monolayers, or more. In an example, a layer is a multilayer structure having a thickness greater than one monoatomic monolayer. In addition, a layer may include multiple material layers (or sub-layers). In an example, a multiple quantum well active layer includes multiple well and barrier layers. A layer may include a plurality of sub-layers. For example, an active layer may include a barrier sub-layer and a well sub-layer.
  • The term “coverage,” as used herein, refers to the fraction of a surface covered or occupied by a species in relation to the total area of the surface. For example, a coverage of 10% for a species indicates that 10% of a surface is covered by the species. In some situations, coverage is represented by monolayers (ML), with 1 ML corresponding to complete saturation of a surface with a particular species. For example, a pit coverage of 0.1 ML indicates that 10% of a surface is occupied by pits.
  • The term “active region” (or “active layer”), as used herein, refers to a light emitting region of a light emitting diode (LED) that is configured to generate light. An active layer comprises an active material that generates light upon the recombination of electrons and holes, such as, for example, with the aid of a forward-biasing electrical current through the active layer. An active layer may include one or a plurality of layers (or sub-layers). In some cases, an active layer includes one or more barrier layers (or cladding layers, such as, e.g., GaN) and one or more quantum well (“well”) layers (such as, e.g., InGaN). In an example, an active layer comprises multiple quantum wells, in which case the active layer may be referred to as a multiple quantum well (“MQW”) active layer.
  • The term “doped,” as used herein, refers to a structure or layer that is chemically doped. A layer may be doped with an n-type chemical dopant (also “n-doped” herein) or a p-type chemical dopant (also “p-doped” herein). In some cases, a layer is undoped or unintentionally doped (also “u-doped” or “u-type” herein). In an example, a u-GaN (or u-type GaN) layer includes undoped or unintentionally doped GaN.
  • The term “Group III-V semiconductor,” as used herein, refers to a material having one or more Group III species and one or more Group V species. A Group III-V semiconductor material in some cases is selected from gallium nitride (GaN), gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), gallium arsenide phosphide (GaAsP), aluminum gallium indium phosphide (AlGaInP), gallium phosphide (GaP), indium gallium nitride (InGaN), aluminum gallium phosphide (AlGaP), aluminum nitride (AlN), aluminum gallium nitride (AlGaN), and aluminum gallium indium nitride (AlGaInN).
  • The term “dopant,” as used herein, refers to a chemical dopant, such as an n-type dopant or a p-type dopant. P-type dopants include, without limitation, magnesium, beryllium, zinc and carbon. N-type dopants include, without limitation, silicon, germanium, tin, tellurium, and selenium. A p-type semiconductor is a semiconductor that is doped with a p-type dopant. An n-type semiconductor is a semiconductor that is doped with an n-type dopant. An n-type Group III-V material, such as n-type gallium nitride (“n-GaN”), includes a Group III-V material that is doped with an n-type dopant. A p-type Group III-V material, such as p-type GaN (“p-GaN”), includes a Group III-V material that is doped with a p-type dopant. A Group III-V material includes at least one Group Ill element selected from boron, aluminum, gallium, indium, and thallium, and at least one Group V element selected from nitrogen, phosphorus, arsenic, antimony and bismuth.
  • The term “adjacent” or “adjacent,” as used herein, includes ‘next to’, ‘adjoining’, ‘in contact with’, and ‘in proximity to’. In some instances, adjacent components are separated from one another by one or more intervening layers. For example, the one or more intervening layers can have a thickness less than about 10 micrometers (“microns”), 1 micron, 500 nanometers (“nm”), 100 nm, 50 nm, 10 nm, 1 nm, or less. In an example, a first layer is adjacent to a second layer when the first layer is in direct contact with the second layer. In another example, a first layer is adjacent to a second layer when the first layer is separated from the second layer by a third layer.
  • The term “substrate,” as used herein, refers to any workpiece on which film or thin film formation is desired. A substrate includes, without limitation, silicon, germanium, silica, sapphire, zinc oxide, carbon (e.g., graphene), SiC, AlN, GaN, spinel, coated silicon, silicon on oxide, silicon carbide on oxide, glass, gallium nitride, indium nitride, titanium dioxide, aluminum nitride, a ceramic material (e.g., alumina, AlN), a metallic material (e.g., molybdenum, tungsten, copper, aluminum), and combinations (or alloys) thereof.
  • The term “injection efficiency,” as used herein, refers to the proportion of electrons passing through a light emitting device that are injected into the active region of the light emitting device.
  • The term “internal quantum efficiency,” as used herein, refers to the proportion of all electron-hole recombination events in an active region of a light emitting device that are radiative (i.e., producing photons).
  • The term “extraction efficiency,” as used herein, refers to the proportion of photons generated in an active region of a light emitting device that escape from the device.
  • The term “external quantum efficiency” (EQE), as used herein, refers to the ratio of the number of photons emitted from an LED to the number of electrons passing through the LED. That is, EQE=Injection efficiency×Internal quantum efficiency×Extraction efficiency.
  • While silicon provides various advantages, such as the ability to use semiconductor fabrication, the formation of Group III-V semiconductor based LEDs on a silicon substrate poses various limitations. As an example, the lattice mismatch and coefficient of thermal expansion between silicon and gallium nitride leads to structural stresses that generate defects upon the formation of gallium nitride thin films, such as threading and/or hairpin dislocations (collectively “dislocations” herein).
  • LEDs may be formed of various semiconductor device layers. In some situations, Group III-V semiconductor LEDs offer device parameters (e.g., wavelength of light, external quantum efficiency) that may be preferable over other semiconductor materials. Gallium nitride (GaN) is a binary Group III-V direct bandgap semiconductor that may be used in optoelectronic applications and high-power and high-frequency devices.
  • Group III-V semiconductor based LEDs may be formed on various substrates, such as silicon, germanium and sapphire. Silicon provides various advantages over certain other substrates, such as the capability of using current manufacturing and processing techniques, in addition to using large wafer sizes that aid in maximizing the number of LEDs formed within a predetermined period of time. However, while silicon provides various advantages, recognized herein are various limitations and difficulties associated with forming Group III-V semiconductor-based LEDs (such as gallium nitride-based LEDs) on silicon.
  • One issue is the formation of a gallium and silicon alloy, which may be undesirable in circumstances in which high quality GaN is desired. In some situations, at a temperature greater than about 1000° C., the growth of high quality GaN may be difficult due to the formation of a silicon-gallium alloy at an interface between a gallium nitride device layer and the silicon substrate. Another issue associated with forming Group III-V semiconductor-based LEDs on silicon is the lattice mismatch and the mismatch in coefficient of thermal expansion (CTE) between gallium nitride and silicon, which may generate structural stresses that may lead to cracking issues in LED devices. Cracking of various device layers of a light emitting device (e.g., LED) may yield poor device performance and limit the lifetime of the light emitting device.
  • In an example, for an LED having a GaN epitaxial layer (also “epilayer” herein) on a silicon substrate, the stress in the epilayer increases with increasing thickness in the GaN epilayer. The increase in stress may lead to the silicon wafer to bow and in some cases crack. The cracking issue may be more severe for a GaN layer that is n-doped with silicon, due at least in part to a high tensile strain in silicon-doped GaN. While the thickness of the silicon-doped GaN layer may be selected to avoid cracking, such thickness limitations may impose performance limitations for GaN and silicon-based LED devices.
  • In some cases, following the formation of a GaN thin film on a silicon substrate at an elevated growth temperature, during cool down the silicon substrate contracts at a lower rate than the GaN thin film, at least partly because GaN has a higher coefficient of thermal expansion than silicon. Under such circumstances, at room temperature the GaN thin film is under tensile strain. Conversely, GaN has a lower coefficient of thermal expansion than sapphire (Al2O3). As a consequence, for a GaN thin film grown on a sapphire substrate, following thin film formation and cool down to room temperature, the GaN thin film is under compressive strain. For GaN thin films formed on silicon and GaN thin films formed on sapphire, the differences in lattice constants between GaN and silicon and sapphire imposes tensile strain on GaN thin films at room temperature. For GaN formed on sapphire, the tensile strain due to the mismatch in lattice constants is counterbalanced by the compressive strain due to mismatch in coefficient of thermal expansion between GaN and sapphire, preventing GaN thin films on from cracking. For GaN formed on silicon, on the other hand, the tensile strain due to the mismatch in coefficient of thermal expansion and mismatch in lattice constant generate tensile strain at room temperature, which typically leads to the GaN thin film to bow and in some cases crack at room temperature. At least in some situations, this provides a disincentive for forming LEDs having GaN thin films on silicon substrates.
  • In an example, FIG. 1 schematically illustrates simplified cross-sectional views showing the formation of a light emitting device 100 having silicon substrate 105 and a GaN thin film 110 formed thereon. The light emitting device 100 in some cases is a nascent light emitting device; additional processing operations may be required to form a completed light emitting device. The silicon substrate 105 is heated to a growth temperature, as illustrated in the top view of FIG. 1. At the growth temperature, the GaN film 110 is formed on the silicon substrate 105, which causes the silicon substrate 105 and the GaN film 110 to bow, as illustrated in the middle view of FIG. 1. After the GaN film 110 is formed on the silicon substrate 105, the structure is allowed to cool down to room temperature. However, the stress produced by the GaN film 110 on the substrate 105 leaves a bow on the structure, as illustrated in the lower of view of FIG. 1.
  • In some cases, the GaN film 110 is formed on a monocrystalline (or single crystal) substrate, such as Si(111), in which case the GaN film 110 is an epilayer. Due to the mismatch of coefficient of thermal expansion between the silicon substrate 105 and the GaN thin film 110, at the growth temperature the GaN thin film 110 is under tensile strain, leading the GaN thin film 110 and the silicon substrate 105 to bow. At the growth temperature, the GaN thin film 110 and the silicon substrate 105 are bowed by an angle θ in relation to an axis parallel to a bottom surface of the silicon substrate 105. The angle θ is greater than 0°. The GaN thin film 110 and the silicon substrate 105 have a concave configuration in relation to the axis. The mismatch in lattice constants between GaN and silicon leads to additional tensile strain. In such a case, upon cool-down to room temperature, the GaN thin film 110 is under tensile strain, which may lead to cracking in various device layers of the light emitting device 100.
  • In some cases, the bowing and cracking issues in GaN thin films on silicon substrates may be addressed by minimizing the defect density of GaN thin film during formation. This helps provide low defect density, high quality GaN thin films on silicon substrates. However, the formation of low defect density GaN thin films on silicon substrates has posed manufacturing challenges.
  • Structures, devices and methods described in various embodiments of the invention help address the issues described above in regards to the formation of GaN thin films on silicon substrates. In some embodiments, structures and methods are provided to reduce the strain in GaN thin films formed on silicon substrates. This minimizes, if not eliminates, bowing and cracking of GaN thin films on silicon substrates following cool down from a growth temperature to room temperature.
  • Structures, devices and methods are based, at least in part, on the unexpected realization that any tensile strain in a GaN thin film on a silicon substrate—due, for example, to the mismatch in coefficient of thermal expansion)—may be counterbalanced by an opposing strain generated in the GaN thin film. The opposing strain in some cases is a compressive strain. In some embodiments, a GaN-containing buffer layer having on a silicon substrate is strained at a growth temperature to have compressive strain, which may balance the tensile strain in the GaN-containing buffer layer, thereby minimizing, if not eliminating bowing and crack formation.
  • In some embodiments, various device layers of a light emitting device are formed by introducing or maintaining dislocations in the various device layers. The dislocations, which may give rise to V-pits (or V-defects) under unique (or otherwise predetermined) growth conditions, help maintain strain (compressive or tensile) in each of the various device layers at the growth temperature. In some embodiments, device layers of a light emitting device are formed over a silicon substrate to have a predetermined dislocation density in order to generate a compressive strain at the growth temperature that balances the tensile strain in the device layers.
  • As device layers grow in thickness, dislocations may decrease. For instance, with increasing thickness of a device layer on silicon, the density of dislocations decreases with increasing thickness of the device layer. In some embodiments, the thickness of the device layers, such as a buffer layer (including the various layers of the buffer layer), is selected to maintain a predetermined dislocation density in the device layers at the growth temperature. That is, certain device layers are formed to have a thickness that provides a predetermined dislocation density. In an example, a device layer is formed at a thickness selected to maintain a dislocation density between about 1×108 cm−2 and 2×1010 cm−2.
  • In some embodiments, dislocations have at least two functions. One function is to balance stresses in the various layers of the light emitting device. Another function is to generate V-pits (or V-defects) in the light emitting device. The active layer may be formed in the V-pits during the formation of the light emitting device.
  • Light Emitting Devices and Buffer Layers
  • An aspect of the invention provides light emitting devices, such as light emitting diodes. In some embodiments, a light emitting device comprises a plurality of layers formed on a silicon substrate. In some cases, the plurality of layers includes a buffer layer. One or more of the plurality of layers are strained. In some cases, one or more of the plurality of layers are intentionally strained—e.g., during the formation of the plurality of layers; processing conditions are selected to generate strain in the plurality of layers, such as by way of defects. In some embodiments, the strain generates a compressive strain that balances any tensile strain-due, for example, to the mismatch in coefficient of thermal expansion between the silicon substrate and overlying device layers—in the light emitting device, which provides a light emitting device that has little to no net strain at room temperature.
  • In some embodiments, the buffer layer is compressively strained at a growth temperature. Upon cool down from the growth temperature (such as, for example, to room temperature), the compressive strain balances the tensile strain in the buffer layer.
  • In some embodiments, one or more layers of the light emitting device are strained with the aid of dislocations formed in the one or more layers during growth. The dislocations aid in maintaining (or generating) strain in the one or more layers at a growth temperature and upon cool-down from the growth temperature.
  • In some embodiments, a light emitting device includes a buffer layer formed on a silicon substrate and a light emitting stack formed on the buffer layer. The light emitting stack includes a light emitting active layer. The buffer layer is strained to have a net compressive strain that balances any tensile strain in the buffer layer. This provides a buffer layer having little to no overall strain at room temperature.
  • At room temperature, the light emitting device may be concave, flat or substantially flat. In cases in which the light emitting device is concave, the substrate bends toward the buffer layer. In some embodiments, the light emitting device has a radius of curvature (absolute value) that is greater than about 30 meters (“m”), or 40 m, or 50 m, or 100 m, or 200 m, or 300 m, or 400 m, or 500 m, or 1000 m, or 10,000 m. In some cases, the radius of curvature (or degree of bowing) is substantially zero or less than zero (i.e., the substrate and various device layers are convex). In some situations, the light emitting device has a radius of curvature (degree of bowing) that is less than about −50 m, or −100 m, or −200 m, or −300 m, or −400 m, or −500 m, or −1000 m, or −10,000 m.
  • At a growth temperature, the light emitting device may be convex—i.e., the substrate bends away from the buffer layer (see FIG. 5). In some embodiments, at the growth temperature the light emitting device has a radius of curvature (absolute value) that is greater than about 3 m, or 4 m, or 5 m, or 6 m, or 7 m, or 8 m, or 9 m, or 10 m, or 15 m, or 20 m, or 25 m, or 30 m, or 35 m, or 40 m, or 45 m. In some embodiments, at the growth temperature the light emitting device has a radius of curvature (absolute value) that is between about 0.1 m and 50 m, or 0.5 m and 20 m, or 1 m and 6 m. The radius of curvature at the growth temperature may be predetermined by regulating one or more growth conditions (see below).
  • The radius of curvature may be calculated by calculating the degree to which light directed to a surface scatters, such as, for example, with the aid of a deflectometer. By measuring the scattering of light during device layer formation, any change in strain may be calculated. The radius of curvature is inversely proportional to the strain—the more strained a layer, the lower the radius of curvature; conversely, the less strained a layer, the higher the radius of curvature. In the case of a substantially flat surface (i.e., little to no bowing), the radius of curvature approaches infinity.
  • In some embodiments, one or more layers of a light emitting device are strained at a growth temperature. The growth temperature is elevated in relation to room temperature. The strain at the elevated growth temperature aids in balancing any opposing strain (e.g., compressive strain) at the elevated growth temperature. In such a case, upon cool down to room temperature, the one or more layers of the light emitting device have little to no strain, which advantageously minimizes, if not eliminates bowing and, in some cases, the formation of cracks.
  • In some embodiments, a light emitting device comprises a buffer layer adjacent to a light emitting stack. The buffer layer comprises a strained aluminum nitride (AlN) layer, a strained AlxGa1-xN (wherein ‘x’ is a number between 0 and 1) layer adjacent to the AlN layer, and a strained gallium nitride (GaN) layer adjacent to the strained AlxGa1-xN layer. In some situations, the strained AlN layer may be precluded. The light emitting stack comprises an n-type gallium nitride (n-GaN) layer, a p-type gallium nitride (p-GaN) layer, and an active layer between the n-GaN and p-GaN layers. The active layer is configured to generate light upon the recombination of electrons and holes, such as upon the application of a forward-biasing electrical current through the active layer. In some cases, the n-GaN layer is adjacent to the strained GaN layer. The n-GaN layer is configured to aid in the flow of electrical current to the active layer. The p-GaN layer is configured to aid in the flow of holes to the active layer.
  • In some situations, the buffer layer of the light emitting device has at most one AlN layer, at most one AlxGa1-xN layer adjacent to the at most one AlN layer, and at most one GaN adjacent to the at most one AlxGa1-xN layer. In an example, the light emitting device has one AlN layer, one AlxGa1-xN layer adjacent to the AlN layer, and one GaN layer adjacent to the AlxGa1-xN layer. The light emitting device in such a case does not include any additional AlN layers, AlxGa1-xN layers, and GaN layers.
  • In some cases, the light emitting device include one or more additional strained aluminum gallium nitride layers between the strained AlxGa1-xN layer and the strained GaN layer. In some embodiments, the light emitting device includes a strained AlyGa1-yN layer (wherein ‘y’ is a number between 0 and 1) between the AlxGa1-xN layer and the strained GaN layer. The strained AlyGa1-yN layer may be compositionally graded between the composition of an outermost sub-layer of the strained AlxGa1-xN layer (adjacent to the strained AlyGa1-yN layer) and the internationally strained GaN layer.
  • The light emitting device further includes a substrate adjacent to the buffer layer or the light emitting stack. In some cases, the substrate is adjacent to the buffer layer. In an example, the substrate is adjacent to the AlN layer of the buffer layer. In other cases, the substrate is adjacent to the light emitting stack, such as adjacent to the p-GaN layer of the light emitting stack. The substrate includes one or more of silicon, germanium, silicon oxide, silicon dioxide, titanium oxide, titanium dioxide, sapphire, silicon carbide (SiC), a ceramic material (e.g., alumina, AlN) and a metallic material (e.g., molybdenum, tungsten, copper, aluminum).
  • In some embodiments, a thickness of a light emitting device is selected to generate and/or maintain a predetermined defect density (e.g., dislocation density) in the light emitting device, including the buffer layer of the light emitting device. The defects in turn induce strain (e.g., compressive or tensile strain). The defect density in some cases can be a function of the thickness of the buffer layer. In an example, the thicker the buffer layer, the lower the defect density, and the thinner the buffer layer, the higher the defect density. Devices described in certain embodiments are based on the unexpected realization that by carefully selecting the thickness of individual layers of the light emitting device and the growth conditions, various issues describe above, such as cracking upon cool-down to room temperature, may be mitigated, if not eliminated.
  • In some embodiments, a thickness of the light emitting device is less than or equal to about 5 micrometers (“μm”), or less than or equal to about 4 μm, or less than or equal to about 3 p.m. In some embodiments, a combined thickness of the buffer layer and the light emitting stack is less than or equal to about 5 micrometers (“μm”), or less than or equal to about 4 μm, or less than or equal to about 3 In some embodiments, a thickness of the strained AlN layer is less than or equal to about 1 μm, or less than or equal to about 0.5 μm, or less than or equal to about 0.4 μm. In some embodiments, a thickness of the strained AlxGa1-xN layer is less than or equal to about 1 μm, or less than or equal to about 0.8 or less than or equal to about 0.7 μm. In some embodiments, a thickness of the strained GaN layer is less than or equal to about 4 μm, or less than or equal to about 3 μm, or less than or equal to about 2.5 μm. In some embodiments, a thickness of the buffer layer is less than or equal to about 5 μm, or less than or equal to about 4 μm, or less than or equal to about 3 μm.
  • Various layers of the light emitting device are strained during growth by having a predetermined density of defects. In some embodiments, the strained AlN layer has a defect density (e.g., dislocation density) between about 1×108 cm−2 and 2×1010 cm−2, the strained AlxGa1-xN layer has a defect density between about 1×108 cm−2 and 2×1010 cm−2, and the strained GaN layer has a defect density between about 1×108 cm−2 and 2×1010 cm−2. In some cases, the light emitting stack has a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • In some embodiments, the dislocation density of the strained GaN layer is less than those of the strained AlGaN and AlN layers. The dislocation density of the strained AlGaN layer may be less than the dislocation density of the AlN layer. In some situations, the addition of a new material during the growth of the buffer layer is accompanied by a release of strain for the first 10-150 monolayers of the layer.
  • In some cases, the buffer layer has a dislocation density between about 1×108 cm−2 and 2×1010 cm−2, which facilitates in the formation of V-defects (or V-pits) in the GaN layer and the LED layers. In such cases, straining the buffer layer-including AlN, AlxGa1-xN and GaN layers of the buffer layer—by maintaining a density of dislocations facilitates the formation of V-defects in the buffer layer and the LED layers. By selecting one or more growth conditions, the size of V-defect can be controlled. Furthermore, the active region, where the light is generated, can be grown selectively only at the areas between V-defects. This is an effective way to grow high-efficiency LED materials. The selective growth of the active layer, thus, tolerates the existing of dislocations which, then, is utilized to engineer the stress of the overall grown layers.
  • In some embodiment, the light emitting device includes additional layers. In some cases, the light emitting device includes an electron blocking layer between the active layer and the p-GaN layer. In some embodiment, the light emitting device includes a first electrode in electrical communication with the n-GaN layer and a second electrode in electrical communication with the p-GaN layer. The light emitting device may include a layer of an optically reflective material (also “optical reflector” herein) adjacent to the p-GaN layer. The layer of the optically reflective material may be formed of one or more of silver, platinum, gold and nickel, rhodium and indium.
  • FIG. 2 shows an LED 200, in accordance with an embodiment. The LED 200 comprises a first substrate 205, an AlN layer 210 adjacent to the first substrate 205, an AlGaN layer 215 adjacent to the AlN layer 210, a GaN layer 220 adjacent to the AlGaN layer 215, an n-type GaN (“n-GaN”) layer 225 adjacent to the GaN layer 220, an active layer 230 adjacent to the n-GaN layer 225, an electron blocking (e.g., AlGaN) layer 235 adjacent to the active layer 230, and a p-type GaN (“p-GaN”) layer 240 adjacent to the electron blocking layer 235.
  • The GaN layer 220 may be formed of u-GaN (i.e., undoped or unintentionally doped GaN). The AlN layer 210, AlGaN layer 215 and GaN layer 220, in some cases, at least partly define a buffer layer of the LED 200. The n-GaN layer 225, active layer 230, and p-GaN layer 240 define a light emitting stack 245 of the LED 200. The light emitting sack 245 may include other layers, such as the electron blocking layer 235. The electron blocking layer 235 is configured to minimize the recombination of electrons with holes in the p-GaN layer 240.
  • The first substrate 205 may be formed of silicon. In some situations, the LED 200 includes a second substrate 250 (Substrate 2) adjacent to the p-GaN layer 240. In such a case, the first substrate 205 may be precluded. The second substrate 250 may be included in the final LED 200.
  • In some embodiments, the AlN layer 210, AlGaN layer 215 and the GaN layer 220 are strained layers. In some cases, the AlN layer 210 is under tensile strain, the AlGaN layer 215 is under compressive strain and the GaN layer 220 is under compressive strain.
  • The AlGaN layer 215 may have an aluminum and gallium composition selected to effect desirable (or predetermined) device properties. In some cases, the aluminum and gallium composition is selected to generate strain in the AlGaN layer 215. The AlGaN layer 215 may have the formula AlxGa1-xN, wherein ‘x’ is a number between 0 and 1. In some situations, the AlGaN layer 215 is compositionally graded in aluminum and gallium. In an example, at the interface between the AlN layer 210 and the AlGaN layer 215, the aluminum content of the AlGaN layer 215 is greater than the gallium content (i.e., x>1−x), and at the interface between the AlGaN layer 215 and the GaN layer 220, the gallium content of the AlGaN layer 215 is greater than the aluminum content (i.e., 1−x>x). In another example, at the interface between the AlN layer 210 and the AlGaN layer 215, the aluminum content of the AlGaN layer 215 is less than the gallium content (i.e., x<1−x), and at the interface between the AlGaN layer 215 and the GaN layer 220, the gallium content of the AlGaN layer 215 is greater than the aluminum content (i.e., 1−x>x).
  • In some embodiments, the AlN layer 210 has a defect density between about 1×108 cm−2 and 2×1010 cm−2, the AlGaN layer 215 has a defect density between about 1×108 cm−2 and 2×1010 cm−2, and the GaN layer 220 has a defect density between about 1×108 cm−2 and 2×1010 cm−2. In some cases, the light emitting stack 245 has a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • The LED 200 may include a first electrode in electrical communication with the n-GaN layer 225 and a second electrode in electrical communication with the p-GaN layer 240. In some cases, the first electrode is in electrical contact with the n-GaN layer 225. The second electrode may be in electrical contact with the p-GaN layer 240.
  • In some cases, The LED 200 includes a layer of an optically reflective material adjacent to the p-GaN layer. In an example, the Led 200 includes layer of an optically reflective material (e.g., silver) between the p-GaN layer 240 and the second substrate 250.
  • Methods for Forming Light Emitting Devices
  • Another aspect of the invention provides methods for forming light emitting devices, such as light emitting diodes. In some embodiments, methods for forming a light emitting device comprise forming a barrier layer adjacent to a substrate, the barrier layer including i) an aluminum nitride (AlN) layer adjacent to the silicon substrate, ii) an aluminum gallium nitride layer adjacent to the AlN layer, and iii) a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer. In some embodiments, during the formation of the barrier layer, one or more process parameters are selected such that an individual layer of the barrier layer has a tensile strain or compressive strain that is nonzero with increasing thickness of the layer. The tensile strain and compressive strain in the barrier layer can be adjusted such that the barrier layer has a net compressive strain at a growth temperature.
  • The strain (compressive or tensile) in device layers (e.g., AlN layer, aluminum gallium nitride layer, GaN layer) of the light emitting device may be at least partially dependent on the defect density in the device layers. In some embodiments, during the formation of the barrier layer, one or more process parameters are selected such that an individual layer of the barrier layer has a predetermined concentration of defects (e.g., dislocations). In some situations process parameters are selected such that an individual layer of the barrier layer has a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • In some embodiments, the substrate is formed of a material including silicon, germanium, silicon oxide, silicon dioxide, titanium oxide, titanium dioxide, sapphire, silicon carbide (SiC), a ceramic material and a metallic material. In some implementations, the substrate is formed of silicon.
  • Process parameters (or growth conditions) are adjustable based upon the selection of one or more process parameters for forming a light emitting device. Growth conditions may include growth temperature, carrier gas flow rate, precursor flow rate, growth rate, reaction chamber pressure and susceptor (or platten) rotation rate.
  • In some embodiments, one or more layers of a light emitting device are formed at a growth temperature between about 750° C. and 1200° C., or between about 900° C. and 1100° C. Individual layers may be formed at growth temperatures selected to effect a predetermined defect density.
  • In some cases, during the formation of one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer, processing conditions are selected to generate defects in one or more of the GaN layer, aluminum gallium nitride layer and the AlN layer. In some cases, during the formation of the GaN layer, aluminum gallium nitride layer and the AlN layer, processing conditions are selected to generate defects in the GaN layer, aluminum gallium nitride layer and the AlN layer. The defects aid in maintaining a predetermined level of strain in the layers at the growth temperature.
  • In an embodiment, the AlN layer is formed under growth conditions selected to generate tensile strain in the AlN layer. In another embodiment, the aluminum gallium nitride layer is formed under growth conditions selected to generate compressive strain in the aluminum gallium nitride layer. In another embodiment, the GaN layer is formed under growth conditions selected to generate compressive strain in the GaN layer.
  • In some embodiments, various device layers, such as a buffer layer, are under tensile strain or compressive strain by virtue of defects (e.g., dislocations). Process conditions are selected to form a layer having a predetermined defect density. In an example, an AlN layer is formed under process conditions selected such that the AlN layer is under tensile strain due at least in part to defects in the AlN layer. The AlN layer in some cases is under tensile strain at a growth temperature that is elevated with respect to the tensile strain it exhibits at room temperature. The density of defects is selected to generate a predetermined level of tensile strain. In some cases, the defect density is between about 1×108 cm−2 and 2×1010 cm−2. In other examples, an aluminum gallium nitride layer and GaN layer are formed under process conditions selected such that the aluminum gallium nitride and GaN layers are under compressive strain due at least in part to defects in the aluminum gallium nitride and GaN layers. The aluminum gallium nitride and GaN layers in some cases are under compressive strain at a growth temperature that is elevated with respect to room temperature. The density of defects is selected to generate a predetermined level of compressive strain. In some cases, the defect density is between about 1×108 cm−2 and 2×1010 cm−2. In other examples, process conditions are selected such that a buffer layer having AlN, aluminum gallium nitride and GaN layers is under compressive strain at a growth temperature, due at least in part to defects in the buffer layer. In some situations, the defect density in the buffer layer (including the individual layers) is between about 1×108 cm−2 and 2×1010 cm−2.
  • Various source gases (or precursors) may be used with methods described herein. A gallium precursor may include trimethylgallium (TMG), triethylgallium, diethylgallium chloride and coordinated gallium hydride compounds (e.g., dimethylgallium hydride). An aluminum precursor may include tri-isobutyl aluminum (TIBAL), trimethyl aluminum (TMA), triethyl aluminum (TEA), and dimethylaluminum hydride (DMAH). An indium precursor may include trimethyl indium (TMI) and triethyl indium (TEI). A nitrogen precursor may include ammonia (NH3), nitrogen (N2), and plasma-excited species of ammonia and/or N2. A p-type dopant precursor may be selected from a boron precursor (e.g., B2H6), a magnesium precursor (e.g., biscyclopentadienyl magnesium), an aluminum precursor, to name a few examples. An n-type precursor may be selected from a silicon precursor (e.g, SiH4), a germanium precursor (e.g., tetramethylgermanium, tetraethylgermanium, dimethyl amino germanium tetrachloride, isobutylgermane) and a phosphorous precursor (e.g., PH3), to name a few examples.
  • FIG. 3 shows a method 300 for forming a light emitting device, in accordance with an embodiment. In operation 305, a substrate is provided in a reaction chamber. The reaction chamber may be a vacuum chamber configured for thin film formation, such as with the aid of chemical vapor deposition (e.g., metal organic chemical vapor deposition, or MOCVD) or atomic layer deposition (ALD).
  • Next, in operation 310, an aluminum nitride (AlN) layer is formed adjacent to the substrate. The AlN layer is formed by heating the substrate to a growth temperature ranging between about 750° C. and 1200° C. in a reaction chamber with aluminum precursor and nitrogen precursor gas. In one embodiment, the growth temperature is set to be between about 900° C. and 1100° C. The aluminum precursor and the nitrogen precursor may be supplied into the reaction chamber with the aid of a carrier gas. The carrier gas may include hydrogen (H2), argon, neon, and helium. In some embodiments, the reaction chamber includes both aluminum precursor and nitrogen precursor gas at the same time so that the substrate is exposed to the aluminum precursor and the nitrogen precursor simultaneously. In other embodiments, aluminum precursor gas and nitrogen precursor gas are provided into the reaction chamber in an alternating fashion so that the substrate is exposed to the aluminum precursor and the nitrogen precursor in an alternating fashion.
  • In some situations, during the formation of the AlN layer, one or more process parameters are selected such that the AlN layer as formed has a thickness selected to maintain tensile strain in the AIN layer at the growth temperature. In an example, the hydrogen flow rate and the one or both of the aluminum and nitrogen precursor flow rates are selected such that the AlN layer has a finite tensile strain at the growth temperature. The AlN layer in such a case has a predetermined defect density. In an example, the AlN layer has a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • Next, in operation 315, with the substrate at the growth temperature, a first aluminum gallium nitride layer is formed adjacent to the AlN layer, the first aluminum gallium nitride layer having the composition AlxGa1-xN, wherein ‘x’ is a number between 0 and 1. The first aluminum gallium nitride layer is formed by exposing the AlN layer to an aluminum precursor (e.g., TMA), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH3). The partial pressure and flow rate of each of the precursors is selected to provide a desirable aluminum and gallium content. In some cases, the first aluminum gallium nitride layer is compositionally graded in aluminum and gallium (i.e., the aluminum and gallium content of the first aluminum gallium nitride layer varies along the direction of growth). In some situations, process parameters (e.g., carrier gas flow rate, precursor flow rates) are selected such that the first aluminum gallium nitride layer has a net compressive strain at the growth temperature. Without a proper selection of the growth conditions, the AlGaN layer can relax quickly and the overall stress of the grown layers may level out. Conventionally, relaxed layers may be desirable because new layers grown on such relaxed layers are free of strain and may be of higher crystal quality. However, a layer free of compressive stress (or strain) at a growth temperature may not be desirable upon cool-down to room temperature. In some cases, layers that are otherwise free of compressive strain at a growth temperature have strain (e.g., tensile strain) at or near room temperature, leading to bowing and in some cases cracking.
  • Next, in operation 320, with the substrate at the growth temperature, a second aluminum gallium nitride layer is formed adjacent to the first aluminum gallium nitride layer, the second aluminum gallium nitride layer having the composition AlyGa1-yN, wherein ‘y’ is a number between 0 and 1. The second aluminum gallium nitride layer is formed by exposing the first aluminum gallium nitride layer to an aluminum precursor, a gallium precursor and a nitrogen precursor. The partial pressure and flow rate of each of the precursors is selected to provide a desirable aluminum and gallium content. In some cases, the second aluminum gallium nitride layer is compositionally graded in aluminum and gallium (i.e., the aluminum and gallium content of the first aluminum gallium nitride layer varies along the direction of growth). In some situations, process parameters (e.g., carrier gas flow rate, precursor flow rates) are selected such that the second aluminum gallium nitride layer has a net compressive strain at the growth temperature.
  • Next, in operation 325, with the substrate at the growth temperature, a gallium nitride (GaN) layer is formed adjacent to the second aluminum gallium nitride layer. The GaN layer is formed by supplying into the reaction chamber a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH3), and exposing the second aluminum gallium nitride layer to the gallium precursor and the nitrogen precursor. In some situations, process parameters (e.g., carrier gas flow rate, precursor flow rates) are selected such that the gallium nitride layer has a net compressive strain at the growth temperature.
  • In some cases, the second aluminum gallium nitride layer is precluded. In such cases, the GaN layer is formed adjacent to the first aluminum gallium nitride layer.
  • Next, in operation 330, a device stack is formed adjacent to the GaN layer. In some cases, the device stack includes an n-type gallium nitride (n-GaN) layer adjacent to the GaN layer formed in operation 325, an active layer adjacent to the n-GaN layer, and a p-type gallium nitride (p-GaN) layer adjacent to the active layer. In some embodiments, the GaN layer is exposed to a gallium precursor (e.g., TMG), a nitrogen precursor (e.g., NH3) and a precursor of an n-type dopant (e.g., silane) to form the n-GaN layer. The n-GaN layer in some cases is formed at a growth temperature ranging between about 750° C. and 1100° C. In some embodiments, the growth temperature ranges between about 800° C. and 1050° C. In other embodiments, the growth temperature ranges between about 850° C. and 1000° C.
  • The active layer is then formed adjacent to the n-GaN layer. In some cases, the active layer is formed of one or more well layers (e.g., indium gallium nitride, aluminum gallium nitride, aluminum indium gallium nitride) and one or more barrier layers (e.g., gallium nitride) layers, with the well layers and barrier layers distributed in an alternating configuration. For instance, with the well layer formed of indium gallium nitride, the well layer is formed by supplying an indium precursor (e.g., TMI), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH3) into the reaction chamber. As another example, a well layer having aluminum gallium nitride is formed by supplying an aluminum precursor (e.g., TMA), a gallium precursor (e.g., TMG) and a nitrogen precursor (e.g., NH3) into the reaction chamber.
  • One or a plurality of well layers may be separated with barrier layers, such as barrier layers having gallium nitride. In an example, a gallium nitride barrier layer is formed by supplying into the reaction chamber a gallium precursor and a nitrogen precursor. The active layer is formed to have a predetermined period of well-barrier stacks. In an example, the active layer has 1, or 2, or 3, or 4, or 5, or 6, or 7, or 8, or 9, or 10, or more periods of well-barrier stacks. In an example, the active layer is a multiple quantum well (MQW) active layer having, for example, 10 or more periods.
  • In some cases, the quantum well (“well”) layer is formed at temperatures ranging between about 750° C. and 790° C. In some embodiments, the well is formed at temperatures ranging between about 770° C. and 780° C. The barrier layer may be formed at temperatures ranging between about 790° C. and 850° C. In some embodiments, the barrier layer is formed at temperatures ranging between about 810° C. and 840° C.
  • The p-GaN layer is then formed adjacent to the active layer. In some cases, the p-GaN layer is formed by supplying a gallium precursor (e.g., TMG), a nitrogen precursor (e.g., NH3) and a precursor of a p-type dopant (e.g., biscyclopentadienyl magnesium, or CP2Mg) into the reaction chamber. The p-GaN layer in some cases is formed at a temperature ranging between about 700° C. and 1100° C. In some embodiments, the temperature ranges between about 800° C. and 1050° C., while in other embodiments the temperature ranges between about 850° C. and 1000° C.
  • Next, a second substrate may be provided adjacent to the p-GaN layer. The second substrate may be a silicon substrate. In some cases, one or more intervening layers are formed prior to providing the second substrate. The substrate adjacent to the AlN layer may then be removed to expose the AlN layer.
  • In some embodiments, a first electrode is formed that is in electrical communication with the n-GaN layer and a second electrode is formed that is in electrical communication with the p-GaN layer. In other embodiments, the first electrode, as formed, is in contact with the n-GaN layer and the second electrode, as formed, is in contact with the second substrate (adjacent to the p-GaN layer). The first electrode may include one or more elemental metals such as titanium, aluminum, nickel, platinum, gold, silver, rhodium, copper, chromium, or combinations thereof. The second electrode may include one or more elemental metals such as aluminum, titanium, chromium, platinum, nickel, gold, rhodium, silver, or combinations, thereof.
  • The light emitting device formed according to the method 300 may have reduced strain at room temperature. In some cases, the formation of the buffer layer, per operations 305-325, provides a compressive strain that balances the tensile strain in the buffer layer, thereby reducing bowing and in some cases crack formation in the buffer layer and/or the device stack at room temperature.
  • FIG. 4 schematically illustrates the strain and accumulated stress on a light emitting device at various stages of growth of a buffer layer over a silicon substrate of a light emitting device, in accordance with an embodiment. The y-axis schematically illustrates the strain and accumulative stress in the buffer layer at various stages of growth of the buffer layer. The shaded rectangles (top) show the relative strain in each layer, and the layer schematics (bottom) show the degree of bowing of the buffer layer at various stages of growth. The x-axis shows film thickness. The buffer layer, which is formed on a silicon substrate, includes an aluminum nitride (AlN) layer adjacent to the silicon substrate, a first aluminum gallium nitride (AlxGa1-xN) layer adjacent to the AIN layer, a second aluminum gallium nitride (AlyGa1-yN) layer adjacent to the first aluminum gallium nitride layer, and a gallium nitride layer adjacent to the second aluminum gallium nitride layer. Upon the formation of each layer, the buffer layer of the light emitting device is strained by selecting one or more process parameters to effect strain in the layer—that is, each layer is formed to have a predetermined level of strain.
  • In some embodiments, the AlN is provided to aid in the formation of the gallium-containing layers. AIN may minimize or eliminate the formation of a gallium-silicon alloy adjacent to the silicon substrate.
  • In some cases, the buffer layer is formed at a growth temperature. In other cases, the various layers of the buffer layer are formed at the same growth temperature or different growth temperatures.
  • With continued reference to FIG. 4, the AlN layer is formed such that the buffer layer is under tensile strain. The light emitting device following the formation of the AlN layer bows (or is concave). The AlxGa1-xN layer is formed on the AIN layer under process conditions selected such that the tensile strain in the buffer layer is balanced by compressive strain in the AlxGa1-xN layer. The light emitting device in such a case is under minimal strain at the growth temperature. The AlyGa1-yN layer is formed on the AlxGa1-xN layer under process conditions selected such that the AlyGa1-yN layer is under compressive strain. The light emitting device is under compressive strain. The light emitting device in such a case is convex—the compressive strain in the buffer layer is greater than the tensile strain. The GaN layer is formed on the AlxGa1-xN layer under process conditions selected such that the GaN layer is under compressive strain. In some embodiments, each layer of the buffer layer is formed to have a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • Following the formation of the GaN layer, a light emitting diode device stack (“LED device stack”) is formed. The LED device stack is configured to generate light upon the recombination of electrons and holes. The device stack comprises an n-GaN layer, a p-GaN layer and an active layer between the n-GaN layer and the p-GaN. The device stack in some cases is formed to have a defect density between about 1×108 cm−2 and 2×109 cm−2.
  • During the formation of the AlN layer, the buffer layer has a negative strain. During the formation of subsequent layers, the strain in the buffer layer increases. The slope of the plot of FIG. 4 (strain divided by thickness) is nearly or substantially constant. In some embodiments, the strain of the buffer layer at various stages of growth, when divided by thickness, is nearly or substantially constant.
  • With continued reference to FIG. 4, in some situations, process conditions are selected such that the thickness of various layers of the buffer layer and the light emitting device are within a predetermined limit. In some embodiments, during the formation of the light emitting diode, process conditions are selected such that the light emitting diode, as formed, has a thickness that is less than or equal to about 5 μm, or less than or equal to about 4 μm, or less than or equal to about 3 micrometers (“μm”). In some embodiments, during the formation of the AlN layer, process conditions are selected such that a thickness of the AlN layer, as formed, is less than or equal to about 1 μm. In some embodiments, the thickness of the AlN layer is less than or equal to about 0.5 μm, while in other embodiments the thickness of the AlN layer is than or equal to about 0.3 μm. In some embodiments, during the formation of the AlxGa1-xN and AlyGa1-yN layers, process conditions are selected such that a combined thickness of the AlxGa1-xN and AlyGa1-yN layers, as formed, is less than or equal to about 1 μm. In other embodiments, the combined thickness is less than or equal to about 0.8 μm, while in other embodiments the combined thickness is less than or equal to about 0.7 μm. In some embodiments, during the formation of the GaN layer, process conditions are selected such that a thickness of the GaN layer is less than or equal to about 4 μm. In other embodiments, the thickness of the GaN layer is less than or equal to about 3 μm, while in other embodiments the thickness of the GaN layer is less than or equal to about 2.5 μm. In some embodiments, during the formation of the buffer layer, process conditions are selected such that a thickness of the buffer layer, as formed, is less than or equal to about 5 μm. In other embodiments, the thickness of the buffer layer is less than or equal to about 4 μm, while in other embodiments the thickness of the buffer layer is less than or equal to about 3 μm. Process conditions, which are used to control these thicknesses, include one or more of growth temperature, precursor flow rate, carrier gas (e.g., H2 gas) flow rate, reaction chamber pressure, growth rate and susceptor (or platten) rotation rate.
  • With continued reference to FIG. 4, each layer may have a different amount of strain. In some cases, however, during the formation of an individual layer, the strain in the individual layer as a function of the thickness of the individual layer is constant.
  • FIG. 5 shows a method for forming a buffer layer, in accordance with an embodiment. The buffer layer is part of a light emitting device, which may be a nascent light emitting device. Initially, an AlN layer is formed on a substrate under process conditions selected such that the AlN layer, as formed, has a predetermined level of strain. The strain in some cases is tensile strain. In an embodiment, the AlN layer is formed to have a defect density between about 1×108 cm−2 and 2×1010 cm−2. The AlN layer in such a case is under tensile strain at the growth temperature; the nascent light emitting device, comprising the AlN layer and the substrate, bows (or is concave). In some situations, the substrate is a silicon-containing substrate, such as a substrate having a predominantly silicon content (e.g., Si(111)).
  • Next, an aluminum gallium nitride layer is formed on the AlN layer under process conditions selected such that the aluminum gallium nitride layer, as formed, has a compressive strain that balances the tensile strain in the nascent light emitting device. In some cases, the aluminum gallium nitride layer is formed to have a defect density between about 1×108 cm−2 and 2×1010 cm−2. At the growth temperature, the nascent light emitting in such a case does not bow and is thus neither concave nor convex.
  • Next, a GaN layer is formed on the aluminum gallium nitride layer under process conditions selected such that the GaN layer, as formed, has a compressive strain. The nascent light emitting device in such a case has a net compressive strain at the growth temperature. In some cases, the GaN layer is formed to have a defect density ranging between about 1×108 cm−2 and 2×1010 cm−2. The light emitting device in such a case is convex. Following cool-down to room temperature, the nascent light emitting device has little to no net strain (i.e., the compressive strain balances the tensile strain).
  • At the growth temperature, additional layers may be formed on the buffer layer. In an example, a light emitting stack is formed on the GaN layer, the light emitting stack having an n-GaN layer, a p-GaN layer and an active layer between the n-GaN layer and the p-GaN layer.
  • In some embodiments, during the formation of various device layers, the substrate is exposed to two or more precursor simultaneously. In other situations, during the formation of various device layers, the substrate is exposed to the various precursors an alternating and sequential fashion. In an example, a gallium nitride layer is formed by exposing a substrate to a gallium precursor (e.g., TMG) and followed by a nitrogen precursor (e.g., NH3), with an intervening purging or evacuation operation. Generally, if a plurality of precursor are required to form a device layer, the precursor may be supplied into the reaction chamber simultaneously or in an alternating and sequential fashion.
  • Device layers may be formed using various deposition techniques. In some embodiments, device layers are formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced CVD (PECVD), plasma enhanced ALD (PEALD), metal organic CVD (MOCVD), hot wire CVD (HWCVD), initiated CVD (iCVD), modified CVD (MCVD), vapor axial deposition (VAD), outside vapor deposition (OVD), physical vapor deposition (e.g., sputter deposition, evaporative deposition).
  • While methods and structures provided herein have been described in the context of light emitting devices having Group III-V semiconductor materials, such as, for example, gallium nitride, such methods and structures may be applied to other types of semiconductor materials. Methods and structures provided herein may be used with light emitting devices formed at least in part of gallium nitride (GaN), gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), gallium arsenide phosphide (GaAsP), aluminum gallium indium phosphide (AlGaInP), gallium phosphide (GaP), indium gallium nitride (InGaN), aluminum gallium phosphide (AlGaP), zinc selenide (ZnSe), aluminum nitride (AlN), aluminum gallium nitride (AlGaN), and aluminum gallium indium nitride (AlGaInN).
  • Systems Configured to Form Light Emitting Devices
  • In another aspect of the invention, a system for forming a light emitting device comprises a reaction chamber for holding a substrate, a pumping system in fluid communication with the reaction chamber, the pumping system configured to purge or evacuate the reaction chamber, and a computer system having a processor for executing machine readable code implementing a method for forming the light emitting device. The code may implement any of the methods provided herein. In an embodiment, the code implements a method comprising forming a plurality of layers adjacent to a silicon substrate, the plurality of layers including i) an aluminum nitride layer adjacent to the silicon substrate, ii) an aluminum gallium nitride layer adjacent to the aluminum nitride layer and iii) a gallium nitride layer adjacent to the aluminum gallium nitride layer. During the formation of each of the plurality of layers, one or more process parameters are selected such that an individual layer of the plurality of layers has a tensile strain or compressive strain that is nonzero with increasing thickness of the individual layer. In another embodiment, the code implements a method comprising (a) providing a substrate in a reaction chamber, (b) forming an aluminum nitride (AlN) layer adjacent to the substrate under processing conditions selected to generate defects (e.g., dislocations) in the AlN layer, (c) forming an aluminum gallium nitride layer adjacent to the AlN layer under processing conditions selected to generate (or form) defects in the aluminum gallium nitride layer, and (d) forming a gallium nitride (GaN) layer adjacent to the aluminum gallium nitride layer under processing conditions selected to generate defects in the GaN layer. The defects induce strain (i.e., compressive strain or tensile strain) in each of the layers. In some embodiments, processing conditions are selected to generate and maintain a predetermined density of defects, such as, e.g., a defect density between about 1×108 cm−2 and 2×1010 cm−2.
  • FIG. 6 shows a system 600 for forming a light emitting device, in accordance with an embodiment. The system 600 includes a reaction chamber 605 having a susceptor (or substrate holder) 610 configured to hold a substrate that is used to form the light emitting device. The system comprises a first precursor storage vessel (or tank) 615, a second precursor storage vessel 620, and a carrier gas storage tank 625. The first precursor storage vessel 615 may be for holding a Group III precursor (e.g., TMG) and the second precursor storage vessel 620 may be for holding a Group V precursor (e.g., NH3). The carrier gas storage tank 625 is for holding a carrier gas (e.g., H2). The system 600 may include other storage tanks or vessels, such as for holding additional precursors and carrier gases. The system 600 includes valves between the storage vessels and the reaction chamber 605 for fluidically isolating the reaction chamber 605 from each of the storage vessels.
  • The system 600 further includes a vacuum system 630 for providing a vacuum to the reaction chamber 605. The vacuum system 630 is in fluid communication with the reaction chamber 605. In some cases, the vacuum system 630 is configured to be isolated from the reaction pace 605 with the aid of a valve, such as a gate valve.
  • A controller (or control system) 635 of the system 600 facilitates a method for forming a light emitting device in the reaction chamber 605, such as forming one or more layers of the light emitting device. The controller 635 is communicatively coupled to a valve of each of the first precursor storage vessel 615, the second precursor storage vessel 620, the carrier gas storage tank 625 and the vacuum system 630. The controller 635 is operatively coupled to the susceptor 610 for regulating the temperature of the susceptor and a substrate on the susceptor, and the vacuum system 630 for regulating the pressure in the reaction chamber 605.
  • In some situations, the vacuum system 630 includes one or more of a turbomolecular (“turbo”) pump, a diffusion pump and a mechanical pump. In some cases, the vacuum system 630 includes a turbo pump, diffusion pump and/or mechanical pump. A pump may include one or more backing pumps. For example, a turbo pump may be backed by a mechanical pump.
  • In some embodiments, the controller 635 is configured to regulate one or more processing parameters, such as the substrate temperature, precursor flow rates, growth rate, carrier gas flow rate and reaction chamber pressure. The controller 635, in some cases, is in communication with valves between the storage vessels and the reaction chamber 605, which aids in terminating (or regulating) the flow of a precursor to the reaction chamber 605. The controller 635 includes a processor configured to aid in executing machine-executable code that is configured to implement the methods provided herein. The machine-executable code is stored on a physical storage medium, such as flash memory, a hard disk, or other physical storage medium configured to store computer-executable code.
  • In some embodiments, the controller 635 is configured to regulate one or more process parameters. In some situations, the controller 635 regulates the growth temperature, carrier gas flow rate, precursor flow rate, growth rate and/or growth pressure (or reaction chamber pressure).
  • In some situations, the controller 635 is configured to regulate process parameters such that one or more layers of a light emitting device are strained. For instance, the controller 635 regulates one or more of the growth temperature, the precursor flow rate the carrier gas flow rate, reaction chamber pressure, and growth rate to generate a predetermined level of strain in one or more layers of a buffer layer of a nascent or completed light emitting device.
  • In some embodiments, the system 600 includes various surface or bulk analytical instruments (spectroscopies) for qualitatively and/or quantitatively analyzing a substrate and various layers formed over the substrate. In some cases, the system includes a deflectometer for measuring the curvature of the substrate or a thin film formed on the substrate. The curvature in some cases is related to the stress in the substrate or the thin film (e.g., a thin film under stress is concave or convex).
  • EXAMPLE
  • A silicon substrate is provided on a susceptor in a reaction chamber and a dislocation density maintaining buffer layer is formed on the silicon substrate. The dislocation density maintaining buffer layer includes an aluminum nitride layer, an aluminum gallium nitride adjacent to the AlN layer, and a gallium nitride layer adjacent to the aluminum gallium nitride layer.
  • With the susceptor at a temperature of about 850° C., the buffer layer is formed by exposing the silicon substrate to TMA and NH3 to form the AlN layer on the silicon substrate. The AlN layer has a thickness of about 0.4 micrometer (“μm”). Next, with the susceptor at a temperature of about 850° C., the AlN layer is exposed to TMA, TMG and NH3 to form an aluminum gallium nitride layer on the AIN layer. The aluminum gallium nitride has a thickness of about 0.7 μm. Next, with the susceptor at a temperature of about 850° C., the aluminum gallium nitride layer is exposed to TMG and NH3 to form a GaN layer at a thickness of about 2.5 μm. At the growth temperature, the substrate has a radius of curvature (absolute value) of about 5 m. Upon cool down to room temperature, the substrate has a radius of curvature (absolute value) greater than 50 m.
  • Unless the context clearly requires otherwise, throughout the description and the claims, words using the singular or plural number also include the plural or singular number respectively. Additionally, the words ‘herein,’ ‘hereunder,’ ‘above,’ ‘below,’ and words of similar import refer to this application as a whole and not to any particular portions of this application. When the word ‘or’ is used in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list.
  • It should be understood from the foregoing that, while particular implementations have been illustrated and described, various modifications may be made thereto and are contemplated herein. It is also not intended that the invention be limited by the specific examples provided within the specification. While the invention has been described with reference to the aforementioned specification, the descriptions and illustrations of embodiments of the invention herein are not meant to be construed in a limiting sense. Furthermore, it shall be understood that all aspects of the invention are not limited to the specific depictions, configurations or relative proportions set forth herein which depend upon a variety of conditions and variables. Various modifications in form and detail of the embodiments of the invention will be apparent to a person skilled in the art. It is therefore contemplated that the invention shall also cover any such modifications, variations and equivalents.

Claims (19)

What is claimed is:
1. A light emitting device comprising:
a silicon substrate;
a device stack comprising:
an n-type layer,
a p-type layer,
an active layer between the n-type layer and the p-type layer; and
a compressive strained buffer layer between the substrate and the device stack, the buffer layer comprising:
a tensile strained AlN layer adjacent the substrate,
a compressive strained GaN layer adjacent the n-type layer; and
a compressive strained AlGaN layer between the compressive strained GaN layer and the tensile strained AlN layer, wherein the compressive strained GaN layer is thicker than the compressive strained AlGaN layer, and the compressive strained AlGaN layer is thicker than the tensile strained AlN layer.
2. The light emitting device of claim 1, wherein at least one of the n-type layer and the p-type layer is a Group III-V layer.
3. The light emitting device of claim 1, wherein the thickness of the tensile strained AlN layer provides a predetermined dislocation density.
4. The light emitting device of claim 3, wherein the thickness is between 1×108 cm−2 and 2×1010 cm−2.
5. The light emitting device of claim 1, wherein the thickness of the compressive strained GaN layer provides a predetermined dislocation density.
6. The light emitting device of claim 5, wherein the thickness is between 1×108 cm−2 and 2×1010 cm−2.
7. The light emitting device of claim 1, wherein the thickness of the compressive strained AlGaN layer provides a predetermined dislocation density.
8. The light emitting device of claim 7, wherein the thickness is between 1×108 cm−2 and 2×1010 cm−2.
9. The light emitting device of claim 1, wherein the compressive strained AlGaN layer is an AlxGa1-xN layer, wherein ‘x’ is a number between 0 and 1.
10. The light emitting device of claim 9 further comprising:
a strained AlyGa1-xN layer between the AlxGa1-xN layer and the compressive strained GaN layer and the
Figure US20150340555A1-20151126-P00999
.
11. The light emitting device of claim 1, wherein the thickness of the compressive strained buffer layer is greater than zero and less than or equal at least one of:
5 μm;
4 μm; and
3 μm.
12. The light emitting device of claim 1, wherein the thickness of the tensile strained AlN layer is greater than zero and less than or equal to at least one of:
1 μm;
0.5 μm; and
0.4 μm.
13. The light emitting device of claim 1, wherein the thickness of the compressive strained AlGaN layer is greater than zero and less than or equal to at least one of:
1 μm;
0.8 μm; and
0.7 μm.
14. The light emitting device of claim 1, wherein the thickness of the compressive strained GaN layer is greater than zero and less than or equal to at least one of:
4 μm;
3 μm; and
2.5 μm.
15. The light emitting device of claim 1 further comprising:
an electron blocking layer between the active layer and the p-type layer, wherein the electron blocking layer reduces the recombination of electrons with holes in the p-type layer.
16. The light emitting device of claim 1 further comprising:
an optical reflector adjacent the p-type layer.
17. The light emitting device of claim 1, wherein the compressive strained AlGaN layer is compositionally graded in Al and Ga such that:
a first surface of the compressive strained AlGaN layer has an Al content that is greater than the Ga content, the first surface being a surface adjacent the tensile strained AlN layer; and
a second surface of the compressive strained AlGaN layer has a Ga content that is greater than an Al content, the second surface being a surface adjacent the compressive strained GaN layer.
18. The light emitting device of claim 1, wherein the compressive strained AlGaN layer is compositionally graded in Al content and Ga content such that:
a first surface of the compressive strained AlGaN layer has a Ga content that is greater than an Al content, the first surface being a surface adjacent the compressive strained GaN layer; and
a second surface of the compressive strained AlGaN layer has an Al content that is greater than the Ga content, the second surface being a surface adjacent the tensile strained AlN layer.
19. A light emitting device comprising:
a silicon substrate;
a compressive strained buffer layer comprising:
a tensile strained AlN layer adjacent the substrate,
a compressive strained GaN layer adjacent the n-type layer; and
a compressive strained AlGaN layer between the compressive strained GaN layer and the tensile strained AlN layer, wherein the compressive strained GaN layer is thicker than the compressive strained AlGaN layer, and the compressive strained AlGaN layer is thicker than the tensile strained AlN layer; and
a device stack between the substrate and the compressive strained buffer layer, the device stack comprising:
an n-type layer,
a p-type layer,
an active layer between the n-type layer and the p-type layer.
US14/819,221 2011-09-29 2015-08-05 Light emitting devices having dislocation density maintaining buffer layers Abandoned US20150340555A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/819,221 US20150340555A1 (en) 2011-09-29 2015-08-05 Light emitting devices having dislocation density maintaining buffer layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/249,157 US20130082274A1 (en) 2011-09-29 2011-09-29 Light emitting devices having dislocation density maintaining buffer layers
US14/158,401 US9130068B2 (en) 2011-09-29 2014-01-17 Light emitting devices having dislocation density maintaining buffer layers
US14/819,221 US20150340555A1 (en) 2011-09-29 2015-08-05 Light emitting devices having dislocation density maintaining buffer layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/158,401 Continuation US9130068B2 (en) 2011-09-29 2014-01-17 Light emitting devices having dislocation density maintaining buffer layers

Publications (1)

Publication Number Publication Date
US20150340555A1 true US20150340555A1 (en) 2015-11-26

Family

ID=47991731

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/249,157 Abandoned US20130082274A1 (en) 2011-09-29 2011-09-29 Light emitting devices having dislocation density maintaining buffer layers
US14/158,401 Active US9130068B2 (en) 2011-09-29 2014-01-17 Light emitting devices having dislocation density maintaining buffer layers
US14/819,221 Abandoned US20150340555A1 (en) 2011-09-29 2015-08-05 Light emitting devices having dislocation density maintaining buffer layers

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/249,157 Abandoned US20130082274A1 (en) 2011-09-29 2011-09-29 Light emitting devices having dislocation density maintaining buffer layers
US14/158,401 Active US9130068B2 (en) 2011-09-29 2014-01-17 Light emitting devices having dislocation density maintaining buffer layers

Country Status (6)

Country Link
US (3) US20130082274A1 (en)
JP (2) JP2014512681A (en)
KR (2) KR20160009102A (en)
CN (1) CN103415934A (en)
TW (1) TWI562401B (en)
WO (1) WO2013049417A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106409996A (en) * 2016-11-08 2017-02-15 湘能华磊光电股份有限公司 Epitaxial growth method capable of improving LED chip property uniformity
US10229831B2 (en) 2016-12-16 2019-03-12 Samsung Electronics Co., Ltd. Method of forming nitride semiconductor substrate and method of fabricating semiconductor device
TWI806990B (en) * 2018-08-07 2023-07-01 日商住友電氣工業股份有限公司 Indium phosphide single crystal and indium phosphide single crystal substrate

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8698163B2 (en) 2011-09-29 2014-04-15 Toshiba Techno Center Inc. P-type doping layers for use with light emitting devices
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20150084058A1 (en) * 2012-03-19 2015-03-26 Koninklijke Philips N.V. Light emitting device grown on a silicon substrate
US9396933B2 (en) * 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
KR20140022136A (en) * 2012-08-13 2014-02-24 삼성전자주식회사 Semiconductor light emitting device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6322890B2 (en) * 2013-02-18 2018-05-16 住友電気工業株式会社 Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device
US9917004B2 (en) 2012-10-12 2018-03-13 Sumitomo Electric Industries, Ltd. Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104995713A (en) 2013-02-18 2015-10-21 住友电气工业株式会社 Group iii-nitride composite substrate and method of producing same, layered group iii-nitride composite substrate, as well as group iii-nitride semiconductor device and method of producing same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2015043961A1 (en) * 2013-09-24 2015-04-02 Siltronic Ag A semiconductor wafer and a method for producing the semiconductor wafer
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102188493B1 (en) 2014-04-25 2020-12-09 삼성전자주식회사 Method of growing nitride single crystal and method of manufacturing nitride semiconductor device
US11322643B2 (en) 2014-05-27 2022-05-03 Silanna UV Technologies Pte Ltd Optoelectronic device
CN106415854B (en) 2014-05-27 2019-10-01 斯兰纳Uv科技有限公司 Electronic device including N-type and p-type superlattices
JP6817072B2 (en) * 2014-05-27 2021-01-20 シランナ・ユー・ブイ・テクノロジーズ・プライベート・リミテッドSilanna Uv Technologies Pte Ltd Optoelectronic device
CN106537617B (en) 2014-05-27 2019-04-16 斯兰纳Uv科技有限公司 Use the advanced electronics structure of semiconductor structure and superlattices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104393125B (en) * 2014-12-17 2017-05-10 安徽三安光电有限公司 Method for preparing light emitting element
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
TWI577046B (en) * 2014-12-23 2017-04-01 錼創科技股份有限公司 Semiconductor light-emitting device and manufacturing method thereof
WO2016109616A1 (en) * 2014-12-30 2016-07-07 Sensor Electronic Technology, Inc. Strain-control heterostructure growth
KR20160101226A (en) * 2015-02-13 2016-08-25 삼성전자주식회사 Semiconductor light emitting device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016197077A1 (en) 2015-06-05 2016-12-08 Sensor Electronic Technology, Inc. Heterostructure with stress controlling layer
TWI596710B (en) * 2015-06-12 2017-08-21 國立交通大學 A method for fabricating semiconductor device
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) * 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6995304B2 (en) * 2016-12-06 2022-01-14 株式会社サイオクス Nitride semiconductor template manufacturing method, nitride semiconductor template and nitride semiconductor device
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108172501A (en) * 2017-12-22 2018-06-15 北京大学 The epitaxial growth method of the continuous thick films of GaN in a kind of foreign substrate
CN109411579B (en) * 2018-01-06 2020-08-07 临沂金霖电子有限公司 Semiconductor device with graphene structure and preparation method thereof
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10516076B2 (en) 2018-02-01 2019-12-24 Silanna UV Technologies Pte Ltd Dislocation filter for semiconductor devices
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10862002B2 (en) * 2018-04-27 2020-12-08 Facebook Technologies, Llc LED surface modification with ultraviolet laser
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6595682B2 (en) * 2018-09-20 2019-10-23 Dowaエレクトロニクス株式会社 Group III nitride semiconductor light emitting device
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US10985259B2 (en) * 2018-12-07 2021-04-20 Gan Systems Inc. GaN HEMT device structure and method of fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN110112265B (en) * 2019-05-22 2022-03-25 湘能华磊光电股份有限公司 Growth method of LED epitaxial structure
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
WO2021200836A1 (en) * 2020-03-30 2021-10-07 東ソー株式会社 Laminated film, structure including laminated film, semiconductor element, electronic device, and method for producing laminated film
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113571611B (en) * 2021-07-14 2023-02-03 淮安澳洋顺昌光电技术有限公司 Epitaxial wafer with antistatic capability and application thereof in light-emitting diode
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023038129A1 (en) * 2021-09-09 2023-03-16 国立大学法人三重大学 Group iii nitride light-emitting device, group iii nitride epitaxial wafer, and method for producing group iii nitride light-emitting device
CN114864762B (en) * 2022-07-11 2022-09-27 江西兆驰半导体有限公司 Low-defect-density silicon-based gallium nitride semiconductor epitaxial wafer and manufacturing method thereof
KR102615809B1 (en) * 2022-07-22 2023-12-20 웨이브로드 주식회사 Gruop 3 nitride semiconductor template for power semiconductor device
CN116646248B (en) * 2023-06-25 2024-02-09 江西兆驰半导体有限公司 Epitaxial wafer preparation method, epitaxial wafer thereof and high-electron mobility transistor

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3100644B2 (en) 1991-02-22 2000-10-16 株式会社東芝 Semiconductor light emitting device and method of manufacturing the same
US5306662A (en) 1991-11-08 1994-04-26 Nichia Chemical Industries, Ltd. Method of manufacturing P-type compound semiconductor
JP2917742B2 (en) 1992-07-07 1999-07-12 日亜化学工業株式会社 Gallium nitride based compound semiconductor light emitting device and method of manufacturing the same
EP0579897B1 (en) 1992-07-23 2003-10-15 Toyoda Gosei Co., Ltd. Light-emitting device of gallium nitride compound semiconductor
JP2626431B2 (en) 1992-10-29 1997-07-02 豊田合成株式会社 Nitrogen-3 group element compound semiconductor light emitting device
JP2681733B2 (en) 1992-10-29 1997-11-26 豊田合成株式会社 Nitrogen-3 group element compound semiconductor light emitting device
US5578839A (en) 1992-11-20 1996-11-26 Nichia Chemical Industries, Ltd. Light-emitting gallium nitride-based compound semiconductor device
JP2827794B2 (en) 1993-02-05 1998-11-25 日亜化学工業株式会社 Method for growing p-type gallium nitride
JP2778405B2 (en) 1993-03-12 1998-07-23 日亜化学工業株式会社 Gallium nitride based compound semiconductor light emitting device
US5432808A (en) 1993-03-15 1995-07-11 Kabushiki Kaisha Toshiba Compound semicondutor light-emitting device
JP2803741B2 (en) 1993-03-19 1998-09-24 日亜化学工業株式会社 Gallium nitride based compound semiconductor electrode forming method
EP0952617B1 (en) 1993-04-28 2004-07-28 Nichia Corporation Gallium nitride-based III-V group compound semiconductor device
JP2785254B2 (en) 1993-06-28 1998-08-13 日亜化学工業株式会社 Gallium nitride based compound semiconductor light emitting device
US6005258A (en) 1994-03-22 1999-12-21 Toyoda Gosei Co., Ltd. Light-emitting semiconductor device using group III Nitrogen compound having emission layer doped with donor and acceptor impurities
JP2956489B2 (en) 1994-06-24 1999-10-04 日亜化学工業株式会社 Crystal growth method of gallium nitride based compound semiconductor
JP2666237B2 (en) 1994-09-20 1997-10-22 豊田合成株式会社 Group III nitride semiconductor light emitting device
JP3646649B2 (en) 1994-09-22 2005-05-11 日亜化学工業株式会社 Gallium nitride compound semiconductor light emitting device
JP3548442B2 (en) 1994-09-22 2004-07-28 日亜化学工業株式会社 Gallium nitride based compound semiconductor light emitting device
US5777350A (en) 1994-12-02 1998-07-07 Nichia Chemical Industries, Ltd. Nitride semiconductor light-emitting device
JP2735057B2 (en) 1994-12-22 1998-04-02 日亜化学工業株式会社 Nitride semiconductor light emitting device
EP0730044B1 (en) 1995-03-01 2001-06-20 Sumitomo Electric Industries, Limited Boron-aluminum nitride coating and method of producing same
JP3332127B2 (en) 1995-03-20 2002-10-07 株式会社東芝 Semiconductor element
JP2890396B2 (en) 1995-03-27 1999-05-10 日亜化学工業株式会社 Nitride semiconductor light emitting device
JP3890930B2 (en) 1995-03-29 2007-03-07 日亜化学工業株式会社 Nitride semiconductor light emitting device
JP3250438B2 (en) 1995-03-29 2002-01-28 日亜化学工業株式会社 Nitride semiconductor light emitting device
JP3511970B2 (en) 1995-06-15 2004-03-29 日亜化学工業株式会社 Nitride semiconductor light emitting device
JP3135041B2 (en) 1995-09-29 2001-02-13 日亜化学工業株式会社 Nitride semiconductor light emitting device
DE69636088T2 (en) 1995-11-06 2006-11-23 Nichia Corp., Anan A nitride compound semiconductor device
JP3209096B2 (en) 1996-05-21 2001-09-17 豊田合成株式会社 Group III nitride compound semiconductor light emitting device
JP3304787B2 (en) 1996-09-08 2002-07-22 豊田合成株式会社 Semiconductor light emitting device and method of manufacturing the same
JP3780887B2 (en) 1996-09-08 2006-05-31 豊田合成株式会社 Semiconductor light emitting device and manufacturing method thereof
JP3344257B2 (en) 1997-01-17 2002-11-11 豊田合成株式会社 Gallium nitride based compound semiconductor and device manufacturing method
JP3374737B2 (en) 1997-01-09 2003-02-10 日亜化学工業株式会社 Nitride semiconductor device
JP3223832B2 (en) 1997-02-24 2001-10-29 日亜化学工業株式会社 Nitride semiconductor device and semiconductor laser diode
JP3506874B2 (en) 1997-03-24 2004-03-15 豊田合成株式会社 Nitrogen-3 group element compound semiconductor light emitting device
JP3795624B2 (en) 1997-03-31 2006-07-12 豊田合成株式会社 Nitrogen-3 group element compound semiconductor light emitting device
JP3654738B2 (en) 1997-04-07 2005-06-02 豊田合成株式会社 Group 3 nitride semiconductor light emitting device
JPH114020A (en) 1997-04-15 1999-01-06 Toshiba Corp Semiconductor light-emitting element, manufacture thereof and semiconductor light-emitting device
JP3314666B2 (en) 1997-06-09 2002-08-12 日亜化学工業株式会社 Nitride semiconductor device
JP3813740B2 (en) 1997-07-11 2006-08-23 Tdk株式会社 Substrates for electronic devices
JP3505357B2 (en) 1997-07-16 2004-03-08 株式会社東芝 Gallium nitride based semiconductor device and method of manufacturing the same
JP3822318B2 (en) 1997-07-17 2006-09-20 株式会社東芝 Semiconductor light emitting device and manufacturing method thereof
US6335217B1 (en) * 1997-10-10 2002-01-01 Toyoda Gosei Co., Ltd. GaN type semiconductor device fabrication
JP4118370B2 (en) 1997-12-15 2008-07-16 フィリップス ルミレッズ ライティング カンパニー リミテッド ライアビリティ カンパニー Nitride semiconductor light-emitting device having reflective p-electrode, method for manufacturing the same, and semiconductor optoelectronic device
DE69839300T2 (en) 1997-12-15 2009-04-16 Philips Lumileds Lighting Company, LLC, San Jose Light-emitting device
JP4118371B2 (en) 1997-12-15 2008-07-16 フィリップス ルミレッズ ライティング カンパニー リミテッド ライアビリティ カンパニー Nitride semiconductor light emitting device having silver as electrode, method for manufacturing the same, and semiconductor optoelectronic device
KR100611352B1 (en) 1998-03-12 2006-09-27 니치아 카가쿠 고교 가부시키가이샤 Nitride semiconductor device
JP3622562B2 (en) 1998-03-12 2005-02-23 日亜化学工業株式会社 Nitride semiconductor light emitting diode
JP3063756B1 (en) 1998-10-06 2000-07-12 日亜化学工業株式会社 Nitride semiconductor device
JP4629178B2 (en) 1998-10-06 2011-02-09 日亜化学工業株式会社 Nitride semiconductor device
JP3063757B1 (en) 1998-11-17 2000-07-12 日亜化学工業株式会社 Nitride semiconductor device
JP3424629B2 (en) 1998-12-08 2003-07-07 日亜化学工業株式会社 Nitride semiconductor device
JP3427265B2 (en) 1998-12-08 2003-07-14 日亜化学工業株式会社 Nitride semiconductor device
US6236061B1 (en) * 1999-01-08 2001-05-22 Lakshaman Mahinda Walpita Semiconductor crystallization on composite polymer substrates
US20010042866A1 (en) 1999-02-05 2001-11-22 Carrie Carter Coman Inxalygazn optical emitters fabricated via substrate removal
US6838705B1 (en) 1999-03-29 2005-01-04 Nichia Corporation Nitride semiconductor device
JP3551101B2 (en) 1999-03-29 2004-08-04 日亜化学工業株式会社 Nitride semiconductor device
TW437104B (en) 1999-05-25 2001-05-28 Wang Tien Yang Semiconductor light-emitting device and method for manufacturing the same
JP3748011B2 (en) 1999-06-11 2006-02-22 東芝セラミックス株式会社 Si wafer for GaN semiconductor crystal growth, wafer for GaN light emitting device using the same, and manufacturing method thereof
DE19955747A1 (en) 1999-11-19 2001-05-23 Osram Opto Semiconductors Gmbh Optical semiconductor device with multiple quantum well structure, e.g. LED, has alternate well layers and barrier layers forming super-lattices
JP5965095B2 (en) 1999-12-03 2016-08-10 クリー インコーポレイテッドCree Inc. Light-emitting diode with improved light extraction by internal and external optical elements
US20020158253A1 (en) * 2000-02-21 2002-10-31 Tetsuji Moku Light-emitting semiconductor device and method of fabrication
US6495894B2 (en) * 2000-05-22 2002-12-17 Ngk Insulators, Ltd. Photonic device, a substrate for fabricating a photonic device, a method for fabricating the photonic device and a method for manufacturing the photonic device-fabricating substrate
TWI289944B (en) 2000-05-26 2007-11-11 Osram Opto Semiconductors Gmbh Light-emitting-diode-element with a light-emitting-diode-chip
TW472400B (en) 2000-06-23 2002-01-11 United Epitaxy Co Ltd Method for roughing semiconductor device surface to increase the external quantum efficiency
US6586762B2 (en) 2000-07-07 2003-07-01 Nichia Corporation Nitride semiconductor device with improved lifetime and high output power
JP3786114B2 (en) 2000-11-21 2006-06-14 日亜化学工業株式会社 Nitride semiconductor device
US6649287B2 (en) 2000-12-14 2003-11-18 Nitronex Corporation Gallium nitride materials and methods
US6906352B2 (en) 2001-01-16 2005-06-14 Cree, Inc. Group III nitride LED with undoped cladding layer and multiple quantum well
US6611002B2 (en) 2001-02-23 2003-08-26 Nitronex Corporation Gallium nitride material devices and methods including backside vias
US7233028B2 (en) 2001-02-23 2007-06-19 Nitronex Corporation Gallium nitride material devices and methods of forming the same
US6630689B2 (en) 2001-05-09 2003-10-07 Lumileds Lighting, U.S. Llc Semiconductor LED flip-chip with high reflectivity dielectric coating on the mesa
US6958497B2 (en) 2001-05-30 2005-10-25 Cree, Inc. Group III nitride based light emitting diode structures with a quantum well and superlattice, group III nitride based quantum well structures and group III nitride based superlattice structures
US6488767B1 (en) 2001-06-08 2002-12-03 Advanced Technology Materials, Inc. High surface quality GaN wafer and method of fabricating same
TW558847B (en) 2001-07-12 2003-10-21 Nichia Corp Semiconductor device
US7030428B2 (en) * 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
US6784462B2 (en) 2001-12-13 2004-08-31 Rensselaer Polytechnic Institute Light-emitting diode with planar omni-directional reflector
JP4207781B2 (en) 2002-01-28 2009-01-14 日亜化学工業株式会社 Nitride semiconductor device having supporting substrate and method for manufacturing the same
JP4063548B2 (en) 2002-02-08 2008-03-19 日本碍子株式会社 Semiconductor light emitting device
JP3960815B2 (en) * 2002-02-12 2007-08-15 シャープ株式会社 Semiconductor light emitting device
KR101030068B1 (en) 2002-07-08 2011-04-19 니치아 카가쿠 고교 가부시키가이샤 Method of Manufacturing Nitride Semiconductor Device and Nitride Semiconductor Device
DE10245628A1 (en) 2002-09-30 2004-04-15 Osram Opto Semiconductors Gmbh Light-emitting semiconductor chip includes mirror layer with planar reflection surfaces inclined at acute angle with respect to main plane of beam production region
TW571449B (en) 2002-12-23 2004-01-11 Epistar Corp Light-emitting device having micro-reflective structure
WO2004073045A2 (en) 2003-02-12 2004-08-26 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Epitaxial growth of a zirconium diboride layer on silicon substrates
GB2398672A (en) 2003-02-19 2004-08-25 Qinetiq Ltd Group IIIA nitride buffer layers
US7001791B2 (en) 2003-04-14 2006-02-21 University Of Florida GaN growth on Si using ZnO buffer layer
KR100550491B1 (en) * 2003-05-06 2006-02-09 스미토모덴키고교가부시키가이샤 Nitride semiconductor substrate and processing method of nitride semiconductor substrate
JP3760997B2 (en) 2003-05-21 2006-03-29 サンケン電気株式会社 Semiconductor substrate
US7622742B2 (en) 2003-07-03 2009-11-24 Epivalley Co., Ltd. III-nitride compound semiconductor light emitting device
JP2005159299A (en) 2003-10-30 2005-06-16 Sharp Corp Semiconductor light emitting element
US7012016B2 (en) 2003-11-18 2006-03-14 Shangjr Gwo Method for growing group-III nitride semiconductor heterostructure on silicon substrate
US7071498B2 (en) 2003-12-17 2006-07-04 Nitronex Corporation Gallium nitride material devices including an electrode-defining layer and methods of forming the same
EP1583139A1 (en) 2004-04-02 2005-10-05 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Method for depositing a group III-nitride material on a silicon substrate and device therefor
US7026653B2 (en) 2004-01-27 2006-04-11 Lumileds Lighting, U.S., Llc Semiconductor light emitting devices including current spreading layers
US7115908B2 (en) 2004-01-30 2006-10-03 Philips Lumileds Lighting Company, Llc III-nitride light emitting device with reduced polarization fields
US7345297B2 (en) 2004-02-09 2008-03-18 Nichia Corporation Nitride semiconductor device
JP2005251922A (en) * 2004-03-03 2005-09-15 Nagoya Kogyo Univ Semiconductor light emitting device
JP3888374B2 (en) * 2004-03-17 2007-02-28 住友電気工業株式会社 Manufacturing method of GaN single crystal substrate
DE102005016592A1 (en) 2004-04-14 2005-11-24 Osram Opto Semiconductors Gmbh LED chip
TWI234297B (en) 2004-04-29 2005-06-11 United Epitaxy Co Ltd Light emitting diode and method of the same
US7791061B2 (en) 2004-05-18 2010-09-07 Cree, Inc. External extraction light emitting diode based upon crystallographic faceted surfaces
US7339205B2 (en) 2004-06-28 2008-03-04 Nitronex Corporation Gallium nitride materials and methods associated with the same
US7795623B2 (en) 2004-06-30 2010-09-14 Cree, Inc. Light emitting devices having current reducing structures and methods of forming light emitting devices having current reducing structures
US20060002442A1 (en) 2004-06-30 2006-01-05 Kevin Haberern Light emitting devices having current blocking structures and methods of fabricating light emitting devices having current blocking structures
US7687827B2 (en) 2004-07-07 2010-03-30 Nitronex Corporation III-nitride materials including low dislocation densities and methods associated with the same
TWI299914B (en) 2004-07-12 2008-08-11 Epistar Corp Light emitting diode with transparent electrically conductive layer and omni directional reflector
US7737459B2 (en) 2004-09-22 2010-06-15 Cree, Inc. High output group III nitride light emitting diodes
US7247889B2 (en) 2004-12-03 2007-07-24 Nitronex Corporation III-nitride material structures including silicon substrates
US7322732B2 (en) 2004-12-23 2008-01-29 Cree, Inc. Light emitting diode arrays for direct backlighting of liquid crystal displays
KR100661709B1 (en) * 2004-12-23 2006-12-26 엘지이노텍 주식회사 Nitride semiconductor LED and fabrication method thereof
US7335920B2 (en) 2005-01-24 2008-02-26 Cree, Inc. LED with current confinement structure and surface roughening
US20060249741A1 (en) * 2005-04-25 2006-11-09 Cao Group, Inc. GaN semiconductor devices with A1N buffer grown at high temperature and method for making the same
US7446345B2 (en) 2005-04-29 2008-11-04 Cree, Inc. Light emitting devices with active layers that extend into opened pits
US7365374B2 (en) 2005-05-03 2008-04-29 Nitronex Corporation Gallium nitride material structures including substrates and methods associated with the same
KR100616686B1 (en) 2005-06-10 2006-08-28 삼성전기주식회사 Method for manufacturing nitride-based semiconductor device
EP1750310A3 (en) 2005-08-03 2009-07-15 Samsung Electro-Mechanics Co., Ltd. Omni-directional reflector and light emitting diode adopting the same
CN100338790C (en) 2005-09-30 2007-09-19 晶能光电(江西)有限公司 Method for preparing InGaAlN thin film on silicon bulk
US7368759B2 (en) * 2005-09-30 2008-05-06 Hitachi Cable, Ltd. Semiconductor light-emitting device
US7547925B2 (en) 2005-11-14 2009-06-16 Palo Alto Research Center Incorporated Superlattice strain relief layer for semiconductor devices
JP2007273946A (en) 2006-03-10 2007-10-18 Covalent Materials Corp Nitride semiconductor single crystal film
US7910945B2 (en) 2006-06-30 2011-03-22 Cree, Inc. Nickel tin bonding system with barrier layer for semiconductor wafers and devices
US7674639B2 (en) 2006-08-14 2010-03-09 Bridgelux, Inc GaN based LED with etched exposed surface for improved light extraction efficiency and method for making the same
US7754514B2 (en) 2006-08-22 2010-07-13 Toyoda Gosei Co., Ltd. Method of making a light emitting element
JP2008103665A (en) * 2006-09-22 2008-05-01 Matsushita Electric Ind Co Ltd Nitride semiconductor device and its manufacturing method
US7557378B2 (en) 2006-11-08 2009-07-07 Raytheon Company Boron aluminum nitride diamond heterostructure
US7813400B2 (en) 2006-11-15 2010-10-12 Cree, Inc. Group-III nitride based laser diode and method for fabricating same
US7928471B2 (en) 2006-12-04 2011-04-19 The United States Of America As Represented By The Secretary Of The Navy Group III-nitride growth on silicon or silicon germanium substrates and method and devices therefor
US7547908B2 (en) 2006-12-22 2009-06-16 Philips Lumilieds Lighting Co, Llc III-nitride light emitting devices grown on templates to reduce strain
JP5383974B2 (en) 2006-12-27 2014-01-08 住友電工デバイス・イノベーション株式会社 Semiconductor substrate and semiconductor device
US8021904B2 (en) 2007-02-01 2011-09-20 Cree, Inc. Ohmic contacts to nitrogen polarity GaN
GB2447091B8 (en) 2007-03-02 2010-01-13 Photonstar Led Ltd Vertical light emitting diodes
CN100580905C (en) 2007-04-20 2010-01-13 晶能光电(江西)有限公司 Method of obtaining high-quality boundary for manufacturing semiconductor device on divided substrate
US7598108B2 (en) * 2007-07-06 2009-10-06 Sharp Laboratories Of America, Inc. Gallium nitride-on-silicon interface using multiple aluminum compound buffer layers
KR100947676B1 (en) 2007-12-17 2010-03-16 주식회사 에피밸리 ?-nitride semiconductor light emitting device
KR101371852B1 (en) 2007-12-20 2014-03-07 엘지이노텍 주식회사 Semiconductor light emitting device and fabrication method thereof
JP5117283B2 (en) * 2008-05-29 2013-01-16 古河電気工業株式会社 Semiconductor electronic device
JP4395812B2 (en) * 2008-02-27 2010-01-13 住友電気工業株式会社 Nitride semiconductor wafer-processing method
US7791101B2 (en) 2008-03-28 2010-09-07 Cree, Inc. Indium gallium nitride-based ohmic contact layers for gallium nitride-based devices
US20100176369A2 (en) 2008-04-15 2010-07-15 Mark Oliver Metalized Silicon Substrate for Indium Gallium Nitride Light-Emitting Diodes
US8030666B2 (en) 2008-04-16 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Group-III nitride epitaxial layer on silicon substrate
US8448188B2 (en) * 2008-06-17 2013-05-21 International Business Machines Corporation Web user interface component coordination
TW201001747A (en) 2008-06-27 2010-01-01 Advanced Optoelectronic Tech Gallium nitride based light emitting device with roughed surface and fabricating method thereof
TW201005997A (en) 2008-07-24 2010-02-01 Advanced Optoelectronic Tech Rough structure of optoeletronics device and fabrication thereof
JP2010080955A (en) * 2008-08-29 2010-04-08 Toshiba Corp Semiconductor device
KR101521259B1 (en) * 2008-12-23 2015-05-18 삼성전자주식회사 Nitride semiconductor light emitting device and manufacturing method thereof
KR20100093872A (en) 2009-02-17 2010-08-26 삼성엘이디 주식회사 Nitride semiconductor light emitting device and manufacturing method thereof
JP5572976B2 (en) * 2009-03-26 2014-08-20 サンケン電気株式会社 Semiconductor device
US9048385B2 (en) * 2009-06-24 2015-06-02 Nichia Corporation Nitride semiconductor light emitting diode
TWI471913B (en) 2009-07-02 2015-02-01 Global Wafers Co Ltd Production method of gallium nitride based compound semiconductor
TWI487141B (en) 2009-07-15 2015-06-01 Advanced Optoelectronic Tech Semiconductor optoelectronic structure of increased light extraction efficiency and fabricated thereof
US9362459B2 (en) 2009-09-02 2016-06-07 United States Department Of Energy High reflectivity mirrors and method for making same
US20110057213A1 (en) * 2009-09-08 2011-03-10 Koninklijke Philips Electronics N.V. Iii-nitride light emitting device with curvat1jre control layer
EP2498293B1 (en) * 2009-11-06 2018-08-01 NGK Insulators, Ltd. Epitaxial substrate for semiconductor element and method for producing epitaxial substrate for semiconductor element
US20110177638A1 (en) * 2010-01-15 2011-07-21 Koninklijke Philips Electronics N.V. Semiconductor light emitting device with curvature control layer
JP2011146650A (en) * 2010-01-18 2011-07-28 Sumitomo Electric Ind Ltd Gan-group semiconductor light-emitting element and method of manufacturing the same
KR101683898B1 (en) * 2010-06-21 2016-12-20 엘지이노텍 주식회사 A light emitting device
DE102010027411A1 (en) * 2010-07-15 2012-01-19 Osram Opto Semiconductors Gmbh Semiconductor component, substrate and method for producing a semiconductor layer sequence
US8853668B2 (en) 2011-09-29 2014-10-07 Kabushiki Kaisha Toshiba Light emitting regions for use with light emitting devices
US8698163B2 (en) 2011-09-29 2014-04-15 Toshiba Techno Center Inc. P-type doping layers for use with light emitting devices
US9012921B2 (en) 2011-09-29 2015-04-21 Kabushiki Kaisha Toshiba Light emitting devices having light coupling layers
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106409996A (en) * 2016-11-08 2017-02-15 湘能华磊光电股份有限公司 Epitaxial growth method capable of improving LED chip property uniformity
US10229831B2 (en) 2016-12-16 2019-03-12 Samsung Electronics Co., Ltd. Method of forming nitride semiconductor substrate and method of fabricating semiconductor device
TWI806990B (en) * 2018-08-07 2023-07-01 日商住友電氣工業股份有限公司 Indium phosphide single crystal and indium phosphide single crystal substrate

Also Published As

Publication number Publication date
KR20160009102A (en) 2016-01-25
US20130082274A1 (en) 2013-04-04
JP2016157951A (en) 2016-09-01
JP2014512681A (en) 2014-05-22
WO2013049417A2 (en) 2013-04-04
KR20130124556A (en) 2013-11-14
US9130068B2 (en) 2015-09-08
US20140134775A1 (en) 2014-05-15
TWI562401B (en) 2016-12-11
CN103415934A (en) 2013-11-27
TW201330317A (en) 2013-07-16
WO2013049417A3 (en) 2013-07-11

Similar Documents

Publication Publication Date Title
US9130068B2 (en) Light emitting devices having dislocation density maintaining buffer layers
US9299881B2 (en) Light emitting devices having light coupling layers
US8822243B2 (en) Light emitting devices having light coupling layers with recessed electrodes
US9490392B2 (en) P-type doping layers for use with light emitting devices
US8853668B2 (en) Light emitting regions for use with light emitting devices
US9178114B2 (en) P-type doping layers for use with light emitting devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: MANUTIUS IP, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TOSHIBA TECHNO CENTER INC.;REEL/FRAME:036518/0588

Effective date: 20140829

Owner name: TOSHIBA TECHNO CENTER INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BRIDGELUX, INC.;REEL/FRAME:036518/0492

Effective date: 20130516

Owner name: BRIDGELUX, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, LONG;FENWICK, WILL;SIGNING DATES FROM 20111118 TO 20111129;REEL/FRAME:036518/0290

AS Assignment

Owner name: TOSHIBA CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MANUTIUS IP, INC.;REEL/FRAME:038334/0444

Effective date: 20151204

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE