US20150270344A1 - P-fet with graded silicon-germanium channel - Google Patents

P-fet with graded silicon-germanium channel Download PDF

Info

Publication number
US20150270344A1
US20150270344A1 US14/221,339 US201414221339A US2015270344A1 US 20150270344 A1 US20150270344 A1 US 20150270344A1 US 201414221339 A US201414221339 A US 201414221339A US 2015270344 A1 US2015270344 A1 US 2015270344A1
Authority
US
United States
Prior art keywords
germanium
silicon
layer
graded
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/221,339
Inventor
Kangguo Cheng
Ali Khakifirooz
Darsen D. Lu
Alexander Reznicek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/221,339 priority Critical patent/US20150270344A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHENG, KANGGUO, KHAKIFIROOZ, ALI, LU, DARSON D., REZNICEK, ALEXANDER
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION CORRECTIVE ASSIGNMENT TO CORRECT THE MISSPELLED FIRST NAME OF ASSIGNOR PREVIOUSLY RECORDED ON REEL 032492 FRAME 0324. ASSIGNOR(S) HEREBY CONFIRMS THE CORRECTIVE ASSIGNMENT TO CORRECT ASSIGNOR NAME TO READ DARSEN D. LU. Assignors: CHENG, KANGGUO, KHAKIFIROOZ, ALI, LU, DARSEN D., REZNICEK, ALEXANDER
Priority to US14/835,832 priority patent/US10153157B2/en
Publication of US20150270344A1 publication Critical patent/US20150270344A1/en
Priority to US14/934,191 priority patent/US20160064210A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02634Homoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76248Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using lateral overgrowth techniques, i.e. ELO techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • the present invention generally relates to semiconductor structures, and more particularly to p-type field effect transistor (p-FET) devices having a graded silicon-germanium channel region, and a method for making the same.
  • p-FET p-type field effect transistor
  • CMOS Complementary metal-oxide-semiconductor
  • FETs field effect transistors
  • IC integrated circuits
  • the overall fabrication process may include forming a gate structure over a channel region connecting source-drain regions within a semiconductor substrate on opposite sides of the gate, typically with some vertical overlap between the gate and the source-drain region.
  • ETSOI FETs are fully depleted metal-oxide semiconductor field effect transistor (MOSFET) devices having a thin channel region.
  • MOSFET metal-oxide semiconductor field effect transistor
  • FinFETs fin field effect transistors
  • FinFETs are three dimensional (3-D), fully depleted MOSFET devices having a fin structure formed from the semiconductor substrate material.
  • the fins may extend between the device source and drain surrounding a channel region forming the bulk of the semiconductor device.
  • the gate structure may be located over the fins covering the channel region. FinFETs architecture may allow for a more precise control of the conducting channel by the gate, significantly reducing the amount of current leakage when the device is in off state.
  • SiGe channel MOSFETs having a silicon-germanium (SiGe) channel region may be considered an effective way to improve device performance.
  • SiGe channel MOSFETs including FinFETs and ETSOI FETs usually exhibit higher current leakage. Current leakage in SiGe channel MOSFETs may be attributed to the fact that devices including a silicon-germanium channel region may have a smaller band gap than devices including a silicon channel region.
  • MOSFET metal-oxide semiconductor field effect transistor
  • a method of forming a semiconductor structure includes: forming a silicon-germanium layer on a semiconductor region of a substrate.
  • the silicon-germanium layer has a specific concentration of germanium atoms.
  • the semiconductor region and the silicon-germanium layer are annealed to induce a non-homogenous thermal diffusion of germanium atoms from the silicon-germanium layer into the semiconductor region to form a graded silicon-germanium region.
  • a semiconductor structure includes: a graded silicon-germanium semiconductor region on a semiconductor substrate.
  • the graded silicon-germanium region includes a concentration of germanium atoms increasing towards a gate structure formed above a portion of the graded silicon-germanium region.
  • FIG. 1 is a cross-sectional view of a semiconductor structure depicting a plurality of silicon fins formed from a SOI substrate, according to an embodiment of the present disclosure
  • FIG. 2 is a cross-sectional view of a semiconductor structure depicting the formation of a silicon-germanium layer on sidewalls of the silicon fins, according to an embodiment of the present disclosure
  • FIG. 3 is a cross-sectional view of a semiconductor structure depicting the formation of graded silicon-germanium fins, according to an embodiment of the present disclosure
  • FIG. 4 is a cross-sectional view of a semiconductor structure depicting the removal of the silicon-germanium layer from the graded silicon-germanium fins, according to an embodiment of the present disclosure
  • FIG. 5 is a plot depicting a germanium concentration profile in the graded silicon-germanium fins, according to an embodiment of the present disclosure
  • FIG. 6 is a cross-sectional view of a semiconductor structure depicting etching of silicon fins, according to an embodiment of the present disclosure
  • FIG. 7 is a cross-sectional view of a semiconductor structure depicting an alternate method of forming graded silicon-germanium fins, according to an embodiment of the present disclosure
  • FIG. 8 is a cross-sectional view of a semiconductor structure depicting an ETSOI substrate, according to an embodiment of the present disclosure
  • FIG. 9 is a cross-sectional view of a semiconductor structure depicting the formation of a silicon-germanium layer on an ETSOI layer of the ETSOI substrate, according to an embodiment of the present disclosure
  • FIG. 10 is a cross-sectional view of a semiconductor structure depicting the formation of a graded silicon-germanium ETSOI layer, according to an embodiment of the present disclosure
  • FIG. 11 is a cross-sectional view of a semiconductor structure depicting the removal of the silicon-germanium layer from the graded silicon-germanium ETSOI layer, according to an embodiment of the present disclosure
  • FIG. 12 is a plot depicting a germanium concentration profile in the graded ETSOI layer, according to an embodiment of the present disclosure
  • FIG. 13 is a cross-sectional view of a semiconductor structure depicting etching of the ETSOI layer as an alternate method of forming the graded ETSOI layer, according to an embodiment of the present disclosure.
  • FIG. 14 is a cross-sectional view of a semiconductor structure depicting a graded silicon-germanium ETSOI layer formed by an alternate method, according to an embodiment of the present disclosure.
  • a method of forming a semiconductor structure including a silicon-germanium (SiGe) channel region with a graded concentration of germanium atoms (hereinafter “graded SiGe channel”) is described in detail below by referring to the accompanying drawings in FIGS. 1-14 , in accordance with an illustrative embodiment of the present disclosure.
  • the method may provide a semiconductor structure having a SiGe channel region with a germanium (Ge) concentration gradient that may suit p-FETs workfunction and in turn enhance current leakage control and improve device performance without altering the dimensions of the channel region.
  • One way to fabricate a graded SiGe channel may include diffusing germanium atoms into a silicon (Si) channel region from a SiGe layer.
  • Si silicon
  • One embodiment by which to fabricate the graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 1-5 . More specifically, the present embodiment will focus on the fabrication of a p-type FinFET device
  • a p-FET device may be formed in a p-FET region of the semiconductor substrate simultaneously with an n-FET device in an n-FET region of the same semiconductor substrate, and that both p-FET and n-FET devices may be used together in an integrated circuit.
  • the p-FET and n-FET combination may find applications in analog or digital circuits.
  • p-FET and n-FET devices may be separated from one another both physically and electrically by isolation regions. In the figures, only the p-FET region of the SOI substrate will be shown.
  • the n-FET region of the SOI substrate may be covered by a hardmask layer during formation of the graded SiGe channel.
  • the steps involved in masking the n-FET region are conventional and well known to those skilled in the art.
  • a semiconductor structure 100 including a semiconductor-on-insulator (SOI) substrate 108 is shown.
  • SOI semiconductor-on-insulator
  • a plurality of silicon fins 120 have been patterned and formed on the SOI substrate 108 .
  • the silicon fins 120 may be formed in any semiconductor substrate know to a person having ordinary skill in the art, including but not limited to SOI substrates and bulk silicon substrates.
  • this description refers to some components of the semiconductor structure 100 in the singular tense, more than one component may be depicted throughout the figures and like components are labeled with like numerals.
  • the SOI substrate 108 employed in the present embodiment may include a base substrate 102 , a buried dielectric layer 104 formed on top of the base substrate 102 , and a SOI layer (not shown) formed on top of the buried dielectric layer 104 .
  • the buried dielectric layer 104 isolates the SOI layer from the base substrate 102 .
  • the base substrate 102 may be made from any of several known semiconductor materials such as, for example, silicon, germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy, and compound (e.g. III-V and II-VI) semiconductor materials.
  • Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide.
  • the base substrate 102 may be about, but is not limited to, several hundred microns thick.
  • the base substrate 102 may include a thickness ranging from approximately 0.5 mm to approximately 1.5 mm.
  • the buried dielectric layer 104 may be formed from any of several known dielectric materials. Non-limiting examples include, for example, oxides, nitrides and oxynitrides of silicon. Oxides, nitrides and oxynitrides of other elements are also envisioned. In addition, the buried dielectric layer 104 may include crystalline or non-crystalline dielectric material. Moreover, the buried dielectric layer 104 may be formed using any of several known methods. Non-limiting examples include ion implantation methods, thermal or plasma oxidation or nitridation methods, chemical vapor deposition methods and physical vapor deposition methods. The buried dielectric layer 104 may include a thickness ranging from approximately 10 nm to approximately 500 nm. In one embodiment, the buried dielectric layer 104 may be approximately 145 nm thick.
  • the SOI layer (not shown) and the base substrate 102 may have the same or different crystal orientation.
  • the crystal orientation of the base substrate 102 and/or the SOI layer (not shown) may be ⁇ 100 ⁇ , ⁇ 110 ⁇ , or ⁇ 111 ⁇ . Other crystallographic orientations besides those specifically mentioned may also be used in the present application.
  • the base substrate 102 and/or the SOI layer (not shown) may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the SOI layer may be a single crystalline semiconductor material.
  • the SOI layer (not shown) may be processed to include semiconductor regions having different crystal orientations. Methods for forming the SOI layer are well known in the art.
  • Non-limiting examples include SIMOX (Separation by Implantation of Oxygen), wafer bonding, and ELTRAN® (Epitaxial Layer TRANsfer).
  • the SOI layer may include a thickness ranging from about 5 nm to about 100 nm that may be entirely consumed during patterning of the silicon fins 120 as described in detail below.
  • the silicon fins 120 may be formed from the SOI layer (not shown) of the SOI substrate 108 . More specifically, the silicon fins 120 may be etched from the SOI layer (not shown) using typical photolithography techniques, such as for example: sidewall image transfer (SIT). The SOI layer may be entirely consumed during the process of forming the silicon fins 120 . In one embodiment, the silicon fins 120 may have a height of about 4 nm to about 60 nm, and a width of about 2 nm to about 20 nm. It should be noted that any number of silicon fins applicable for a specific FinFET design may be manufactured.
  • a SiGe layer 130 may be formed on sidewalls of the silicon fins 120 .
  • the SiGe layer 130 may be formed utilizing any epitaxial growth (or deposition) process.
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface.
  • an epitaxial semiconductor material may have the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a ⁇ 100 ⁇ surface will take on a ⁇ 100 ⁇ orientation.
  • epitaxial growth and/or deposition processes may be selective to forming on semiconductor surfaces, and may not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth process apparatuses that are suitable for use in forming the SiGe layer 130 may include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE).
  • RTCVD rapid thermal chemical vapor deposition
  • LEPD low-energy plasma deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MBE molecular beam epitaxy
  • the temperature for epitaxial deposition process for forming the SiGe layer 130 typically ranges from about 550° C. to about 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • a number of different source gases may be used for the deposition of the SiGe layer 130 .
  • a combination of a silicon source gas and a germanium source gas may be used in forming the layer of silicon germanium alloy.
  • silicon source gases include silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane, and combinations thereof.
  • germanium source gases examples include germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane, and combinations thereof.
  • a single source gas that includes a silicon component and a germanium component may be used in forming the SiGe layer 130 .
  • Carrier gases like hydrogen, nitrogen, helium, and argon may be used during the epitaxial growth process.
  • a hardmask layer (not shown) may be positioned atop the silicon fins 120 before forming the SiGe layer 130 to prevent the formation of silicon-germanium on a top surface of the silicon fins 120 .
  • the process of placing a protective hardmask layer on the top surface of the silicon fins 120 is well-known to those skilled in the art and may include forming a protective cap of a dielectric material (not shown).
  • the SiGe layer 130 may include an elevated concentration of germanium atoms.
  • the atomic concentration of Ge in the SiGe layer 130 may range from about 30% to about 80%. In another embodiment, the concentration of Ge may range from about 40% to about 60%.
  • the SiGe layer 130 may have a uniform thickness ranging from about 5 nm to about 20 nm and ranges there between, although a thickness less than 5 nm and greater than 20 nm may be acceptable. In one particular embodiment, the SiGe layer 130 may have a thickness of approximately 10 nm.
  • the semiconductor structure 100 may be subjected to a thermal annealing treatment to cause diffusion of germanium atoms from the SiGe layer 130 into the silicon fins 120 and form graded SiGe fins 132 .
  • the thermal diffusion process may be performed at a temperature high enough to cause diffusion of germanium atoms out of the SiGe layer 130 and into the silicon fins 120 .
  • the thermal diffusion of germanium atoms may take place under controlled conditions of temperature and time in order to avoid homogenization of germanium atoms in the silicon fins 120 .
  • the thermal diffusion process may be performed at a temperature varying from about 800° C. to about 1150 ° C., for about 1 min to about 30 minutes.
  • the thermal diffusion process may be carried out at a single targeted temperature, or various ramp and soak cycles using various ramp rates and soak times may be employed.
  • the initial concentration of germanium atoms in the SiGe layer 130 , the annealing temperature and time may determine the percentage of germanium atoms and the germanium concentration gradient in the graded SiGe fins 132 .
  • a SiGe layer 130 with a germanium concentration of 80% subjected to an annealing temperature of 1100° C. during approximately 30 min may drive numerous germanium atoms to the silicon fins 120 ( FIG. 2 ) resulting in the graded SiGe fins 132 having a steep germanium concentration gradient with a substantially high germanium concentration even at the end of the diffusion process.
  • a similar behavior may be observed with an initial germanium concentration of approximately 40% in the SiGe layer 130 ; however the final germanium concentration in the graded SiGe fins 132 may be less with a lower germanium concentration gradient. Conversely, if the thermal annealing treatment is conducted for a shorter period of time, the germanium profile obtained in the graded SiGe fins 132 may exhibit a high germanium concentration on the outside of the graded SiGe fins 132 that may drop quickly towards the inner part of the graded SiGe fins 132 .
  • the controlled inter-diffusion of silicon and germanium atoms between the SiGe layer 130 and the silicon fin 120 may cause a gradient concentration profile of germanium atoms in a direction perpendicular to the current flow.
  • current generally flows along a length of the graded SiGe fins 132 , and the gradation of germanium concentration extends from an interface between the graded SiGe fins 132 and a subsequently formed gate structure in a direction perpendicular to the direction of the current.
  • the elevated germanium concentration in the SiGe layer 130 and the temperature and time conditions during the thermal treatment may enable a rapid diffusion of germanium atoms into the silicon fins 120 ( FIG. 2 ), favoring the formation of the graded SiGe fins 132 .
  • Inter-diffusion may occur when germanium atoms, activated by the high temperature, migrate from a region containing a high germanium concentration (such as the SiGe layer 130 ) to a region of low (or zero) concentration of germanium atoms (such as the silicon fins 120 shown in FIG. 2 ).
  • a high germanium concentration such as the SiGe layer 130
  • a region of low (or zero) concentration of germanium atoms such as the silicon fins 120 shown in FIG. 2 .
  • the atomic concentration of germanium in the graded SiGe fins 132 after the thermal diffusion may range from about 25% to about 45%.
  • the atomic concentration of germanium in the SiGe layer 130 may have decreased after the thermal diffusion process, however it may still be high enough to allow for the selective removal of the SiGe layer 130 with respect to the graded SiGe fins 132 .
  • the SiGe layer 130 may be removed from the graded SiGe fins 132 in order to keep the dimensions of the graded SiGe fins 132 similar to the initial dimensions of the silicon fins 120 described above in conjunction with FIG. 1 . Owing to the still elevated concentration of germanium atoms within the SiGe layer 130 , it may be selectively removed from the graded SiGe fins 132 by means of any suitable etching technique known in the art, such as for example: gaseous HCl etch or wet etch with tetramethylammonium hydroxide (TMAH). In one embodiment, the HCl gas etch may be conducted in the epitaxial reactor. In one embodiment the deposition of the SiGe layer 130 , the thermal treatment, and removal of the SiGe layer 130 may be performed in the same epitaxy reactor without taking the wafer out of the reactor.
  • TMAH tetramethylammonium hydroxide
  • the graded SiGe fins 132 may have substantially similar width and height than the silicon fins 120 ( FIG. 1 ) so that there may not be size differences between the fins of a p-FET device and the fins of an n-FET device.
  • the SiGe layer 130 may not need to be removed from the graded SiGe fins 132 in order to keep the width of the graded SiGe fins 132 substantially similar to the dimensions of the silicon fins 120 ( FIG. 1 ). In all cases, the width (w) of the graded SiGe fins 132 may be reduced to the desired dimension.
  • the graded SiGe fins 132 may exhibit a graded germanium concentration profile consisting of several sub-layers with varying concentration of germanium atoms that may increase towards the outer edges of the graded fins 132 and decrease towards the center of the graded SiGe fins 132 .
  • This distribution of germanium atoms within the graded fins 132 may cause holes to be as near as possible to the subsequently formed gate structure improving short channel effect control.
  • An alternate way to fabricate a graded SiGe channel may include growing the SiGe layer 130 on a substantially thinner fin. Another embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 6-7 .
  • the width of the silicon fins 120 may be reduced by means of any etching technique known in the art to form thinned silicon fins 140 prior to the deposition of a SiGe layer 130 ( FIG. 7 ).
  • the width of the silicon fins 120 may be reduced from approximately 10 nm to approximately 4 nm by means of an in-situ hydrochloric acid (HCl) etch technique.
  • a SiGe layer 130 may be epitaxially grown on sidewalls of the thinned silicon fins 140 to form graded SiGe fins 142 .
  • the SiGe layer 130 may include an epitaxial silicon-germanium material grown with a layered concentration of Ge atoms or an epitaxial silicon-germanium material with a continuously increasing concentration of Ge.
  • the process of forming the SiGe layer 130 on sidewalls of the thinned silicon fins 140 may follow the steps described above in FIG. 2 .
  • the layered or graded germanium concentration may be achieved by varying the amount of germanium atoms in the source gas described in FIG. 2 .
  • the reduction of the silicon fins 120 and the formation of the SiGe layer 130 on sidewalls of the thinned silicon fins 140 may be conducted taking into account the final width (w) of the graded SiGe fins 142 .
  • the width of the graded SiGe fins 142 may be kept approximately in the 10 nm range.
  • the dimensions of the graded SiGe fins 142 may be substantially similar to the dimensions of the silicon fins 120 shown in FIG. 1 so that there are no size differences between fins of p-FET and n-FET devices.
  • the steps described in FIGS. 6-7 represent an alternative method of forming graded SiGe fins to the one described in FIGS. 1-5 .
  • Both methods may provide graded SiGe fins with higher germanium concentration towards outer edges of the graded SiGe fins (as shown in FIG. 5 ) defining a high hole mobility region in the vicinity of a subsequently formed gate structure.
  • This translates into a p-FET device having a graded SiGe channel region in which the concentration of germanium atoms may increase perpendicular to the current flow.
  • the higher concentration of germanium atoms near the subsequently formed gate structure may prevent current leakage and improve device performance.
  • FIGS. 8-14 a sequence of processing steps for fabricating a SiGe channel in an alternate exemplary embodiment of the present disclosure is presented. More specifically, the alternate exemplary embodiment will focus on the fabrication of a p-type extremely thin semiconductor-on-insulator (ETSOI) field-effect transistor (FET) device. However, the sequence of processing steps may be equally appropriate for p-type FinFET devices.
  • ESOI extremely thin semiconductor-on-insulator
  • FET field-effect transistor
  • One way to fabricate a graded SiGe channel may include diffusing germanium atoms into a Si channel region from a SiGe layer.
  • One embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 8-12 .
  • the ETSOI substrate 208 may include a base substrate 102 , a buried dielectric layer 104 formed on top of the base substrate 102 , and a SOI layer 106 formed on top of the buried dielectric layer 104 .
  • the SOI layer 106 may include an extremely thin semiconductor-on-insulator (ETSOI) layer.
  • the ETSOI layer 106 may have a thickness ranging from about 3 nm to about 20 nm. In one embodiment, the thickness of the ETSOI layer 106 may range from about 4 nm to about 10 nm.
  • the overall fabrication of the ETSOI substrate 208 may be analogous to the fabrication of the SOI substrate 108 described above in FIG. 1 .
  • a SiGe layer 230 may be formed above the ETSOI layer 106 .
  • the SiGe layer 230 may be formed utilizing any epitaxial growth (or deposition) process.
  • epitaxial growth and/or deposition and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface.
  • an epitaxial semiconductor material may have the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a ⁇ 100 ⁇ crystal surface will take on a ⁇ 100 ⁇ orientation.
  • epitaxial growth and/or deposition processes may be selective to forming on semiconductor surfaces, and may not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth process apparatuses that are suitable for use in forming the SiGe layer 230 may include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE).
  • RTCVD rapid thermal chemical vapor deposition
  • LEPD low-energy plasma deposition
  • UHVCVD ultra-high vacuum chemical vapor deposition
  • APCVD atmospheric pressure chemical vapor deposition
  • MBE molecular beam epitaxy
  • the temperature for epitaxial deposition process for forming the SiGe layer 230 typically ranges from about 550° C. to about 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • a number of different source gases may be used for the deposition of the SiGe layer 230 .
  • a combination of a silicon source gas and a germanium source gas may be used in forming the layer of silicon germanium alloy.
  • silicon source gases include silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane and combinations thereof.
  • germanium source gases examples include germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof.
  • a single source gas that includes a silicon component and a germanium component may be used in forming the SiGe layer 230 .
  • Carrier gases like hydrogen, nitrogen, helium and argon may be used during the epitaxial growth process.
  • the SiGe layer 230 may have an elevated concentration of germanium atoms.
  • the atomic concentration of Ge in the SiGe layer 230 may range from about 30% to about 80%. In another embodiment, the concentration of Ge may range from about 40% to about 60%.
  • the SiGe layer 230 may have a uniform thickness ranging from about 5 nm to about 20 nm and ranges there between, although a thickness less than 5 nm and greater than 20 nm may be acceptable. In one particular embodiment, the SiGe layer 230 may have a thickness of approximately 6 nm.
  • the semiconductor structure 200 may be subjected to a thermal annealing treatment to cause the diffusion of germanium atoms from the SiGe layer 230 into the ETSOI layer 106 ( FIG. 9 ).
  • the thermal diffusion process may be performed at a temperature high enough to cause diffusion of germanium atoms out of the SiGe layer 230 and into the ETSOI layer 106 ( FIG. 9 ).
  • the thermal diffusion of germanium atoms may take place under controlled conditions of temperature and time in order to avoid homogenization of germanium atoms in the ETSOI layer 106 ( FIG. 9 ).
  • the thermal diffusion process may be performed at a temperature varying from about 800° C. to about 1150° C., for about 1 min to about 30 min.
  • the thermal difffusion process may be carried out at a single targeted temperature, or various ramp and soak cycles using various ramp rates and soak times may be employed.
  • the higher concentration of germanium in the SiGe layer 230 may enable a rapid diffusion of germanium atoms into the ETSOI layer 106 ( FIG. 9 ) during the thermal treatment, favoring the subsequent formation of a high gradient SiGe ETSOI layer 232 (hereinafter “graded ETSOI layer”).
  • the overall thickness of the SiGe layer 230 and its corresponding germanium concentration together with the duration and temperature of the thermal treatment may determine the amount of germanium atoms diffusing into the ETSOI layer 106 ( FIG. 9 ) to form the graded ETSOI layer 232 .
  • the atomic concentration of germanium in the graded ETSOI layer 232 after the thermal diffusion may range from about 25% to about 45%.
  • the atomic concentration of germanium in the SiGe layer 230 may have decreased after the thermal diffusion process, however it may still be high enough to allow for the selective removal of the SiGe layer 230 with respect to the graded ETSOI layer 232 .
  • the SiGe layer 230 may be removed from above the graded ETSOI layer 232 in order to keep the dimensions of the graded ETSOI layer 232 similar to the initial dimensions of the ETSOI layer 106 described in FIG. 8 . Owing to the still elevated concentration of germanium atoms within the SiGe layer 230 , it may be selectively removed to the graded ETSOI layer 232 by means of any suitable etching technique known in the art, such as for example: gaseous HCl etch or wet etch with tetramethylammonium hydroxide (TMAH). In one embodiment, the HCl gas etch may be conducted in the epitaxial reactor. In one embodiment the deposition of the SiGe layer 230 , the thermal treatment, and removal of the SiGe layer 230 may be performed in the same epitaxy reactor without taking the wafer out of the reactor.
  • TMAH tetramethylammonium hydroxide
  • the graded ETSOI layer 232 may have substantially similar thickness than the ETSOI layer 106 ( FIG. 8 ) so that there may not be size differences between the ETSOI layer of a p-FET device and the ETSOI layer of an n-FET device.
  • the SiGe layer 230 may not need to be removed from above the graded ETSOI layer 232 in order to keep the thickness of the graded ETSOI layer 232 substantially similar to the dimensions of the ETSOI layer 106 ( FIG. 8 ). In all cases, a thickness (h) of the graded ETSOI layer 242 may be reduced to the desired dimension.
  • the graded ETSOI layer 232 may exhibit a graded germanium concentration profile consisting of several sub-layers with varying concentration of germanium atoms that may increase towards a top portion of the graded ETSOI layer 232 and decrease towards a bottom portion of the graded ETSOI layer 232 .
  • This distribution of germanium atoms within the graded ETSOI layer 232 may cause holes to be as near as possible to a subsequently formed gate structure improving short channel effect control.
  • An alternate way to fabricate a graded SiGe channel in an ETSOI FET device may include growing a SiGe layer 230 on a substantially thinner ETSOI layer. Another embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 13-14 .
  • the thickness of the ETSOI layer 106 may be reduced by means of any etching technique known in the art to form a thinned ETSOI layer 240 .
  • the thickness of the ETSOI layer 106 may be reduced from approximately 6 nm to approximately 2 nm by means of an in-situ hydrochloric acid (HCl) etch technique.
  • a SiGe layer 230 may be epitaxially grown on the thinned ETSOI layer 240 to form a graded ETSOI layer 242 .
  • the SiGe layer 230 may include an epitaxial silicon-germanium material grown with a layered concentration of Ge atoms or an epitaxial silicon-germanium material with a continuously increasing concentration of Ge.
  • the process of forming the SiGe layer 230 above the thinned ETSOI layer 240 may follow the steps described above in FIG. 9 .
  • the layered or graded germanium concentration may be achieved by varying the amount of germanium atoms in the source gas described in FIG. 9 .
  • the reduction of the ETSOI layer 106 FIG.
  • the formation of the SiGe layer 230 above the thinned ETSOI layer 240 may be conducted taking into account the final thickness (h) of the graded ETSOI layer 242 .
  • the thickness of the graded ETSOI layer 242 may be kept approximately in the 6 nm range.
  • the thickness of the graded ETSOI layer 242 may be substantially similar to the thickness of the ETSOI layer 106 shown in FIG. 8 so that there are no size differences between ETSOI layer (an hence channel regions) of p-FET and n-FET devices.
  • FIGS. 8-14 may provide a method of forming an ETSOI FET having a graded SiGe channel.
  • FIGS. 13-14 represent an alternative method of forming a silicon-germanium ETSOI layer with a graded concentration profile of germanium atoms to the one depicted in FIGS. 8-12 . Both methods may provide a graded SiGe channel with higher germanium concentration towards the top portion of the channel region (as shown in FIG. 12 ) defining a high hole mobility region in the vicinity of a subsequently formed gate structure.
  • the high concentration of germanium atoms in the top portion of the channel region, near the subsequently formed gate structure, may prevent current leakage in p-FET devices and as a result improve device performance.
  • the steps described above may provide a method of forming p-FET devices namely planar ETSOI and FinFET devices having a graded SiGe channel region.
  • p-FET devices manufacture following the above processing steps may also exhibit a SiGe channel region with substantially similar proportions to silicon channel regions of n-FET devices formed within the same semiconductor substrate, which may be crucial to effectively control short-channel effect and improve performance in fully depleted MOSFET devices.

Abstract

A method of forming a semiconductor structure includes forming a silicon-germanium layer on a semiconductor region of a substrate having a specific concentration of germanium atoms. The semiconductor region and the silicon-germanium layer are annealed to induce a non-homogenous thermal diffusion of germanium atoms from the silicon-germanium layer into the semiconductor region to form a graded silicon-germanium region. Another method of forming a semiconductor structure includes etching a semiconductor region of the substrate to form a thinned semiconductor region. A silicon-germanium layer is formed on the thinned semiconductor region having a graded germanium concentration profile.

Description

    BACKGROUND
  • The present invention generally relates to semiconductor structures, and more particularly to p-type field effect transistor (p-FET) devices having a graded silicon-germanium channel region, and a method for making the same.
  • Complementary metal-oxide-semiconductor (CMOS) technology is commonly used for fabricating field effect transistors (FETs) as part of advanced integrated circuits (IC), such as CPUs, memory, storage devices, and the like. Depending whether the on-state current is carried by electrons or holes, the FET comes as an n-FET device or a p-FET device. The overall fabrication process may include forming a gate structure over a channel region connecting source-drain regions within a semiconductor substrate on opposite sides of the gate, typically with some vertical overlap between the gate and the source-drain region.
  • An alternative for continued scaling of planar FETs to the 22 nm node and beyond may include the formation of CMOS devices on extremely thin semiconductor-on-insulator (ETSOI) substrates. ETSOI FETs are fully depleted metal-oxide semiconductor field effect transistor (MOSFET) devices having a thin channel region. Currently, fin field effect transistors (FinFETs) are becoming more widely used, primarily because they may offer better performance than planar FETs at the same power budget.
  • FinFETs are three dimensional (3-D), fully depleted MOSFET devices having a fin structure formed from the semiconductor substrate material. The fins may extend between the device source and drain surrounding a channel region forming the bulk of the semiconductor device. The gate structure may be located over the fins covering the channel region. FinFETs architecture may allow for a more precise control of the conducting channel by the gate, significantly reducing the amount of current leakage when the device is in off state.
  • Fully depleted MOSFETs having a silicon-germanium (SiGe) channel region may be considered an effective way to improve device performance. However, SiGe channel MOSFETs including FinFETs and ETSOI FETs, usually exhibit higher current leakage. Current leakage in SiGe channel MOSFETs may be attributed to the fact that devices including a silicon-germanium channel region may have a smaller band gap than devices including a silicon channel region.
  • SUMMARY
  • The ability to manufacture semiconductor devices including a graded silicon-germanium (SiGe) channel may facilitate advancing the capabilities of current fully depleted metal-oxide semiconductor field effect transistor (MOSFET) technology.
  • According to an embodiment of the present disclosure, a method of forming a semiconductor structure includes: forming a silicon-germanium layer on a semiconductor region of a substrate. The silicon-germanium layer has a specific concentration of germanium atoms. The semiconductor region and the silicon-germanium layer are annealed to induce a non-homogenous thermal diffusion of germanium atoms from the silicon-germanium layer into the semiconductor region to form a graded silicon-germanium region.
  • According to another embodiment of the present disclosure, a method of forming a semiconductor structure includes: etching a semiconductor region of a substrate to form a thinned semiconductor region. A silicon-germanium layer is formed on the thinned semiconductor region. The silicon-germanium layer has a graded germanium concentration profile.
  • According to another embodiment of the present disclosure, a semiconductor structure includes: a graded silicon-germanium semiconductor region on a semiconductor substrate. The graded silicon-germanium region includes a concentration of germanium atoms increasing towards a gate structure formed above a portion of the graded silicon-germanium region.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The following detailed description, given by way of example and not intended to limit the invention solely thereto, will best be appreciated in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross-sectional view of a semiconductor structure depicting a plurality of silicon fins formed from a SOI substrate, according to an embodiment of the present disclosure;
  • FIG. 2 is a cross-sectional view of a semiconductor structure depicting the formation of a silicon-germanium layer on sidewalls of the silicon fins, according to an embodiment of the present disclosure;
  • FIG. 3 is a cross-sectional view of a semiconductor structure depicting the formation of graded silicon-germanium fins, according to an embodiment of the present disclosure;
  • FIG. 4 is a cross-sectional view of a semiconductor structure depicting the removal of the silicon-germanium layer from the graded silicon-germanium fins, according to an embodiment of the present disclosure;
  • FIG. 5 is a plot depicting a germanium concentration profile in the graded silicon-germanium fins, according to an embodiment of the present disclosure;
  • FIG. 6 is a cross-sectional view of a semiconductor structure depicting etching of silicon fins, according to an embodiment of the present disclosure;
  • FIG. 7 is a cross-sectional view of a semiconductor structure depicting an alternate method of forming graded silicon-germanium fins, according to an embodiment of the present disclosure;
  • FIG. 8 is a cross-sectional view of a semiconductor structure depicting an ETSOI substrate, according to an embodiment of the present disclosure;
  • FIG. 9 is a cross-sectional view of a semiconductor structure depicting the formation of a silicon-germanium layer on an ETSOI layer of the ETSOI substrate, according to an embodiment of the present disclosure;
  • FIG. 10 is a cross-sectional view of a semiconductor structure depicting the formation of a graded silicon-germanium ETSOI layer, according to an embodiment of the present disclosure;
  • FIG. 11 is a cross-sectional view of a semiconductor structure depicting the removal of the silicon-germanium layer from the graded silicon-germanium ETSOI layer, according to an embodiment of the present disclosure;
  • FIG. 12 is a plot depicting a germanium concentration profile in the graded ETSOI layer, according to an embodiment of the present disclosure;
  • FIG. 13 is a cross-sectional view of a semiconductor structure depicting etching of the ETSOI layer as an alternate method of forming the graded ETSOI layer, according to an embodiment of the present disclosure; and
  • FIG. 14 is a cross-sectional view of a semiconductor structure depicting a graded silicon-germanium ETSOI layer formed by an alternate method, according to an embodiment of the present disclosure.
  • The drawings are not necessarily to scale. The drawings are merely schematic representations, not intended to portray specific parameters of the invention. The drawings are intended to depict only typical embodiments of the invention. In the drawings, like numbering represents like elements.
  • DETAILED DESCRIPTION
  • Exemplary embodiments now will be described more fully herein with reference to the accompanying drawings, in which exemplary embodiments are shown. This invention may, however, be modified in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these exemplary embodiments are provided so that this disclosure will be thorough and complete and will fully convey the scope of this invention to those skilled in the art. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
  • A method of forming a semiconductor structure including a silicon-germanium (SiGe) channel region with a graded concentration of germanium atoms (hereinafter “graded SiGe channel”) is described in detail below by referring to the accompanying drawings in FIGS. 1-14, in accordance with an illustrative embodiment of the present disclosure. The method may provide a semiconductor structure having a SiGe channel region with a germanium (Ge) concentration gradient that may suit p-FETs workfunction and in turn enhance current leakage control and improve device performance without altering the dimensions of the channel region.
  • One way to fabricate a graded SiGe channel may include diffusing germanium atoms into a silicon (Si) channel region from a SiGe layer. One embodiment by which to fabricate the graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 1-5. More specifically, the present embodiment will focus on the fabrication of a p-type FinFET device
  • It should be noted that commonly in CMOS technology a p-FET device may be formed in a p-FET region of the semiconductor substrate simultaneously with an n-FET device in an n-FET region of the same semiconductor substrate, and that both p-FET and n-FET devices may be used together in an integrated circuit. The p-FET and n-FET combination may find applications in analog or digital circuits. Typically, p-FET and n-FET devices may be separated from one another both physically and electrically by isolation regions. In the figures, only the p-FET region of the SOI substrate will be shown. It may be understood by a person skilled in the art that the n-FET region of the SOI substrate may be covered by a hardmask layer during formation of the graded SiGe channel. The steps involved in masking the n-FET region are conventional and well known to those skilled in the art.
  • Referring now to FIG. 1, a semiconductor structure 100 including a semiconductor-on-insulator (SOI) substrate 108 is shown. At this point of the fabrication process, a plurality of silicon fins 120 have been patterned and formed on the SOI substrate 108. It may be understood that the silicon fins 120 may be formed in any semiconductor substrate know to a person having ordinary skill in the art, including but not limited to SOI substrates and bulk silicon substrates. Also, it may be understood that while this description refers to some components of the semiconductor structure 100 in the singular tense, more than one component may be depicted throughout the figures and like components are labeled with like numerals.
  • The SOI substrate 108 employed in the present embodiment may include a base substrate 102, a buried dielectric layer 104 formed on top of the base substrate 102, and a SOI layer (not shown) formed on top of the buried dielectric layer 104. The buried dielectric layer 104 isolates the SOI layer from the base substrate 102. The base substrate 102 may be made from any of several known semiconductor materials such as, for example, silicon, germanium, silicon-germanium alloy, silicon carbide, silicon-germanium carbide alloy, and compound (e.g. III-V and II-VI) semiconductor materials. Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide. Typically the base substrate 102 may be about, but is not limited to, several hundred microns thick. For example, the base substrate 102 may include a thickness ranging from approximately 0.5 mm to approximately 1.5 mm.
  • The buried dielectric layer 104 may be formed from any of several known dielectric materials. Non-limiting examples include, for example, oxides, nitrides and oxynitrides of silicon. Oxides, nitrides and oxynitrides of other elements are also envisioned. In addition, the buried dielectric layer 104 may include crystalline or non-crystalline dielectric material. Moreover, the buried dielectric layer 104 may be formed using any of several known methods. Non-limiting examples include ion implantation methods, thermal or plasma oxidation or nitridation methods, chemical vapor deposition methods and physical vapor deposition methods. The buried dielectric layer 104 may include a thickness ranging from approximately 10 nm to approximately 500 nm. In one embodiment, the buried dielectric layer 104 may be approximately 145 nm thick.
  • The SOI layer (not shown) and the base substrate 102 may have the same or different crystal orientation. For example, the crystal orientation of the base substrate 102 and/or the SOI layer (not shown) may be {100}, {110}, or {111}. Other crystallographic orientations besides those specifically mentioned may also be used in the present application. The base substrate 102 and/or the SOI layer (not shown) may be a single crystalline semiconductor material, a polycrystalline material, or an amorphous material. Typically, at least the SOI layer may be a single crystalline semiconductor material. In some embodiments, the SOI layer (not shown) may be processed to include semiconductor regions having different crystal orientations. Methods for forming the SOI layer are well known in the art. Non-limiting examples include SIMOX (Separation by Implantation of Oxygen), wafer bonding, and ELTRAN® (Epitaxial Layer TRANsfer). The SOI layer may include a thickness ranging from about 5 nm to about 100 nm that may be entirely consumed during patterning of the silicon fins 120 as described in detail below.
  • With continued reference to FIG. 1, the silicon fins 120 may be formed from the SOI layer (not shown) of the SOI substrate 108. More specifically, the silicon fins 120 may be etched from the SOI layer (not shown) using typical photolithography techniques, such as for example: sidewall image transfer (SIT). The SOI layer may be entirely consumed during the process of forming the silicon fins 120. In one embodiment, the silicon fins 120 may have a height of about 4 nm to about 60 nm, and a width of about 2 nm to about 20 nm. It should be noted that any number of silicon fins applicable for a specific FinFET design may be manufactured.
  • Referring now to FIG. 2, a SiGe layer 130 may be formed on sidewalls of the silicon fins 120. The SiGe layer 130 may be formed utilizing any epitaxial growth (or deposition) process. The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material may have the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a {100} surface will take on a {100} orientation. In some embodiments, epitaxial growth and/or deposition processes may be selective to forming on semiconductor surfaces, and may not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth process apparatuses that are suitable for use in forming the SiGe layer 130 may include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition process for forming the SiGe layer 130 typically ranges from about 550° C. to about 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • A number of different source gases may be used for the deposition of the SiGe layer 130. In one embodiment, a combination of a silicon source gas and a germanium source gas may be used in forming the layer of silicon germanium alloy. Examples of silicon source gases that may be used include silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane, and combinations thereof. Examples of germanium source gases that may be used include germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane, and combinations thereof. In some embodiments, a single source gas that includes a silicon component and a germanium component may be used in forming the SiGe layer 130. Carrier gases like hydrogen, nitrogen, helium, and argon may be used during the epitaxial growth process.
  • Alternatively, a hardmask layer (not shown) may be positioned atop the silicon fins 120 before forming the SiGe layer 130 to prevent the formation of silicon-germanium on a top surface of the silicon fins 120. The process of placing a protective hardmask layer on the top surface of the silicon fins 120 is well-known to those skilled in the art and may include forming a protective cap of a dielectric material (not shown).
  • In one embodiment of the present disclosure, the SiGe layer 130 may include an elevated concentration of germanium atoms. The atomic concentration of Ge in the SiGe layer 130 may range from about 30% to about 80%. In another embodiment, the concentration of Ge may range from about 40% to about 60%. The SiGe layer 130 may have a uniform thickness ranging from about 5 nm to about 20 nm and ranges there between, although a thickness less than 5 nm and greater than 20 nm may be acceptable. In one particular embodiment, the SiGe layer 130 may have a thickness of approximately 10 nm.
  • Referring now to FIG. 3, the semiconductor structure 100 may be subjected to a thermal annealing treatment to cause diffusion of germanium atoms from the SiGe layer 130 into the silicon fins 120 and form graded SiGe fins 132. The thermal diffusion process may be performed at a temperature high enough to cause diffusion of germanium atoms out of the SiGe layer 130 and into the silicon fins 120. The thermal diffusion of germanium atoms may take place under controlled conditions of temperature and time in order to avoid homogenization of germanium atoms in the silicon fins 120. In one embodiment, for example, the thermal diffusion process may be performed at a temperature varying from about 800° C. to about 1150 ° C., for about 1 min to about 30 minutes. The thermal diffusion process may be carried out at a single targeted temperature, or various ramp and soak cycles using various ramp rates and soak times may be employed.
  • In general, the initial concentration of germanium atoms in the SiGe layer 130, the annealing temperature and time may determine the percentage of germanium atoms and the germanium concentration gradient in the graded SiGe fins 132. For example, a SiGe layer 130 with a germanium concentration of 80% subjected to an annealing temperature of 1100° C. during approximately 30 min may drive numerous germanium atoms to the silicon fins 120 (FIG. 2) resulting in the graded SiGe fins 132 having a steep germanium concentration gradient with a substantially high germanium concentration even at the end of the diffusion process. A similar behavior may be observed with an initial germanium concentration of approximately 40% in the SiGe layer 130; however the final germanium concentration in the graded SiGe fins 132 may be less with a lower germanium concentration gradient. Conversely, if the thermal annealing treatment is conducted for a shorter period of time, the germanium profile obtained in the graded SiGe fins 132 may exhibit a high germanium concentration on the outside of the graded SiGe fins 132 that may drop quickly towards the inner part of the graded SiGe fins 132.
  • The controlled inter-diffusion of silicon and germanium atoms between the SiGe layer 130 and the silicon fin 120 may cause a gradient concentration profile of germanium atoms in a direction perpendicular to the current flow. Stated differently, current generally flows along a length of the graded SiGe fins 132, and the gradation of germanium concentration extends from an interface between the graded SiGe fins 132 and a subsequently formed gate structure in a direction perpendicular to the direction of the current. The elevated germanium concentration in the SiGe layer 130 and the temperature and time conditions during the thermal treatment may enable a rapid diffusion of germanium atoms into the silicon fins 120 (FIG. 2), favoring the formation of the graded SiGe fins 132. Inter-diffusion may occur when germanium atoms, activated by the high temperature, migrate from a region containing a high germanium concentration (such as the SiGe layer 130) to a region of low (or zero) concentration of germanium atoms (such as the silicon fins 120 shown in FIG. 2).
  • In one embodiment, the atomic concentration of germanium in the graded SiGe fins 132 after the thermal diffusion may range from about 25% to about 45%. At this step of the fabrication process, the atomic concentration of germanium in the SiGe layer 130 may have decreased after the thermal diffusion process, however it may still be high enough to allow for the selective removal of the SiGe layer 130 with respect to the graded SiGe fins 132.
  • Referring now to FIG. 4, the SiGe layer 130 may be removed from the graded SiGe fins 132 in order to keep the dimensions of the graded SiGe fins 132 similar to the initial dimensions of the silicon fins 120 described above in conjunction with FIG. 1. Owing to the still elevated concentration of germanium atoms within the SiGe layer 130, it may be selectively removed from the graded SiGe fins 132 by means of any suitable etching technique known in the art, such as for example: gaseous HCl etch or wet etch with tetramethylammonium hydroxide (TMAH). In one embodiment, the HCl gas etch may be conducted in the epitaxial reactor. In one embodiment the deposition of the SiGe layer 130, the thermal treatment, and removal of the SiGe layer 130 may be performed in the same epitaxy reactor without taking the wafer out of the reactor.
  • The graded SiGe fins 132 may have substantially similar width and height than the silicon fins 120 (FIG. 1) so that there may not be size differences between the fins of a p-FET device and the fins of an n-FET device. In some embodiments, the SiGe layer 130 may not need to be removed from the graded SiGe fins 132 in order to keep the width of the graded SiGe fins 132 substantially similar to the dimensions of the silicon fins 120 (FIG. 1). In all cases, the width (w) of the graded SiGe fins 132 may be reduced to the desired dimension.
  • Referring now to FIG. 5, the variation of germanium concentration with a width (w) of the graded SiGe fins 132 (shown in FIG. 4) is illustrated. The graded SiGe fins 132 may exhibit a graded germanium concentration profile consisting of several sub-layers with varying concentration of germanium atoms that may increase towards the outer edges of the graded fins 132 and decrease towards the center of the graded SiGe fins 132. This distribution of germanium atoms within the graded fins 132 may cause holes to be as near as possible to the subsequently formed gate structure improving short channel effect control.
  • An alternate way to fabricate a graded SiGe channel may include growing the SiGe layer 130 on a substantially thinner fin. Another embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 6-7.
  • Referring now to FIG. 6, an alternate embodiment of the present disclosure is shown. In this embodiment, the width of the silicon fins 120 (shown in FIG. 1) may be reduced by means of any etching technique known in the art to form thinned silicon fins 140 prior to the deposition of a SiGe layer 130 (FIG. 7). In one embodiment, for example, the width of the silicon fins 120 (FIG. 1) may be reduced from approximately 10 nm to approximately 4 nm by means of an in-situ hydrochloric acid (HCl) etch technique.
  • Referring now to FIG. 7, a SiGe layer 130 may be epitaxially grown on sidewalls of the thinned silicon fins 140 to form graded SiGe fins 142. In one embodiment, the SiGe layer 130 may include an epitaxial silicon-germanium material grown with a layered concentration of Ge atoms or an epitaxial silicon-germanium material with a continuously increasing concentration of Ge. The process of forming the SiGe layer 130 on sidewalls of the thinned silicon fins 140 may follow the steps described above in FIG. 2. The layered or graded germanium concentration may be achieved by varying the amount of germanium atoms in the source gas described in FIG. 2. The reduction of the silicon fins 120 and the formation of the SiGe layer 130 on sidewalls of the thinned silicon fins 140 may be conducted taking into account the final width (w) of the graded SiGe fins 142. In one embodiment, the width of the graded SiGe fins 142 may be kept approximately in the 10 nm range. As discussed above, the dimensions of the graded SiGe fins 142 may be substantially similar to the dimensions of the silicon fins 120 shown in FIG. 1 so that there are no size differences between fins of p-FET and n-FET devices.
  • The steps described in FIGS. 6-7 represent an alternative method of forming graded SiGe fins to the one described in FIGS. 1-5. Both methods may provide graded SiGe fins with higher germanium concentration towards outer edges of the graded SiGe fins (as shown in FIG. 5) defining a high hole mobility region in the vicinity of a subsequently formed gate structure. This translates into a p-FET device having a graded SiGe channel region in which the concentration of germanium atoms may increase perpendicular to the current flow. The higher concentration of germanium atoms near the subsequently formed gate structure may prevent current leakage and improve device performance.
  • Referring now to FIGS. 8-14, a sequence of processing steps for fabricating a SiGe channel in an alternate exemplary embodiment of the present disclosure is presented. More specifically, the alternate exemplary embodiment will focus on the fabrication of a p-type extremely thin semiconductor-on-insulator (ETSOI) field-effect transistor (FET) device. However, the sequence of processing steps may be equally appropriate for p-type FinFET devices.
  • One way to fabricate a graded SiGe channel may include diffusing germanium atoms into a Si channel region from a SiGe layer. One embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 8-12.
  • Referring now to FIG. 8, a semiconductor structure 200 including an extremely thin semiconductor-on-insulator (ETSOI) substrate 208 is shown. Similarly to the SOI substrate 108 described above, the ETSOI substrate 208 may include a base substrate 102, a buried dielectric layer 104 formed on top of the base substrate 102, and a SOI layer 106 formed on top of the buried dielectric layer 104. In one embodiment, the SOI layer 106 may include an extremely thin semiconductor-on-insulator (ETSOI) layer. The ETSOI layer 106 may have a thickness ranging from about 3 nm to about 20 nm. In one embodiment, the thickness of the ETSOI layer 106 may range from about 4 nm to about 10 nm. The overall fabrication of the ETSOI substrate 208 may be analogous to the fabrication of the SOI substrate 108 described above in FIG. 1.
  • Referring now to FIG. 9, a SiGe layer 230 may be formed above the ETSOI layer 106. The SiGe layer 230 may be formed utilizing any epitaxial growth (or deposition) process. The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” mean the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxial semiconductor material may have the same crystalline characteristics as the deposition surface on which it is formed. For example, an epitaxial semiconductor material deposited on a {100} crystal surface will take on a {100} orientation. In some embodiments, epitaxial growth and/or deposition processes may be selective to forming on semiconductor surfaces, and may not deposit material on dielectric surfaces, such as silicon dioxide or silicon nitride surfaces.
  • Examples of various epitaxial growth process apparatuses that are suitable for use in forming the SiGe layer 230 may include, for example, rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition process for forming the SiGe layer 230 typically ranges from about 550° C. to about 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
  • A number of different source gases may be used for the deposition of the SiGe layer 230. In one embodiment, a combination of a silicon source gas and a germanium source gas may be used in forming the layer of silicon germanium alloy. Examples of silicon source gases that may be used include silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane and combinations thereof. Examples of germanium source gases that may be used include germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof. In some embodiments, a single source gas that includes a silicon component and a germanium component may be used in forming the SiGe layer 230. Carrier gases like hydrogen, nitrogen, helium and argon may be used during the epitaxial growth process.
  • In one embodiment of the present disclosure, the SiGe layer 230 may have an elevated concentration of germanium atoms. The atomic concentration of Ge in the SiGe layer 230 may range from about 30% to about 80%. In another embodiment, the concentration of Ge may range from about 40% to about 60%. The SiGe layer 230 may have a uniform thickness ranging from about 5 nm to about 20 nm and ranges there between, although a thickness less than 5 nm and greater than 20 nm may be acceptable. In one particular embodiment, the SiGe layer 230 may have a thickness of approximately 6 nm.
  • Referring now to FIG. 10, the semiconductor structure 200 may be subjected to a thermal annealing treatment to cause the diffusion of germanium atoms from the SiGe layer 230 into the ETSOI layer 106 (FIG. 9). The thermal diffusion process may be performed at a temperature high enough to cause diffusion of germanium atoms out of the SiGe layer 230 and into the ETSOI layer 106 (FIG. 9). The thermal diffusion of germanium atoms may take place under controlled conditions of temperature and time in order to avoid homogenization of germanium atoms in the ETSOI layer 106 (FIG. 9). In one embodiment, for example, the thermal diffusion process may be performed at a temperature varying from about 800° C. to about 1150° C., for about 1 min to about 30 min. The thermal difffusion process may be carried out at a single targeted temperature, or various ramp and soak cycles using various ramp rates and soak times may be employed.
  • The higher concentration of germanium in the SiGe layer 230 may enable a rapid diffusion of germanium atoms into the ETSOI layer 106 (FIG. 9) during the thermal treatment, favoring the subsequent formation of a high gradient SiGe ETSOI layer 232 (hereinafter “graded ETSOI layer”). The overall thickness of the SiGe layer 230 and its corresponding germanium concentration together with the duration and temperature of the thermal treatment may determine the amount of germanium atoms diffusing into the ETSOI layer 106 (FIG. 9) to form the graded ETSOI layer 232.
  • In one embodiment, the atomic concentration of germanium in the graded ETSOI layer 232 after the thermal diffusion may range from about 25% to about 45%. At this step of the fabrication process, the atomic concentration of germanium in the SiGe layer 230 may have decreased after the thermal diffusion process, however it may still be high enough to allow for the selective removal of the SiGe layer 230 with respect to the graded ETSOI layer 232.
  • Referring now to FIG. 11, the SiGe layer 230 may be removed from above the graded ETSOI layer 232 in order to keep the dimensions of the graded ETSOI layer 232 similar to the initial dimensions of the ETSOI layer 106 described in FIG. 8. Owing to the still elevated concentration of germanium atoms within the SiGe layer 230, it may be selectively removed to the graded ETSOI layer 232 by means of any suitable etching technique known in the art, such as for example: gaseous HCl etch or wet etch with tetramethylammonium hydroxide (TMAH). In one embodiment, the HCl gas etch may be conducted in the epitaxial reactor. In one embodiment the deposition of the SiGe layer 230, the thermal treatment, and removal of the SiGe layer 230 may be performed in the same epitaxy reactor without taking the wafer out of the reactor.
  • The graded ETSOI layer 232 may have substantially similar thickness than the ETSOI layer 106 (FIG. 8) so that there may not be size differences between the ETSOI layer of a p-FET device and the ETSOI layer of an n-FET device. In some embodiments, the SiGe layer 230 may not need to be removed from above the graded ETSOI layer 232 in order to keep the thickness of the graded ETSOI layer 232 substantially similar to the dimensions of the ETSOI layer 106 (FIG. 8). In all cases, a thickness (h) of the graded ETSOI layer 242 may be reduced to the desired dimension.
  • Referring now to FIG. 12, the variation of germanium concentration with the thickness of the graded ETSOI layer 232 is illustrated. The graded ETSOI layer 232 may exhibit a graded germanium concentration profile consisting of several sub-layers with varying concentration of germanium atoms that may increase towards a top portion of the graded ETSOI layer 232 and decrease towards a bottom portion of the graded ETSOI layer 232. This distribution of germanium atoms within the graded ETSOI layer 232 may cause holes to be as near as possible to a subsequently formed gate structure improving short channel effect control.
  • An alternate way to fabricate a graded SiGe channel in an ETSOI FET device may include growing a SiGe layer 230 on a substantially thinner ETSOI layer. Another embodiment by which to fabricate a graded SiGe channel is described in detail below by referring to the accompanying drawings in FIGS. 13-14.
  • Referring now to FIG. 13, in an alternate embodiment of the present disclosure, the thickness of the ETSOI layer 106 (shown in FIG. 8) may be reduced by means of any etching technique known in the art to form a thinned ETSOI layer 240. In one embodiment, for example, the thickness of the ETSOI layer 106 (FIG. 8) may be reduced from approximately 6 nm to approximately 2 nm by means of an in-situ hydrochloric acid (HCl) etch technique.
  • Referring now to FIG. 14, a SiGe layer 230 may be epitaxially grown on the thinned ETSOI layer 240 to form a graded ETSOI layer 242. In one embodiment, the SiGe layer 230 may include an epitaxial silicon-germanium material grown with a layered concentration of Ge atoms or an epitaxial silicon-germanium material with a continuously increasing concentration of Ge. The process of forming the SiGe layer 230 above the thinned ETSOI layer 240 may follow the steps described above in FIG. 9. The layered or graded germanium concentration may be achieved by varying the amount of germanium atoms in the source gas described in FIG. 9. The reduction of the ETSOI layer 106 (FIG. 8) and the formation of the SiGe layer 230 above the thinned ETSOI layer 240 may be conducted taking into account the final thickness (h) of the graded ETSOI layer 242. In one embodiment, the thickness of the graded ETSOI layer 242 may be kept approximately in the 6 nm range. As discussed above, the thickness of the graded ETSOI layer 242 may be substantially similar to the thickness of the ETSOI layer 106 shown in FIG. 8 so that there are no size differences between ETSOI layer (an hence channel regions) of p-FET and n-FET devices.
  • The processing steps described in FIGS. 8-14 may provide a method of forming an ETSOI FET having a graded SiGe channel. FIGS. 13-14 represent an alternative method of forming a silicon-germanium ETSOI layer with a graded concentration profile of germanium atoms to the one depicted in FIGS. 8-12. Both methods may provide a graded SiGe channel with higher germanium concentration towards the top portion of the channel region (as shown in FIG. 12) defining a high hole mobility region in the vicinity of a subsequently formed gate structure. This translate into a p-type ETSOI FET device having a graded SiGe channel region in which the highest concentration of germanium atoms may be located in a top portion of the channel region while the lowest concentration of germanium atoms may be located in a bottom portion of the channel region. The high concentration of germanium atoms in the top portion of the channel region, near the subsequently formed gate structure, may prevent current leakage in p-FET devices and as a result improve device performance.
  • The steps described above may provide a method of forming p-FET devices namely planar ETSOI and FinFET devices having a graded SiGe channel region. In addition to the advantages of having a graded SiGe channel previously mentioned, p-FET devices manufacture following the above processing steps, may also exhibit a SiGe channel region with substantially similar proportions to silicon channel regions of n-FET devices formed within the same semiconductor substrate, which may be crucial to effectively control short-channel effect and improve performance in fully depleted MOSFET devices.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiment, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (9)

1. A method of forming a semiconductor structure, the method comprising:
forming a silicon-germanium layer on a semiconductor region of a substrate, the silicon-germanium layer including a specific concentration of germanium atoms; and
annealing the semiconductor region of the substrate and the silicon-germanium layer to induce a non-homogenous thermal diffusion of germanium atoms from the silicon-germanium layer into the semiconductor region to form a graded silicon-germanium region. region; and
wherein the silicon-germanium region comprises a plurality of silicon-germanium sub-layers each having a varying concentration of germanium atoms, the concentration of germanium atoms in each silicon germanium sub-layer increases towards an interface between the graded silicon germanium region and the semiconductor region causing holes to be closer to a subsequently formed gate structure such that short channel effect is controlled.
2. The method of claim 1, wherein the specific concentration of germanium atoms ranges from approximately 30% to approximately 80% of germanium.
3. The method of claim 1, wherein the semiconductor region comprises a silicon fin.
4. The method of claim 3, wherein forming the silicon-germanium layer comprises epitaxially growing the silicon germanium layer on opposite sidewalls of the silicon fin.
5. The method of claim 3, wherein annealing the semiconductor region and the silicon-germanium layer comprises diffusing germanium atoms from the silicon-germanium layer into the silicon fin to form a graded silicon-germanium fin.
6. The method of claim 5, wherein forming the graded silicon-germanium fin comprises having a concentration of germanium atoms gradually increasing towards sidewalls of the graded silicon-germanium fin.
7. The method of claim 6, wherein the concentration of germanium atoms gradually increasing towards sidewalls of the graded silicon-germanium fin comprises having an atomic concentration of approximately 25% to 45% of germanium.
8. The method of claim 6, further comprising:
removing the silicon-germanium layer from the graded silicon-germanium fin so that the graded silicon-germanium fin has substantially similar dimensions as the silicon fin.
9-20. (canceled)
US14/221,339 2014-03-21 2014-03-21 P-fet with graded silicon-germanium channel Abandoned US20150270344A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/221,339 US20150270344A1 (en) 2014-03-21 2014-03-21 P-fet with graded silicon-germanium channel
US14/835,832 US10153157B2 (en) 2014-03-21 2015-08-26 P-FET with graded silicon-germanium channel
US14/934,191 US20160064210A1 (en) 2014-03-21 2015-11-06 P-fet with graded silicon-germanium channel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/221,339 US20150270344A1 (en) 2014-03-21 2014-03-21 P-fet with graded silicon-germanium channel

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/835,832 Division US10153157B2 (en) 2014-03-21 2015-08-26 P-FET with graded silicon-germanium channel

Publications (1)

Publication Number Publication Date
US20150270344A1 true US20150270344A1 (en) 2015-09-24

Family

ID=54142886

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/221,339 Abandoned US20150270344A1 (en) 2014-03-21 2014-03-21 P-fet with graded silicon-germanium channel
US14/835,832 Expired - Fee Related US10153157B2 (en) 2014-03-21 2015-08-26 P-FET with graded silicon-germanium channel
US14/934,191 Abandoned US20160064210A1 (en) 2014-03-21 2015-11-06 P-fet with graded silicon-germanium channel

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/835,832 Expired - Fee Related US10153157B2 (en) 2014-03-21 2015-08-26 P-FET with graded silicon-germanium channel
US14/934,191 Abandoned US20160064210A1 (en) 2014-03-21 2015-11-06 P-fet with graded silicon-germanium channel

Country Status (1)

Country Link
US (3) US20150270344A1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150340290A1 (en) * 2012-11-09 2015-11-26 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US9496186B1 (en) 2015-09-17 2016-11-15 International Business Machines Corporation Uniform height tall fins with varying silicon germanium concentrations
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US10074720B2 (en) * 2016-05-20 2018-09-11 International Business Machines Corporation Digital alloy vertical lamellae finfet with current flow in alloy layer direction
US10153157B2 (en) 2014-03-21 2018-12-11 International Business Machines Corporation P-FET with graded silicon-germanium channel
US20190157154A1 (en) * 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a fully strained channel region
CN110187524A (en) * 2018-02-23 2019-08-30 意法半导体(克洛尔2)公司 Photonic device and its manufacturing method
CN111584420A (en) * 2020-05-27 2020-08-25 上海华力集成电路制造有限公司 Method for manufacturing top germanium-silicon layer of FDSOI (fully depleted silicon on insulator)
US20220231158A1 (en) * 2019-10-16 2022-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11961911B2 (en) * 2022-04-04 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including channel regions having non-uniform Ge concentration

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10916633B2 (en) 2018-10-23 2021-02-09 International Business Machines Corporation Silicon germanium FinFET with low gate induced drain leakage current

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004137A (en) 1991-01-10 1999-12-21 International Business Machines Corporation Method of making graded channel effect transistor
JPH0691249B2 (en) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
EP1381088B1 (en) 2001-04-18 2008-03-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6515335B1 (en) 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20060292762A1 (en) 2005-06-22 2006-12-28 Epion Corporation Replacement gate field effect transistor with germanium or SiGe channel and manufacturing method for same using gas-cluster ion irradiation
US7442585B2 (en) 2005-08-30 2008-10-28 International Business Machines Corporation MOSFET with laterally graded channel region and method for manufacturing same
US9209285B2 (en) 2009-09-17 2015-12-08 The Ohio State University Silicon-based tunneling field effect transistors and transistor circuitry employing same
US7993999B2 (en) * 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8211772B2 (en) * 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
JP5544986B2 (en) 2010-04-01 2014-07-09 信越半導体株式会社 Method of manufacturing bonded SOI wafer and bonded SOI wafer
US8900973B2 (en) * 2011-08-30 2014-12-02 International Business Machines Corporation Method to enable compressively strained pFET channel in a FinFET structure by implant and thermal diffusion
US20130099318A1 (en) 2011-10-25 2013-04-25 International Business Machines Corporation Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US20150097217A1 (en) * 2013-10-03 2015-04-09 International Business Machines Corporation Semiconductor attenuated fins
US9236380B2 (en) * 2013-10-10 2016-01-12 Stmicroelectronics, Inc. Semiconductor-on-insulator (SOI) device and related methods for making same using non-oxidizing thermal treatment
US9196710B2 (en) 2014-02-11 2015-11-24 GlobalFoundries, Inc. Integrated circuits with relaxed silicon / germanium fins
US20150270344A1 (en) 2014-03-21 2015-09-24 International Business Machines Corporation P-fet with graded silicon-germanium channel

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9524910B2 (en) * 2012-11-09 2016-12-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US20150340290A1 (en) * 2012-11-09 2015-11-26 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US10153157B2 (en) 2014-03-21 2018-12-11 International Business Machines Corporation P-FET with graded silicon-germanium channel
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US9496186B1 (en) 2015-09-17 2016-11-15 International Business Machines Corporation Uniform height tall fins with varying silicon germanium concentrations
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US10644109B2 (en) 2016-05-20 2020-05-05 International Business Machines Corporation Digital alloy vertical lamellae FinFET with current flow in alloy layer direction
US10074720B2 (en) * 2016-05-20 2018-09-11 International Business Machines Corporation Digital alloy vertical lamellae finfet with current flow in alloy layer direction
US20190157154A1 (en) * 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a fully strained channel region
US10879124B2 (en) * 2017-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a fully strained channel region
CN110187524A (en) * 2018-02-23 2019-08-30 意法半导体(克洛尔2)公司 Photonic device and its manufacturing method
US10823986B2 (en) 2018-02-23 2020-11-03 Stmicroelectronics (Crolles 2) Sas Photonic devices and methods of fabrication thereof
FR3078437A1 (en) * 2018-02-23 2019-08-30 Stmicroelectronics (Crolles 2) Sas JUNCTION PN
US11378827B2 (en) 2018-02-23 2022-07-05 Stmicroelectronics (Crolles 2) Sas Photonic devices and methods of fabrication thereof
US20220231158A1 (en) * 2019-10-16 2022-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and semiconductor devices
CN111584420A (en) * 2020-05-27 2020-08-25 上海华力集成电路制造有限公司 Method for manufacturing top germanium-silicon layer of FDSOI (fully depleted silicon on insulator)
US11961911B2 (en) * 2022-04-04 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including channel regions having non-uniform Ge concentration

Also Published As

Publication number Publication date
US20160064210A1 (en) 2016-03-03
US20150364555A1 (en) 2015-12-17
US10153157B2 (en) 2018-12-11

Similar Documents

Publication Publication Date Title
US10153157B2 (en) P-FET with graded silicon-germanium channel
US10079181B2 (en) P-FET with strained silicon-germanium channel
US11948943B2 (en) Method to induce strain in FINFET channels from an adjacent region
US10396214B2 (en) Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
US9859369B2 (en) Semiconductor device including nanowire transistors with hybrid channels
US10163677B2 (en) Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US8617968B1 (en) Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs)
US20120276695A1 (en) Strained thin body CMOS with Si:C and SiGe stressor
US9224822B2 (en) High percentage silicon germanium alloy fin formation
US8895381B1 (en) Method of co-integration of strained-Si and relaxed Si or strained SiGe FETs on insulator with planar and non-planar architectures
US20150155301A1 (en) SEMICONDUCTOR SUBSTRATE WITH MULTIPLE SiGe REGIONS HAVING DIFFERENT GERMANIUM CONCENTRATIONS BY A SINGLE EPITAXY PROCESS
US9978775B2 (en) FinFET device with abrupt junctions
US9460971B2 (en) Method to co-integrate oppositely strained semiconductor devices on a same substrate
US9583378B2 (en) Formation of germanium-containing channel region by thermal condensation utilizing an oxygen permeable material
US9543302B2 (en) Forming IV fins and III-V fins on insulator
US20160233245A1 (en) Formation of strained fins in a finfet device
US9378952B1 (en) Tall relaxed high percentage silicon germanium fins on insulator
US8802535B2 (en) Doped core trigate FET structure and method
US9209065B1 (en) Engineered substrate and device for co-integration of strained silicon and relaxed silicon

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;KHAKIFIROOZ, ALI;LU, DARSON D.;AND OTHERS;REEL/FRAME:032492/0324

Effective date: 20131212

AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE MISSPELLED FIRST NAME OF ASSIGNOR PREVIOUSLY RECORDED ON REEL 032492 FRAME 0324. ASSIGNOR(S) HEREBY CONFIRMS THE CORRECTIVE ASSIGNMENT TO CORRECT ASSIGNOR NAME TO READ DARSEN D. LU;ASSIGNORS:CHENG, KANGGUO;KHAKIFIROOZ, ALI;LU, DARSEN D.;AND OTHERS;REEL/FRAME:032590/0298

Effective date: 20131212

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052557/0327

Effective date: 20200306