US20150241385A1 - Solid state nanopore devices and methods of manufacture - Google Patents

Solid state nanopore devices and methods of manufacture Download PDF

Info

Publication number
US20150241385A1
US20150241385A1 US14/706,495 US201514706495A US2015241385A1 US 20150241385 A1 US20150241385 A1 US 20150241385A1 US 201514706495 A US201514706495 A US 201514706495A US 2015241385 A1 US2015241385 A1 US 2015241385A1
Authority
US
United States
Prior art keywords
membrane
hole
sacrificial
sacrificial material
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/706,495
Inventor
Yann Astier
Jingwei Bai
Satyavolu Papa Rao
Kathleen Reuter
Joshua T. Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries US 2 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US 2 LLC filed Critical GlobalFoundries US 2 LLC
Priority to US14/706,495 priority Critical patent/US20150241385A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PAPA RAO, SATYAVOLU, ASTIER, YANN, REUTER, KATHLEEN, SMITH, Joshua T., BAI, JINGWEI
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION CORRECTIVE ASSIGNMENT TO CORRECT THE DOCKET NUMBER FROM YOR920130484US2 TO YOR920130484US3 PREVIOUSLY RECORDED ON REEL 035588 FRAME 0916. ASSIGNOR(S) HEREBY CONFIRMS THE DECLARATION (37 C.F.R. 1.63) FOR UTILITY PATENT APPLICATION USING AN APPLICATION DATA SHEET (37 C.F.R. 1.76) AND ASSIGNMENT.. Assignors: PAPA RAO, SATYAVOLU, ASTIER, YANN, REUTER, KATHLEEN, SMITH, Joshua T., BAI, JINGWEI
Publication of US20150241385A1 publication Critical patent/US20150241385A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • B32B3/266Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer characterised by an apertured layer, the apertures going through the whole thickness of the layer, e.g. expanded metal, perforated layer, slit layer regular cells B32B3/12
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • B81B1/002Holes characterised by their shape, in either longitudinal or sectional plane
    • B81B1/004Through-holes, i.e. extending from one face to the other face of the wafer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00087Holes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4146Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS involving nanosized elements, e.g. nanotubes, nanowires
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/483Physical analysis of biological material
    • G01N33/487Physical analysis of biological material of liquid biological material
    • G01N33/48707Physical analysis of biological material of liquid biological material by electrical means
    • G01N33/48721Investigating individual macromolecules, e.g. by translocation through nanopores
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/20Properties of the layers or laminate having particular electrical or magnetic properties, e.g. piezoelectric
    • B32B2307/204Di-electric
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0353Holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet

Definitions

  • the invention relates to solid state nanopore devices and methods of manufacture and, more particularly, to solid state nanopore devices for nanopore applications and methods of manufacture.
  • Solid state nanopore devices have been demonstrated for many potential applications in bio-sensing and other applications. For example, single molecular detection of DNA, RNA, and protein molecules has been realized in solid state nanopore devices. Also, bio-molecule binding affinity has been studied using solid state nanopore devices.
  • a major challenge is that the thin membrane, especially when the membrane thickness is in the sub-10 nm regime, is mechanically fragile while suspending over a micron or tens of micron window size.
  • FETs field effect transistors
  • a method comprises forming a membrane layer on an underlying substrate.
  • the method further comprises forming a hole in the membrane layer.
  • the method further comprises plugging the hole with a sacrificial material.
  • the method further comprises forming a membrane over the sacrificial material.
  • the method further comprises removing the sacrificial material within the hole.
  • the method further comprises drilling an opening in the membrane, aligned with the hole.
  • a method comprises forming a dielectric layer on an underlying substrate.
  • the method further comprises forming a hole in the dielectric layer by lithography and etching processes.
  • the method further comprises plugging the hole with a sacrificial material to form a sacrificial plug.
  • the plugging comprises blanket depositing of an oxide material to a thickness greater than the depth of the hole, and planarizing the oxide material to the surface of the dielectric layer.
  • the method further comprises forming a membrane over the sacrificial plug.
  • the method further comprises removing the sacrificial plug and portions of the underlying substrate.
  • the method further comprises drilling an opening in the membrane, aligned with the hole.
  • a structure comprises: a dielectric layer on an underlying substrate; a hole in the dielectric layer and underlying substrate; and a membrane over the sacrificial plug having an opening suspended and aligned with the hole.
  • a design structure tangibly embodied in a machine readable storage medium for designing, manufacturing, or testing an integrated circuit comprises the structures of the present invention.
  • a hardware description language (HDL) design structure encoded on a machine-readable data storage medium comprises elements that when processed in a computer-aided design system generates a machine-executable representation of the ultrathin membranes for nanopore applications, which comprises the structures of the present invention.
  • a method in a computer-aided design system is provided for generating a functional design model of the ultrathin membranes for nanopore applications. The method comprises generating a functional representation of the structural elements of the ultrathin membranes for nanopore applications.
  • FIGS. 1 a - 1 f show processes and respective structures in accordance with aspects of the present invention
  • FIGS. 2 a - 2 d show processes and respective structures in accordance with additional aspects of the present invention
  • FIGS. 3 a - 3 d show structures in accordance with aspects of the present invention.
  • FIGS. 4 a and 4 b show bio-sensing structures in accordance with aspects of the present invention.
  • FIG. 5 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • the invention relates to solid state nanopore devices and methods of manufacture and, more particularly, to solid state nanopore devices for nanopore applications and methods of manufacture.
  • the present invention is directed to methods of creating an ultra thin membrane suspended over a few hundred nanometer window supported by a thicker membrane which can span over a micron or tens of microns wide window on a Si wafer. In embodiments, this is achieved by forming a thin membrane film on top of a planarized thick membrane film with a sacrificial plug. After removal of the sacrificial plug, a small sized opening can be formed in the membrane file, aligned with the hole formed by the removal of the sacrificial plug.
  • fabrication flows of the present invention allow a thin membrane to span only over a small area, which makes it mechanically strong enough to undergo post process and test procedures. Additionally, the thickness of the membrane is dependent on a formation method (e.g., growth or deposition process), which is better controlled than etching methods currently used to form thin membranes. Moreover, the fabricated membrane of the present invention has a flat top surface, making it compatible with additional functional structures such as FET or tunneling junction electrodes.
  • FIG. 1 a shows a beginning structure and respective processing steps in accordance with aspects of the present invention.
  • the structure 5 of FIG. 1 includes a substrate 10 .
  • the substrate 10 can be, for example, a Si wafer; although other materials are contemplated by the present invention.
  • a thick membrane 12 e.g., dielectric layer, is deposited on the substrate 10 .
  • the dielectric layer 12 can be, for example, SiNx, with thickness of about 20 nm to 500 nm.
  • the dielectric layer 12 can be deposited using any conventionally known deposition method, such as, for example, low-pressure chemical vapor deposition (LPCVD).
  • LPCVD low-pressure chemical vapor deposition
  • a hole 14 is formed within the dielectric layer 12 .
  • the hole 14 can be formed using standard lithography and etching processes.
  • a resist can be applied to the dielectric layer 12 , which is patterned by local exposure to an energy source (e.g., an electron beam).
  • a reactive ion etch (RIE) is performed through the patterned resist to form the hole 14 within the dielectric layer 12 while masking the rest of the dielectric layer 12 .
  • the resist can then be stripped or removed using conventional descum or stripping processes, such as oxygen plasma ashing.
  • the hole can be about 200 nm or less in size; although other dimensions are also contemplated by the present invention.
  • the hole can be any desired shape.
  • the hole 14 is filled with a sacrificial material 16 .
  • the sacrificial material 16 can be, for example, SiO 2 , formed by a blanket CVD process or other conventional processes, e.g., plasma enhanced CVD (PECVD) or atomic layer deposition (ALD).
  • PECVD plasma enhanced CVD
  • ALD atomic layer deposition
  • the thickness of the sacrificial material 16 should be thicker than the depth of the hole 14 .
  • the sacrificial material 16 undergoes a planarization process.
  • the sacrificial material 16 can be planarized using a chemical mechanical planarization (CMP) process to planarize the sacrificial material 16 to the surface of the dielectric layer 12 .
  • CMP chemical mechanical planarization
  • the sacrificial material 16 will plug the hole 14 , resulting in a sacrificial plug 16 a .
  • the CMP process should not cause any significant dishing in the sacrificial plug 16 a , depending on the size of the original hole 14 .
  • an arbitrary thickness of membrane material 18 is deposited on top of the planarized substrate, e.g., sacrificial plug 16 a and dielectric layer 12 .
  • the membrane thickness 18 can be as thin as a few nanometers, depending on the size of the sacrificial plug 16 a .
  • the membrane material 18 can be, for example, SiNx, with thickness of about 10 nm.
  • the membrane material 18 can be blanket deposited using any conventionally known deposition method, e.g., LPCVD.
  • the sacrificial plug 16 a and portions of the underlying substrate 10 are removed using conventional etching techniques, such as RIE or wet etch processes.
  • the underlying substrate 10 and sacrificial plug 16 a can be removed using different etching steps, each of which is selective to the material being etched, e.g., Si material and oxide material.
  • oxide material can be etched using an HF 2 dip or dilute buffered oxide etch (BOE) process.
  • the removal of the sacrificial plug area will result in a hole 20 .
  • the membrane material 18 undergoes a drilling process to open a hole (opening) 22 in the membrane material 18 .
  • the opening 22 is aligned with the hole 20 (resulting from the removal of the oxide material). More specifically, the opening 22 is suspended over the hole 20 , formed in the dielectric layer 12 .
  • the opening 22 can be from 1 nm to about 30 nm in diameter. Functional electrodes with a very narrow gap may be used as a mask to achieve the smaller hole sizes of 1 nm to 5 nm.
  • the opening 22 can be formed by a transmission electron microscopy (TEM) process or focused ion beam (FIB) process, from either the front side or back side of the structure (although formation from the back side is preferred).
  • TEM transmission electron microscopy
  • FIB focused ion beam
  • the TEM process is a microscopy technique whereby a beam of electrons is transmitted through a thin specimen, e.g., membrane material 18 .
  • the opening 22 can be drilled by either process within seconds of beam focus.
  • FIGS. 2 a - 2 d show processes and respective structures in accordance with additional aspects of the present invention. More specifically, starting from the structure of FIG. 1 c , an option sacrificial material 16 b is formed over the planarized substrate, e.g., sacrificial plug 16 a and dielectric layer 12 .
  • the option sacrificial material 16 b can have a thickness of about a few nanometers.
  • the option sacrificial material 16 b can be, for example, SiO 2 or other dielectric material, and is preferably the same material as used for the sacrificial plug 16 a .
  • the option sacrificial material 16 b can be grown and, if necessary, planarized using CMP processes.
  • the option sacrificial material 16 b can be used to minimize edge erosion and possible dishing effect which may occurs to the sacrificial plug 16 a , thereby enabling a flatter surface to be achieved, such as might be necessary for the inclusion of thin function electrodes, for example.
  • an arbitrary thickness of membrane material 18 is deposited on top of the planarized substrate, e.g., option sacrificial material 16 b .
  • the membrane thickness 18 can be as thin as a few nanometers.
  • the membrane material 18 can be, for example, SiNx, with thickness of about 10 nm.
  • the membrane material 18 can be blanket deposited using any conventionally known deposition method, e.g., LPCVD.
  • the sacrificial plug 16 a and portions of the underlying substrate 10 are removed using conventional etching techniques.
  • the underlying substrate 10 and sacrificial plug area 16 a can be removed using different etching steps, each of which is selective to the material being etched, e.g., Si material and oxide material.
  • oxide material can be etched using an HF 2 dip or dilute (BOE).
  • the removal of the sacrificial plug 16 a will result in a hole 20 and undercut (air gap) formation 24 between the membrane material 18 and the dielectric layer 12 .
  • the membrane material 18 undergoes a drilling process to open a hole (opening) 22 in the membrane material 18 .
  • the opening 22 is aligned with the hole 20 (resulting from the removal of the oxide material), and can be about 1 nm to about 30 nm in diameter. Functional electrodes with a very narrow gap may be used as a mask to achieve the smaller hole sizes of 1 nm to 5 nm.
  • the opening 22 is suspended over the hole 20 , and is formed by a transmission electron microscopy (TEM) process or focused ion beam (FIB) process, from either the front side or back side of the structure (although formation from the back side is preferred).
  • TEM transmission electron microscopy
  • FIB focused ion beam
  • FIGS. 3 a - 3 d show various views of the structures fabricated in the processes described above. More specifically, FIGS. 3 a and 3 b show structures fabricated in accordance with the processes described with regard to FIGS. 2 a - 2 d ; whereas, FIGS. 3 c and 3 d show structures fabricated in accordance with the processes described with regard to FIGS. 1 a - 1 f .
  • the dielectric material (dielectric material 12 ) is SiNx, deposited to a thickness of about 50 nm with a locally patterned hole array (holes 14 ), each having a width of about 200 nm.
  • FIG. 3 b shows the undercut 24 ; whereas, FIG. 3 d is devoid of the undercut (due to the fact that a second oxide layer (e.g., layer 16 b ) is not used in the fabrication process).
  • a second oxide layer e.g., layer 16 b
  • FIG. 4 a shows a structure starting from the structure of FIG. 1 f ; whereas, FIG. 4 b shows a structure starting from the structure of FIG. 2 d .
  • FIGS. 4 a and 4 b show a structure 5 ′ and 5 ′′, respectively, with electrodes 26 positioned on both sides of the opening 22 .
  • the electrodes 26 can be fabricated using any conventional metal deposition and patterning processes.
  • metal or metal alloy material e.g., palladium, gold, or other metal
  • the metal can then be patterned by conventional RIE processes, known to those of skill in the art, to form the electrodes 26 .
  • the electrodes 26 can be representative of a FET or tunneling junction electrodes.
  • FIG. 5 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • FIG. 5 shows a block diagram of an exemplary design flow 900 used for example, in semiconductor IC logic design, simulation, test, layout, and manufacture.
  • Design flow 900 includes processes, machines and/or mechanisms for processing design structures or devices to generate logically or otherwise functionally equivalent representations of the design structures and/or devices described above and shown in FIGS. 1 a - 1 f , 2 a - 2 d , 3 a - 3 d , 4 a and 4 b .
  • the design structures processed and/or generated by design flow 900 may be encoded on machine-readable transmission or storage media to include data and/or instructions that when executed or otherwise processed on a data processing system generate a logically, structurally, mechanically, or otherwise functionally equivalent representation of hardware components, circuits, devices, or systems.
  • Machines include, but are not limited to, any machine used in an IC design process, such as designing, manufacturing, or simulating a circuit, component, device, or system.
  • machines may include: lithography machines, machines and/or equipment for generating masks (e.g. e-beam writers), computers or equipment for simulating design structures, any apparatus used in the manufacturing or test process, or any machines for programming functionally equivalent representations of the design structures into any medium (e.g. a machine for programming a programmable gate array).
  • Design flow 900 may vary depending on the type of representation being designed. For example, a design flow 900 for building an application specific IC (ASIC) may differ from a design flow 900 for designing a standard component or from a design flow 900 for instantiating the design into a programmable array, for example a programmable gate array (PGA) or a field programmable gate array (FPGA) offered by Altera® Inc. or Xilinx® Inc.
  • ASIC application specific IC
  • PGA programmable gate array
  • FPGA field programmable gate array
  • FIG. 5 illustrates multiple such design structures including an input design structure 920 that is preferably processed by a design process 910 .
  • Design structure 920 may be a logical simulation design structure generated and processed by design process 910 to produce a logically equivalent functional representation of a hardware device.
  • Design structure 920 may also or alternatively comprise data and/or program instructions that when processed by design process 910 , generate a functional representation of the physical structure of a hardware device. Whether representing functional and/or structural design features, design structure 920 may be generated using electronic computer-aided design (ECAD) such as implemented by a core developer/designer.
  • ECAD electronic computer-aided design
  • design structure 920 When encoded on a machine-readable data transmission, gate array, or storage medium, design structure 920 may be accessed and processed by one or more hardware and/or software modules within design process 910 to simulate or otherwise functionally represent an electronic component, circuit, electronic or logic module, apparatus, device, or system such as those shown in FIGS. 1 a - 1 f , 2 a - 2 d , 3 a - 3 d , 4 a and 4 b .
  • design structure 920 may comprise files or other data structures including human and/or machine-readable source code, compiled structures, and computer-executable code structures that when processed by a design or simulation data processing system, functionally simulate or otherwise represent circuits or other levels of hardware logic design.
  • Such data structures may include hardware-description language (HDL) design entities or other data structures conforming to and/or compatible with lower-level HDL design languages such as Verilog and VHDL, and/or higher level design languages such as C or C++.
  • HDL hardware-description language
  • Design process 910 preferably employs and incorporates hardware and/or software modules for synthesizing, translating, or otherwise processing a design/simulation functional equivalent of the components, circuits, devices, or logic structures shown in FIGS. 1 a - 1 f , 2 a - 2 d , 3 a - 3 d , 4 a and 4 b to generate a netlist 980 which may contain design structures such as design structure 920 .
  • Netlist 980 may comprise, for example, compiled or otherwise processed data structures representing a list of wires, discrete components, logic gates, control circuits, I/O devices, models, etc. that describes the connections to other elements and circuits in an integrated circuit design.
  • Netlist 980 may be synthesized using an iterative process in which netlist 980 is resynthesized one or more times depending on design specifications and parameters for the device. As with other design structure types described herein, netlist 980 may be recorded on a machine-readable data storage medium or programmed into a programmable gate array.
  • the medium may be a non-volatile storage medium such as a magnetic or optical disk drive, a programmable gate array, a compact flash, or other flash memory. Additionally, or in the alternative, the medium may be a system or cache memory, buffer space, or electrically or optically conductive devices and materials on which data packets may be transmitted and intermediately stored via the Internet, or other networking suitable means.
  • Design process 910 may include hardware and software modules for processing a variety of input data structure types including netlist 980 .
  • data structure types may reside, for example, within library elements 930 and include a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 90 nm, etc.).
  • the data structure types may further include design specifications 940 , characterization data 950 , verification data 960 , design rules 970 , and test data files 985 which may include input test patterns, output test results, and other testing information.
  • Design process 910 may further include, for example, standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc.
  • standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc.
  • One of ordinary skill in the art of mechanical design can appreciate the extent of possible mechanical design tools and applications used in design process 910 without deviating from the scope and spirit of the invention.
  • Design process 910 may also include modules for performing standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc.
  • Design process 910 employs and incorporates logic and physical design tools such as HDL compilers and simulation model build tools to process design structure 920 together with some or all of the depicted supporting data structures along with any additional mechanical design or data (if applicable), to generate a second design structure 990 .
  • logic and physical design tools such as HDL compilers and simulation model build tools
  • Design structure 990 resides on a storage medium or programmable gate array in a data format used for the exchange of data of mechanical devices and structures (e.g. information stored in a IGES, DXF, Parasolid XT, JT, DRG, or any other suitable format for storing or rendering such mechanical design structures). Similar to design structure 920 , design structure 990 preferably comprises one or more files, data structures, or other computer-encoded data or instructions that reside on transmission or data storage media and that when processed by an ECAD system generate a logically or otherwise functionally equivalent form of one or more of the embodiments of the invention shown in FIGS.
  • a data format used for the exchange of data of mechanical devices and structures e.g. information stored in a IGES, DXF, Parasolid XT, JT, DRG, or any other suitable format for storing or rendering such mechanical design structures.
  • design structure 990 preferably comprises one or more files, data structures, or other computer-encoded data or instructions that reside on transmission or data storage media and that when
  • design structure 990 may comprise a compiled, executable HDL simulation model that functionally simulates the devices shown in FIGS. 1 a - 1 f , 2 a - 2 d , 3 a - 3 d , 4 a and 4 b.
  • Design structure 990 may also employ a data format used for the exchange of layout data of integrated circuits and/or symbolic data format (e.g. information stored in a GDSII (GDS2), GL1, OASIS, map files, or any other suitable format for storing such design data structures).
  • Design structure 990 may comprise information such as, for example, symbolic data, map files, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, data for routing through the manufacturing line, and any other data required by a manufacturer or other designer/developer to produce a device or structure as described above and shown in FIGS.
  • Design structure 990 may then proceed to a stage 995 where, for example, design structure 990 : proceeds to tape-out, is released to manufacturing, is released to a mask house, is sent to another design house, is sent back to the customer, etc.
  • the method as described above is used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Abstract

Solid state nanopore devices for nanopore applications and methods of manufacture are disclosed herein. The method includes forming a membrane layer on an underlying substrate. The method further includes forming a hole in the membrane layer. The method further comprises plugging the hole with a sacrificial material. The method further includes forming a membrane over the sacrificial material. The method further includes removing the sacrificial material within the hole and portions of the underlying substrate. The method further includes drilling an opening in the membrane, aligned with the hole.

Description

    FIELD OF THE INVENTION
  • The invention relates to solid state nanopore devices and methods of manufacture and, more particularly, to solid state nanopore devices for nanopore applications and methods of manufacture.
  • BACKGROUND
  • Solid state nanopore devices have been demonstrated for many potential applications in bio-sensing and other applications. For example, single molecular detection of DNA, RNA, and protein molecules has been realized in solid state nanopore devices. Also, bio-molecule binding affinity has been studied using solid state nanopore devices.
  • An important factor to improve the nanopore sensitivity to molecular translocation is to reduce the membrane thickness. A major challenge is that the thin membrane, especially when the membrane thickness is in the sub-10 nm regime, is mechanically fragile while suspending over a micron or tens of micron window size. The techniques typically used to generate thin membrane structures at these extremely-scaled sizes, such as reactive ion etch or ion milling to locally thin down a relative thicker membrane, are incapable to incorporate more functional structures on the top of the membrane such as field effect transistors (FETs) or tunneling junction electrodes.
  • Accordingly, there exists a need in the art to overcome the deficiencies and limitations described hereinabove.
  • SUMMARY
  • In a first aspect of the invention, a method comprises forming a membrane layer on an underlying substrate. The method further comprises forming a hole in the membrane layer. The method further comprises plugging the hole with a sacrificial material. The method further comprises forming a membrane over the sacrificial material. The method further comprises removing the sacrificial material within the hole. The method further comprises drilling an opening in the membrane, aligned with the hole.
  • In another aspect of the invention, a method comprises forming a dielectric layer on an underlying substrate. The method further comprises forming a hole in the dielectric layer by lithography and etching processes. The method further comprises plugging the hole with a sacrificial material to form a sacrificial plug. The plugging comprises blanket depositing of an oxide material to a thickness greater than the depth of the hole, and planarizing the oxide material to the surface of the dielectric layer. The method further comprises forming a membrane over the sacrificial plug. The method further comprises removing the sacrificial plug and portions of the underlying substrate. The method further comprises drilling an opening in the membrane, aligned with the hole.
  • In yet another aspect of the invention, a structure comprises: a dielectric layer on an underlying substrate; a hole in the dielectric layer and underlying substrate; and a membrane over the sacrificial plug having an opening suspended and aligned with the hole.
  • In another aspect of the invention, a design structure tangibly embodied in a machine readable storage medium for designing, manufacturing, or testing an integrated circuit is provided. The design structure comprises the structures of the present invention. In further embodiments, a hardware description language (HDL) design structure encoded on a machine-readable data storage medium comprises elements that when processed in a computer-aided design system generates a machine-executable representation of the ultrathin membranes for nanopore applications, which comprises the structures of the present invention. In still further embodiments, a method in a computer-aided design system is provided for generating a functional design model of the ultrathin membranes for nanopore applications. The method comprises generating a functional representation of the structural elements of the ultrathin membranes for nanopore applications.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The present invention is described in the detailed description which follows, in reference to the noted plurality of drawings by way of non-limiting examples of exemplary embodiments of the present invention.
  • FIGS. 1 a-1 f show processes and respective structures in accordance with aspects of the present invention;
  • FIGS. 2 a-2 d show processes and respective structures in accordance with additional aspects of the present invention;
  • FIGS. 3 a-3 d show structures in accordance with aspects of the present invention;
  • FIGS. 4 a and 4 b show bio-sensing structures in accordance with aspects of the present invention; and
  • FIG. 5 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test.
  • DETAILED DESCRIPTION
  • The invention relates to solid state nanopore devices and methods of manufacture and, more particularly, to solid state nanopore devices for nanopore applications and methods of manufacture. In more specific embodiments, the present invention is directed to methods of creating an ultra thin membrane suspended over a few hundred nanometer window supported by a thicker membrane which can span over a micron or tens of microns wide window on a Si wafer. In embodiments, this is achieved by forming a thin membrane film on top of a planarized thick membrane film with a sacrificial plug. After removal of the sacrificial plug, a small sized opening can be formed in the membrane file, aligned with the hole formed by the removal of the sacrificial plug.
  • Advantageously, fabrication flows of the present invention allow a thin membrane to span only over a small area, which makes it mechanically strong enough to undergo post process and test procedures. Additionally, the thickness of the membrane is dependent on a formation method (e.g., growth or deposition process), which is better controlled than etching methods currently used to form thin membranes. Moreover, the fabricated membrane of the present invention has a flat top surface, making it compatible with additional functional structures such as FET or tunneling junction electrodes.
  • FIG. 1 a shows a beginning structure and respective processing steps in accordance with aspects of the present invention. In particular, the structure 5 of FIG. 1 includes a substrate 10. The substrate 10 can be, for example, a Si wafer; although other materials are contemplated by the present invention. A thick membrane 12, e.g., dielectric layer, is deposited on the substrate 10. In embodiments, the dielectric layer 12 can be, for example, SiNx, with thickness of about 20 nm to 500 nm. The dielectric layer 12 can be deposited using any conventionally known deposition method, such as, for example, low-pressure chemical vapor deposition (LPCVD).
  • In embodiments, a hole 14 is formed within the dielectric layer 12. In embodiments, the hole 14 can be formed using standard lithography and etching processes. For example, a resist can be applied to the dielectric layer 12, which is patterned by local exposure to an energy source (e.g., an electron beam). A reactive ion etch (RIE) is performed through the patterned resist to form the hole 14 within the dielectric layer 12 while masking the rest of the dielectric layer 12. The resist can then be stripped or removed using conventional descum or stripping processes, such as oxygen plasma ashing. In embodiments, the hole can be about 200 nm or less in size; although other dimensions are also contemplated by the present invention. In embodiments, the hole can be any desired shape.
  • In FIG. 1 b, the hole 14 is filled with a sacrificial material 16. In embodiments, the sacrificial material 16 can be, for example, SiO2, formed by a blanket CVD process or other conventional processes, e.g., plasma enhanced CVD (PECVD) or atomic layer deposition (ALD). In embodiments, the thickness of the sacrificial material 16 should be thicker than the depth of the hole 14.
  • In FIG. 1 c, the sacrificial material 16 undergoes a planarization process. For example, the sacrificial material 16 can be planarized using a chemical mechanical planarization (CMP) process to planarize the sacrificial material 16 to the surface of the dielectric layer 12. In this way, the sacrificial material 16 will plug the hole 14, resulting in a sacrificial plug 16 a. In embodiments, the CMP process should not cause any significant dishing in the sacrificial plug 16 a, depending on the size of the original hole 14.
  • In FIG. 1 d, an arbitrary thickness of membrane material 18 is deposited on top of the planarized substrate, e.g., sacrificial plug 16 a and dielectric layer 12. In this case, the membrane thickness 18 can be as thin as a few nanometers, depending on the size of the sacrificial plug 16 a. In embodiments, the membrane material 18 can be, for example, SiNx, with thickness of about 10 nm. The membrane material 18 can be blanket deposited using any conventionally known deposition method, e.g., LPCVD.
  • As shown in FIG. 1 e, the sacrificial plug 16 a and portions of the underlying substrate 10 are removed using conventional etching techniques, such as RIE or wet etch processes. In embodiments, the underlying substrate 10 and sacrificial plug 16 a can be removed using different etching steps, each of which is selective to the material being etched, e.g., Si material and oxide material. For example, oxide material can be etched using an HF2 dip or dilute buffered oxide etch (BOE) process. In embodiments, the removal of the sacrificial plug area will result in a hole 20.
  • In FIG. 1 f, the membrane material 18 undergoes a drilling process to open a hole (opening) 22 in the membrane material 18. In embodiments, the opening 22 is aligned with the hole 20 (resulting from the removal of the oxide material). More specifically, the opening 22 is suspended over the hole 20, formed in the dielectric layer 12. The opening 22 can be from 1 nm to about 30 nm in diameter. Functional electrodes with a very narrow gap may be used as a mask to achieve the smaller hole sizes of 1 nm to 5 nm. The opening 22 can be formed by a transmission electron microscopy (TEM) process or focused ion beam (FIB) process, from either the front side or back side of the structure (although formation from the back side is preferred). As should be understood by those of ordinary skill in the art, the TEM process is a microscopy technique whereby a beam of electrons is transmitted through a thin specimen, e.g., membrane material 18. The opening 22 can be drilled by either process within seconds of beam focus.
  • FIGS. 2 a-2 d show processes and respective structures in accordance with additional aspects of the present invention. More specifically, starting from the structure of FIG. 1 c, an option sacrificial material 16 b is formed over the planarized substrate, e.g., sacrificial plug 16 a and dielectric layer 12. In this case, the option sacrificial material 16 b can have a thickness of about a few nanometers. In embodiments, the option sacrificial material 16 b can be, for example, SiO2 or other dielectric material, and is preferably the same material as used for the sacrificial plug 16 a. In embodiments, the option sacrificial material 16 b can be grown and, if necessary, planarized using CMP processes. The option sacrificial material 16 b can be used to minimize edge erosion and possible dishing effect which may occurs to the sacrificial plug 16 a, thereby enabling a flatter surface to be achieved, such as might be necessary for the inclusion of thin function electrodes, for example.
  • In FIG. 2 b, an arbitrary thickness of membrane material 18 is deposited on top of the planarized substrate, e.g., option sacrificial material 16 b. In this case, the membrane thickness 18 can be as thin as a few nanometers. In embodiments, the membrane material 18 can be, for example, SiNx, with thickness of about 10 nm. The membrane material 18 can be blanket deposited using any conventionally known deposition method, e.g., LPCVD.
  • As shown in FIG. 2 c, the sacrificial plug 16 a and portions of the underlying substrate 10 are removed using conventional etching techniques. In embodiments, the underlying substrate 10 and sacrificial plug area 16 a can be removed using different etching steps, each of which is selective to the material being etched, e.g., Si material and oxide material. For example, oxide material can be etched using an HF2 dip or dilute (BOE). In embodiments, the removal of the sacrificial plug 16 a will result in a hole 20 and undercut (air gap) formation 24 between the membrane material 18 and the dielectric layer 12.
  • In FIG. 2 d, the membrane material 18 undergoes a drilling process to open a hole (opening) 22 in the membrane material 18. In embodiments, the opening 22 is aligned with the hole 20 (resulting from the removal of the oxide material), and can be about 1 nm to about 30 nm in diameter. Functional electrodes with a very narrow gap may be used as a mask to achieve the smaller hole sizes of 1 nm to 5 nm. As in the previous aspect of the present invention, the opening 22 is suspended over the hole 20, and is formed by a transmission electron microscopy (TEM) process or focused ion beam (FIB) process, from either the front side or back side of the structure (although formation from the back side is preferred).
  • FIGS. 3 a-3 d show various views of the structures fabricated in the processes described above. More specifically, FIGS. 3 a and 3 b show structures fabricated in accordance with the processes described with regard to FIGS. 2 a-2 d; whereas, FIGS. 3 c and 3 d show structures fabricated in accordance with the processes described with regard to FIGS. 1 a-1 f. In the embodiments shown in FIGS. 3 a-3 d, the dielectric material (dielectric material 12) is SiNx, deposited to a thickness of about 50 nm with a locally patterned hole array (holes 14), each having a width of about 200 nm. The holes are filled with TEOS oxide and then polished down. The membrane 18, e.g., nitride film, is deposited to a thickness of about 10 nm. FIG. 3 b shows the undercut 24; whereas, FIG. 3 d is devoid of the undercut (due to the fact that a second oxide layer (e.g., layer 16 b) is not used in the fabrication process).
  • FIG. 4 a shows a structure starting from the structure of FIG. 1 f; whereas, FIG. 4 b shows a structure starting from the structure of FIG. 2 d. In particular, FIGS. 4 a and 4 b show a structure 5′ and 5″, respectively, with electrodes 26 positioned on both sides of the opening 22. In embodiments, the electrodes 26 can be fabricated using any conventional metal deposition and patterning processes. For example, metal or metal alloy material, e.g., palladium, gold, or other metal, can be deposited using an electron-beam, sputter, or thermal deposition process. The metal can then be patterned by conventional RIE processes, known to those of skill in the art, to form the electrodes 26. In embodiments, the electrodes 26 can be representative of a FET or tunneling junction electrodes.
  • FIG. 5 is a flow diagram of a design process used in semiconductor design, manufacture, and/or test. FIG. 5 shows a block diagram of an exemplary design flow 900 used for example, in semiconductor IC logic design, simulation, test, layout, and manufacture. Design flow 900 includes processes, machines and/or mechanisms for processing design structures or devices to generate logically or otherwise functionally equivalent representations of the design structures and/or devices described above and shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b. The design structures processed and/or generated by design flow 900 may be encoded on machine-readable transmission or storage media to include data and/or instructions that when executed or otherwise processed on a data processing system generate a logically, structurally, mechanically, or otherwise functionally equivalent representation of hardware components, circuits, devices, or systems. Machines include, but are not limited to, any machine used in an IC design process, such as designing, manufacturing, or simulating a circuit, component, device, or system. For example, machines may include: lithography machines, machines and/or equipment for generating masks (e.g. e-beam writers), computers or equipment for simulating design structures, any apparatus used in the manufacturing or test process, or any machines for programming functionally equivalent representations of the design structures into any medium (e.g. a machine for programming a programmable gate array).
  • Design flow 900 may vary depending on the type of representation being designed. For example, a design flow 900 for building an application specific IC (ASIC) may differ from a design flow 900 for designing a standard component or from a design flow 900 for instantiating the design into a programmable array, for example a programmable gate array (PGA) or a field programmable gate array (FPGA) offered by Altera® Inc. or Xilinx® Inc.
  • FIG. 5 illustrates multiple such design structures including an input design structure 920 that is preferably processed by a design process 910. Design structure 920 may be a logical simulation design structure generated and processed by design process 910 to produce a logically equivalent functional representation of a hardware device. Design structure 920 may also or alternatively comprise data and/or program instructions that when processed by design process 910, generate a functional representation of the physical structure of a hardware device. Whether representing functional and/or structural design features, design structure 920 may be generated using electronic computer-aided design (ECAD) such as implemented by a core developer/designer. When encoded on a machine-readable data transmission, gate array, or storage medium, design structure 920 may be accessed and processed by one or more hardware and/or software modules within design process 910 to simulate or otherwise functionally represent an electronic component, circuit, electronic or logic module, apparatus, device, or system such as those shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b. As such, design structure 920 may comprise files or other data structures including human and/or machine-readable source code, compiled structures, and computer-executable code structures that when processed by a design or simulation data processing system, functionally simulate or otherwise represent circuits or other levels of hardware logic design. Such data structures may include hardware-description language (HDL) design entities or other data structures conforming to and/or compatible with lower-level HDL design languages such as Verilog and VHDL, and/or higher level design languages such as C or C++.
  • Design process 910 preferably employs and incorporates hardware and/or software modules for synthesizing, translating, or otherwise processing a design/simulation functional equivalent of the components, circuits, devices, or logic structures shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b to generate a netlist 980 which may contain design structures such as design structure 920. Netlist 980 may comprise, for example, compiled or otherwise processed data structures representing a list of wires, discrete components, logic gates, control circuits, I/O devices, models, etc. that describes the connections to other elements and circuits in an integrated circuit design. Netlist 980 may be synthesized using an iterative process in which netlist 980 is resynthesized one or more times depending on design specifications and parameters for the device. As with other design structure types described herein, netlist 980 may be recorded on a machine-readable data storage medium or programmed into a programmable gate array. The medium may be a non-volatile storage medium such as a magnetic or optical disk drive, a programmable gate array, a compact flash, or other flash memory. Additionally, or in the alternative, the medium may be a system or cache memory, buffer space, or electrically or optically conductive devices and materials on which data packets may be transmitted and intermediately stored via the Internet, or other networking suitable means.
  • Design process 910 may include hardware and software modules for processing a variety of input data structure types including netlist 980. Such data structure types may reside, for example, within library elements 930 and include a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 90 nm, etc.). The data structure types may further include design specifications 940, characterization data 950, verification data 960, design rules 970, and test data files 985 which may include input test patterns, output test results, and other testing information. Design process 910 may further include, for example, standard mechanical design processes such as stress analysis, thermal analysis, mechanical event simulation, process simulation for operations such as casting, molding, and die press forming, etc. One of ordinary skill in the art of mechanical design can appreciate the extent of possible mechanical design tools and applications used in design process 910 without deviating from the scope and spirit of the invention. Design process 910 may also include modules for performing standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc.
  • Design process 910 employs and incorporates logic and physical design tools such as HDL compilers and simulation model build tools to process design structure 920 together with some or all of the depicted supporting data structures along with any additional mechanical design or data (if applicable), to generate a second design structure 990.
  • Design structure 990 resides on a storage medium or programmable gate array in a data format used for the exchange of data of mechanical devices and structures (e.g. information stored in a IGES, DXF, Parasolid XT, JT, DRG, or any other suitable format for storing or rendering such mechanical design structures). Similar to design structure 920, design structure 990 preferably comprises one or more files, data structures, or other computer-encoded data or instructions that reside on transmission or data storage media and that when processed by an ECAD system generate a logically or otherwise functionally equivalent form of one or more of the embodiments of the invention shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b. In one embodiment, design structure 990 may comprise a compiled, executable HDL simulation model that functionally simulates the devices shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b.
  • Design structure 990 may also employ a data format used for the exchange of layout data of integrated circuits and/or symbolic data format (e.g. information stored in a GDSII (GDS2), GL1, OASIS, map files, or any other suitable format for storing such design data structures). Design structure 990 may comprise information such as, for example, symbolic data, map files, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, data for routing through the manufacturing line, and any other data required by a manufacturer or other designer/developer to produce a device or structure as described above and shown in FIGS. 1 a-1 f, 2 a-2 d, 3 a-3 d, 4 a and 4 b. Design structure 990 may then proceed to a stage 995 where, for example, design structure 990: proceeds to tape-out, is released to manufacturing, is released to a mask house, is sent to another design house, is sent back to the customer, etc.
  • The method as described above is used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (13)

What is claimed is:
1. A method, comprising:
forming a membrane layer on an underlying semiconductor substrate;
forming a hole in the membrane layer;
plugging the hole with a sacrificial plug;
forming a membrane over the sacrificial plug;
removing the sacrificial plug and portions of the underlying semiconductor substrate; and
drilling an opening in the membrane, aligned with the hole, after the sacrificial plug and portions of the underlying semiconductor substrate have been removed.
2. The method of claim 1, further comprising:
forming an optional sacrificial material over the sacrificial plug; and
forming the membrane directly over a top surface of the optional sacrificial material.
3. The method of claim 2, wherein the optional sacrificial material is a same material as used for the sacrificial plug.
4. The method of claim 2, further comprising:
removing portions of the optional sacrificial material,
wherein the membrane remains directly over remaining portions of the optional sacrificial material during the removing step.
5. The method of claim 4, wherein the removing of the portions of the optional sacrificial material forms an air gap between the membrane layer and the membrane.
6. The method of claim 1, wherein the membrane layer and the membrane are both SiNx, and
wherein the membrane layer is formed to a thickness of about 20 nm to 500 nm using low-pressure chemical vapor deposition (LPCVD) processes and the membrane has a thickness of about 10 nm.
7. The method of claim 1, wherein the opening is about 5 nm in diameter, suspended over the hole in the membrane layer.
8. The method of claim 1, wherein a sacrificial material is blanketed deposited to a thickness greater than a depth of the hole and is thereafter planarized to form the sacrificial plug.
9. A method, comprising:
forming a membrane layer on an underlying semiconductor substrate;
forming a hole in the membrane layer;
plugging the hole with a sacrificial material, wherein the sacrificial material is blanketed deposited to a thickness greater than a depth of the hole and is thereafter planarized to form a sacrificial plug;
forming a membrane over the sacrificial plug;
removing the sacrificial plug within the hole and portions of the underlying semiconductor substrate; and
drilling an opening in the membrane, aligned with the hole, after the sacrificial plug and portions of the underlying semiconductor substrate have been removed.
10. The method of claim 9, further comprising:
forming an optional sacrificial material over the sacrificial plug; and
forming the membrane directly over a top surface of the optional sacrificial material.
11. The method of claim 10, wherein the optional sacrificial material is a same material as used for the sacrificial plug.
12. The method of claim 10, further comprising:
removing portions of the optional sacrificial material,
wherein the membrane remains directly over remaining portions of the optional sacrificial material during the removing step.
13. The method of claim 12, wherein the removing of the portions of the optional sacrificial material forms an air gap between the membrane layer and the membrane.
US14/706,495 2013-08-26 2015-05-07 Solid state nanopore devices and methods of manufacture Abandoned US20150241385A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/706,495 US20150241385A1 (en) 2013-08-26 2015-05-07 Solid state nanopore devices and methods of manufacture

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/010,158 US9085120B2 (en) 2013-08-26 2013-08-26 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
US14/706,495 US20150241385A1 (en) 2013-08-26 2015-05-07 Solid state nanopore devices and methods of manufacture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/010,158 Continuation US9085120B2 (en) 2013-08-26 2013-08-26 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture

Publications (1)

Publication Number Publication Date
US20150241385A1 true US20150241385A1 (en) 2015-08-27

Family

ID=52480628

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/010,158 Expired - Fee Related US9085120B2 (en) 2013-08-26 2013-08-26 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
US14/024,165 Expired - Fee Related US9168717B2 (en) 2013-08-26 2013-09-11 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
US14/706,495 Abandoned US20150241385A1 (en) 2013-08-26 2015-05-07 Solid state nanopore devices and methods of manufacture

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/010,158 Expired - Fee Related US9085120B2 (en) 2013-08-26 2013-08-26 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
US14/024,165 Expired - Fee Related US9168717B2 (en) 2013-08-26 2013-09-11 Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture

Country Status (1)

Country Link
US (3) US9085120B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111634882A (en) * 2020-06-10 2020-09-08 深圳市儒翰基因科技有限公司 Silicon-based solid-state nanopore, preparation method thereof and silicon-based solid-state nanopore sequencer

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9884369B2 (en) * 2014-03-03 2018-02-06 Apple Inc. Solid state deposition methods, apparatuses, and products
US9810663B2 (en) * 2015-01-26 2017-11-07 Brown University Devices and methods for containing molecules
KR101759093B1 (en) * 2015-07-01 2017-07-18 서울대학교산학협력단 Nanopore structure, ionic device using nanopore structure and method of manufacturing nanomembrane structure
US9556015B1 (en) * 2015-10-28 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate structure, semiconductor structure and method for fabricating the same
JP6568644B2 (en) * 2016-03-18 2019-08-28 株式会社日立製作所 Membrane device manufacturing method, membrane device, and nanopore device
CN105866398B (en) * 2016-04-19 2018-05-29 东南大学 Detect nanochannel that antigen and antibody specific combines and preparation method thereof and detection method
US9768104B1 (en) 2016-08-19 2017-09-19 International Business Machines Corporation Method and structure to fabricate a nanoporous membrane
US10370247B2 (en) 2016-08-29 2019-08-06 International Business Machines Corporation Contacting molecular components
JP6959121B2 (en) * 2017-12-05 2021-11-02 株式会社日立ハイテク Hole forming method and hole forming device
US10890560B2 (en) 2018-05-21 2021-01-12 International Business Machines Corporation Forming nanoscale pores in a semiconductor structure utilizing nanotubes as a sacrificial template
CN110329984B (en) * 2019-06-06 2022-05-03 清华大学 Method and device for preparing solid-state nanopore based on dry etching
US20220242725A1 (en) * 2019-06-07 2022-08-04 Applied Materials, Inc. Manufacturing methods for dual pore sensors
EP4173705A1 (en) * 2021-10-26 2023-05-03 Imec VZW Method for manufacturing a microfluidic device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130092541A1 (en) * 2010-02-19 2013-04-18 The Trustees Of The University Of Pennsylvania High-Resolution Analysis Devices and Related Methods

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63248405A (en) 1986-05-30 1988-10-14 Mitsubishi Rayon Co Ltd Porous membrane
US5270125A (en) * 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
EP2383776B1 (en) * 1999-06-22 2015-02-25 President and Fellows of Harvard College Solid state nanopore device for evaluating biopolymers
US20030205552A1 (en) * 1999-11-17 2003-11-06 The Regents Of The University Of California Method of forming a membrane with nanometer scale pores and application to biofiltration
DE10032579B4 (en) * 2000-07-05 2020-07-02 Robert Bosch Gmbh Method for producing a semiconductor component and a semiconductor component produced by the method
US6412928B1 (en) * 2000-12-29 2002-07-02 Eastman Kodak Company Incorporation of supplementary heaters in the ink channels of CMOS/MEMS integrated ink jet print head and method of forming same
FR2844725B1 (en) * 2002-09-24 2005-01-07 Commissariat Energie Atomique METHOD FOR MANUFACTURING BIOMIMETIC MEMBRANE, BIOMIMETIC MEMBRANE, AND APPLICATIONS THEREOF
US7347921B2 (en) * 2003-07-17 2008-03-25 Agilent Technologies, Inc. Apparatus and method for threading a biopolymer through a nanopore
US20050069687A1 (en) * 2003-09-25 2005-03-31 Barth Phillip W. Apparatus and method for making a tensile diaphragm with a compressive region
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
US7075161B2 (en) 2003-10-23 2006-07-11 Agilent Technologies, Inc. Apparatus and method for making a low capacitance artificial nanopore
US7479222B2 (en) 2004-02-05 2009-01-20 Millipore Corporation Porous adsorptive or chromatographic media
EP1874443A4 (en) 2005-04-29 2009-09-16 Univ Rochester Ultrathin porous nanoscale membranes, methods of making, and uses thereof
EP1721657A1 (en) * 2005-05-13 2006-11-15 SONY DEUTSCHLAND GmbH A method of fabricating a polymeric membrane having at least one pore
US20070020146A1 (en) 2005-06-29 2007-01-25 Young James E Nanopore structure and method using an insulating substrate
US7717271B2 (en) 2005-12-07 2010-05-18 General Electric Company Membrane structure and method of making
GB0605576D0 (en) * 2006-03-20 2006-04-26 Oligon Ltd MEMS device
FR2900351B1 (en) 2006-04-26 2008-06-13 Commissariat Energie Atomique PROCESS FOR PREPARING A NANOPOROUS LAYER OF NANOPARTICLES AND THE LAYER THUS OBTAINED
EP2067523B1 (en) 2006-09-28 2015-04-29 NGK Insulators, Ltd. Structure having liquid separation membrane
JP4144640B2 (en) * 2006-10-13 2008-09-03 オムロン株式会社 Method for manufacturing vibration sensor
KR101396124B1 (en) * 2007-12-21 2014-05-19 삼성전자주식회사 Method of forming a trench and method of manufacturing a semiconductor device using the same
WO2009117522A2 (en) * 2008-03-18 2009-09-24 Reinhart, Kevin Nanopore and carbon nanotube based dna sequencer and a serial recognition sequencer
KR101096548B1 (en) * 2009-11-06 2011-12-20 주식회사 비에스이 Mems microphone and manufacturing method of the same
US8039250B2 (en) * 2010-03-15 2011-10-18 International Business Machines Corporation Piezoelectric-based nanopore device for the active control of the motion of polymers through the same
KR101432115B1 (en) * 2010-07-15 2014-08-21 한국전자통신연구원 meta material and manufacturing method at the same
US8535544B2 (en) * 2010-07-26 2013-09-17 International Business Machines Corporation Structure and method to form nanopore
US8138068B2 (en) * 2010-08-11 2012-03-20 International Business Machines Corporation Method to form nanopore array
US9422154B2 (en) * 2010-11-02 2016-08-23 International Business Machines Corporation Feedback control of dimensions in nanopore and nanofluidic devices
US8956521B2 (en) * 2010-11-12 2015-02-17 Evoqua Water Technologies Llc Electrical purification apparatus having a blocking spacer
CN102095768B (en) 2010-11-16 2014-07-09 浙江大学 Subnano-thickness nano hole sensor
US8518829B2 (en) * 2011-04-22 2013-08-27 International Business Machines Corporation Self-sealed fluidic channels for nanopore array
US8986980B2 (en) * 2012-05-11 2015-03-24 International Business Machines Corporation Fabricate self-formed nanometer pore array at wafer scale for DNA sequencing
US8702944B2 (en) * 2012-06-15 2014-04-22 International Business Machines Corporation Nanopore device wetting
KR20140028602A (en) * 2012-08-29 2014-03-10 삼성전자주식회사 Nano sensor including grapheme and method of manufacturing the same
CA3116407C (en) * 2013-03-15 2023-02-07 President And Fellows Of Harvard College Surface wetting method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130092541A1 (en) * 2010-02-19 2013-04-18 The Trustees Of The University Of Pennsylvania High-Resolution Analysis Devices and Related Methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111634882A (en) * 2020-06-10 2020-09-08 深圳市儒翰基因科技有限公司 Silicon-based solid-state nanopore, preparation method thereof and silicon-based solid-state nanopore sequencer

Also Published As

Publication number Publication date
US20150056732A1 (en) 2015-02-26
US9085120B2 (en) 2015-07-21
US20150056407A1 (en) 2015-02-26
US9168717B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
US9085120B2 (en) Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
DE112013000360B4 (en) Method for producing a semiconductor unit with a low-k spacer
DE112011102136B4 (en) Method for forming a microelectromechanical system
US8941179B2 (en) Finfets and fin isolation structures
US8569125B2 (en) FinFET with improved gate planarity
US9263442B2 (en) Replacement gate structures and methods of manufacturing
US20180201503A1 (en) Micro-electro-mechanical system (mems) structures and design structures
US10600783B2 (en) Self-cut sidewall image transfer process
TW201100319A (en) Vertical integrated circuit switches, design structure and methods of fabricating same
US10535662B2 (en) Semiconductor structures including an integrated FinFET with deep trench capacitor and methods of manufacture
US8878315B2 (en) Horizontal coplanar switches and methods of manufacture
US20150061017A1 (en) Semiconductor devices and methods of manufacture
US9274277B2 (en) Waveguide devices with supporting anchors
GB2497641A (en) MEMS composite beam
US20140001542A1 (en) Passivation of carbon nanotubes with molecular layers
US9406665B2 (en) Integrated passive devices for finFET technologies
US20140070294A1 (en) Finfet trench circuit
US8703572B2 (en) Embeded DRAM cell structures with high conductance electrodes and methods of manufacture
US9401325B2 (en) Planar polysilicon regions for precision resistors and electrical fuses and method of fabrication
US8796058B2 (en) Semiconductor structure
Cordova Reversible computing: adiabatic capacitive logic
US9093421B2 (en) Implementing gate within a gate utilizing replacement metal gate process
US8829518B2 (en) Test structure and calibration method
US9685526B2 (en) Side gate assist in metal gate first process
US9312272B2 (en) Implementing buried FET utilizing drain of finFET as gate of buried FET

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ASTIER, YANN;BAI, JINGWEI;PAPA RAO, SATYAVOLU;AND OTHERS;SIGNING DATES FROM 20130814 TO 20130819;REEL/FRAME:035588/0916

AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE DOCKET NUMBER FROM YOR920130484US2 TO YOR920130484US3 PREVIOUSLY RECORDED ON REEL 035588 FRAME 0916. ASSIGNOR(S) HEREBY CONFIRMS THE DECLARATION (37 C.F.R.1.63) FOR UTILITY PATENT APPLICATION USING AN APPLICATION DATA SHEET(37 C.F.R. 1.76) AND ASSIGNMENT.;ASSIGNORS:ASTIER, YANN;BAI, JINGWEI;PAPA RAO, SATYAVOLU;AND OTHERS;SIGNING DATES FROM 20130814 TO 20130819;REEL/FRAME:035627/0433

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117