US20140377949A1 - Method of depositing copper using physical vapor deposition - Google Patents
Method of depositing copper using physical vapor deposition Download PDFInfo
- Publication number
- US20140377949A1 US20140377949A1 US14/315,003 US201414315003A US2014377949A1 US 20140377949 A1 US20140377949 A1 US 20140377949A1 US 201414315003 A US201414315003 A US 201414315003A US 2014377949 A1 US2014377949 A1 US 2014377949A1
- Authority
- US
- United States
- Prior art keywords
- layer
- copper
- tantalum
- less
- vapor deposition
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000000034 method Methods 0.000 title claims abstract description 36
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 title claims abstract description 33
- 229910052802 copper Inorganic materials 0.000 title claims abstract description 33
- 239000010949 copper Substances 0.000 title claims abstract description 33
- 238000005240 physical vapour deposition Methods 0.000 title claims abstract description 17
- 238000000151 deposition Methods 0.000 title claims abstract description 15
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims abstract description 41
- 229910052715 tantalum Inorganic materials 0.000 claims abstract description 26
- 230000015572 biosynthetic process Effects 0.000 claims description 9
- 238000001816 cooling Methods 0.000 claims description 5
- 238000011109 contamination Methods 0.000 claims description 3
- 230000008021 deposition Effects 0.000 abstract description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 17
- 150000002500 ions Chemical class 0.000 description 17
- 229910052786 argon Inorganic materials 0.000 description 9
- 239000007789 gas Substances 0.000 description 8
- -1 50 Chemical class 0.000 description 6
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 230000001133 acceleration Effects 0.000 description 3
- 238000001755 magnetron sputter deposition Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 239000013077 target material Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Definitions
- This invention relates generally to formation of layers on a semiconductor device, and more particularly, to formation of a thin copper layer.
- PVD Physical Vapor Deposition
- the PVD process is a well-known magnetron sputtering process for depositing a layer on a substrate 20 supported on a platform 21 .
- a target 22 of the desired layer material is provided inside a vacuum chamber 24 , and argon gas is introduced into the chamber 24 and is ionized to a positive charge ( 26 ).
- the target 22 is held at negative, while the wall of the chamber 24 is grounded, so that DC power is applied to add energy to the argon gas ions 26 , causing the ions 26 to be accelerated toward the target 22 .
- the ions 26 gain momentum and strike the target 22 . This causes atoms or molecules 28 of the target 22 to scatter in the chamber 24 , with some being deposited on the substrate 20 .
- Formation of a thin (for example 20 angstroms thick), continuous copper layer on an oxide layer of a wafer using a copper target 29 ) has proven problematical.
- high surface tension causes the copper to form in large separate grains/crystals during the initial deposition, to minimize surface energy (so-called island growth).
- This island growth causes the deposited copper to agglomerate into distinct, separate copper globules 32 ( FIG. 2 ) rather than a smooth, continuous, uniform layer as is desired.
- the present method of forming an electronic structure comprises providing a base layer and depositing a layer of copper by physical vapor deposition with the temperature of the base layer at 50.degree. C. or less, with the deposition taking place at a power level of 300 W or less.
- FIGS. 1 and 2 illustrate a typical prior approach in using PVD to deposit copper
- FIG. 3-8 illustrate the present approach in using PVD to deposit copper
- FIG. 9-11 are systems incorporating devices formed using the present method.
- a base layer of tantalum 40 is deposited on an oxide layer, for example an SiO.sub. 2 layer 42 of a wafer 44 on platform 45 ( FIG. 3 ).
- This process is undertaken in a deposition chamber 46 (internal temperature approximately 30.degree. C.) using conventional DC magnetron PVD techniques. That is, a target 48 of tantalum is provided inside chamber 46 , and argon gas is introduced into the chamber 46 and is ionized to a positive charge ( 50 ).
- the target 48 is held at negative, while the wall of the chamber 46 is grounded, so that DC power is applied to add energy to the argon gas ions 50 , causing the ions 50 to be accelerated toward the target 48 .
- the ions 50 gain momentum and strike the target 48 .
- This causes tantalum atoms 52 of the target 48 to scatter in the chamber 46 , with some being deposited on the oxide layer 42 of the wafer 44 to form tantalum layer 40 .
- the striking of the tantalum target material 48 with argon ions 50 causes the tantalum target material 48 to greatly increase in temperature, causing the temperature of the deposited tantalum layer 40 to be at a greatly elevated temperature (for example 200.degree. C.).
- the resulting wafer 44 with tantalum 40 thereon which is at the above described elevated temperature of approximately 200.degree. C., is immediately transferred to another DC magnetron sputtering chamber 54 , so as to avoid contamination ( FIG. 4 ), placed on platform 55 therein (internal temperature of chamber 54 approximately 30.degree. C.) for formation of a thin copper layer on the tantalum layer 40 , by means of a copper target 56 as will be shown and described.
- a pumpdown step is undertaken to provide a vacuum in the chamber 54 ( FIG. 5 ). This step takes only a short time (in this example 3 seconds) and so the temperature of the tantalum layer 40 remains near 200.degree. C.
- a cooling step is undertaken, with argon gas flowing ( FIG. 6 ), but without DC power.
- This step is undertaken for an extended period of time, in this embodiment 60 seconds, with argon gas flowing to the backside of the wafer 44 to effectively cool down the wafer 44 and tantalum layer 40 . so that the tantalum layer 40 cools down to 50.degree. C. or less, preferably to approximately 30.degree. C., the internal temperature of the chamber 54 .
- a deposition step is undertaken ( FIG. 7 ), with low DC power applied (300 w or less, in this embodiment 200 W).
- Argon gas continues to be introduced into the chamber 54 to establish a pressure of approximately 5 mTorr, and is ionized to a positive charge ( 58 ).
- the pressure and DC power level are carefully selected in order to obtain a stable plasma.
- the target 56 is held at negative, while the wall of the chamber 54 is grounded, so that DC power is applied to add energy to the argon gas ions 58 , causing the ions 58 to be accelerated toward the target 56 .
- the ions 58 gain momentum and strike the copper target 56 . This causes atoms 60 of copper to scatter in the chamber 54 , with some being deposited on the tantalum layer 40 .
- This deposition step is undertaken in this example for 10 seconds.
- the tantalum layer 40 at low temperature promotes smooth copper nucleation across the surface of the tantalum layer 40 , avoiding the island growth described above.
- the low temperature of the tantalum layer 40 along with low power applied during the deposition step (for example 200 W rather than for example 800 W or more as previously applied) promotes formation of a smooth, continuous, uniform, thin copper layer 62 (30 angstroms or less, in this embodiment approximately 20 angstroms) on the tantalum layer 40 by avoiding formation of globules thereof as described thereof.
- the present method succeeds in forming a thin, uniform smooth, continuous copper layer 62 .
- FIG. 9 illustrates a system 200 utilizing devices formed using the method described above.
- the system 200 includes hand-held devices in the form of cell phones 202 , which communicate through an intermediate apparatus such as a tower 204 (shown) and/or a satellite. Signals are provided from one cell phone to the other through the tower 204 .
- a cell phone 202 with advantage uses devices formed as shown and described.
- One skilled in the art will readily understand the advantage of using such devices in other hand-held devices which utilize data storage, such as portable media players, personal digital assistants, digital cameras and the like.
- FIG. 10 illustrates another system 300 utilizing devices formed using the method described above.
- the system 300 includes a vehicle 302 having an engine 304 controlled by an electronic control unit 306 .
- the electronic control unit 306 with advantage uses such devices.
- FIG. 11 illustrates yet another system 400 utilizing devices formed using the method described above.
- This system 400 is a computer 402 which includes an input in the form of a keyboard, and a microprocessor for receiving signals from the keyboard through an interface.
- the microprocessor also communicates with a CDROM drive, a hard drive, and a floppy drive through interfaces. Output from the microprocessor is provided to a monitor through an interface.
- memory Also connected to and communicating with the microprocessor is memory which may take the form of ROM, RAM, flash and/or other forms of memory. The system with advantage uses such devices.
Abstract
Description
- The present Application is a divisional of application Ser. No. 11/641,647, (Attorney Docket Number SPSN-AF01925) entitled “Method of Depositing Copper Using Physical Vapor Deposition” filed Dec. 19, 2006, which is all incorporated herein by reference.
- 1. Technical Field
- This invention relates generally to formation of layers on a semiconductor device, and more particularly, to formation of a thin copper layer.
- 2. Background Art
- A common technique for forming device layers of various materials is PVD (Physical Vapor Deposition). With reference to
FIGS. 1 and 2 , the PVD process is a well-known magnetron sputtering process for depositing a layer on asubstrate 20 supported on aplatform 21. Typically (FIG. 1 ), a target 22 of the desired layer material is provided inside avacuum chamber 24, and argon gas is introduced into thechamber 24 and is ionized to a positive charge (26). The target 22 is held at negative, while the wall of thechamber 24 is grounded, so that DC power is applied to add energy to theargon gas ions 26, causing theions 26 to be accelerated toward the target 22. During the acceleration, theions 26 gain momentum and strike the target 22. This causes atoms or molecules 28 of the target 22 to scatter in thechamber 24, with some being deposited on thesubstrate 20. - Formation of a thin (for example 20 angstroms thick), continuous copper layer on an oxide layer of a wafer using a copper target 29) has proven problematical. When using conventional PVD processes to form such a thin copper layer (containing only a small amount of copper) on an oxide such as SiO.sub.2 30, high surface tension causes the copper to form in large separate grains/crystals during the initial deposition, to minimize surface energy (so-called island growth). This island growth causes the deposited copper to agglomerate into distinct, separate copper globules 32 (
FIG. 2 ) rather than a smooth, continuous, uniform layer as is desired. - What is needed is an approach wherein a smooth, continuous, uniform, thin copper layer may be formed.
- Broadly stated, the present method of forming an electronic structure comprises providing a base layer and depositing a layer of copper by physical vapor deposition with the temperature of the base layer at 50.degree. C. or less, with the deposition taking place at a power level of 300 W or less.
- The present invention is better understood upon consideration of the detailed description below, in conjunction with the accompanying drawings. As will become readily apparent to those skilled in the art from the following description, there is shown and described an embodiment of this invention simply by way of the illustration of the best mode to carry out the invention. As will be realized, the invention is capable of other embodiments and its several details are capable of modifications and various obvious aspects, all without departing from the scope of the invention. Accordingly, the drawings and detailed description will be regarded as illustrative in nature and not as restrictive.
- The novel features believed characteristic of the invention are set forth in the appended claims. The invention itself, however, as well as said preferred mode of use, and further objects and advantages thereof, will best be understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
-
FIGS. 1 and 2 illustrate a typical prior approach in using PVD to deposit copper; -
FIG. 3-8 illustrate the present approach in using PVD to deposit copper; and -
FIG. 9-11 are systems incorporating devices formed using the present method. - Reference is now made in detail to a specific embodiment of the present invention which illustrates the best mode presently contemplated by the inventors for practicing the invention.
- In the present approach, in forming an electronic structure, prior to the formation of a copper layer, a base layer of
tantalum 40 is deposited on an oxide layer, for example an SiO.sub.2layer 42 of awafer 44 on platform 45 (FIG. 3 ). This process is undertaken in a deposition chamber 46 (internal temperature approximately 30.degree. C.) using conventional DC magnetron PVD techniques. That is, atarget 48 of tantalum is provided insidechamber 46, and argon gas is introduced into thechamber 46 and is ionized to a positive charge (50). Thetarget 48 is held at negative, while the wall of thechamber 46 is grounded, so that DC power is applied to add energy to theargon gas ions 50, causing theions 50 to be accelerated toward thetarget 48. During the acceleration, theions 50 gain momentum and strike thetarget 48. This causestantalum atoms 52 of thetarget 48 to scatter in thechamber 46, with some being deposited on theoxide layer 42 of thewafer 44 to formtantalum layer 40. The striking of thetantalum target material 48 withargon ions 50 causes thetantalum target material 48 to greatly increase in temperature, causing the temperature of the depositedtantalum layer 40 to be at a greatly elevated temperature (for example 200.degree. C.). - The resulting
wafer 44 withtantalum 40 thereon, which is at the above described elevated temperature of approximately 200.degree. C., is immediately transferred to another DCmagnetron sputtering chamber 54, so as to avoid contamination (FIG. 4 ), placed onplatform 55 therein (internal temperature ofchamber 54 approximately 30.degree. C.) for formation of a thin copper layer on thetantalum layer 40, by means of acopper target 56 as will be shown and described. - Immediately after the
wafer 44 withtantalum layer 40 thereon enters thechamber 54, a pumpdown step is undertaken to provide a vacuum in the chamber 54 (FIG. 5 ). This step takes only a short time (in this example 3 seconds) and so the temperature of thetantalum layer 40 remains near 200.degree. C. - After this step is completed, a cooling step is undertaken, with argon gas flowing (
FIG. 6 ), but without DC power. This step is undertaken for an extended period of time, in thisembodiment 60 seconds, with argon gas flowing to the backside of thewafer 44 to effectively cool down thewafer 44 andtantalum layer 40. so that thetantalum layer 40 cools down to 50.degree. C. or less, preferably to approximately 30.degree. C., the internal temperature of thechamber 54. - After this step is completed, a deposition step is undertaken (
FIG. 7 ), with low DC power applied (300 w or less, in this embodiment 200 W). Argon gas continues to be introduced into thechamber 54 to establish a pressure of approximately 5 mTorr, and is ionized to a positive charge (58). The pressure and DC power level are carefully selected in order to obtain a stable plasma. Thetarget 56 is held at negative, while the wall of thechamber 54 is grounded, so that DC power is applied to add energy to theargon gas ions 58, causing theions 58 to be accelerated toward thetarget 56. During the acceleration, theions 58 gain momentum and strike thecopper target 56. This causesatoms 60 of copper to scatter in thechamber 54, with some being deposited on thetantalum layer 40. This deposition step is undertaken in this example for 10 seconds. - The
tantalum layer 40 at low temperature promotes smooth copper nucleation across the surface of thetantalum layer 40, avoiding the island growth described above. In addition, the low temperature of thetantalum layer 40 along with low power applied during the deposition step (for example 200 W rather than for example 800 W or more as previously applied) promotes formation of a smooth, continuous, uniform, thin copper layer 62 (30 angstroms or less, in this embodiment approximately 20 angstroms) on thetantalum layer 40 by avoiding formation of globules thereof as described thereof. - Finally, another pump-down step is undertaken (
FIG. 8 ). - The present method succeeds in forming a thin, uniform smooth,
continuous copper layer 62. -
FIG. 9 illustrates asystem 200 utilizing devices formed using the method described above. As shown therein, thesystem 200 includes hand-held devices in the form ofcell phones 202, which communicate through an intermediate apparatus such as a tower 204 (shown) and/or a satellite. Signals are provided from one cell phone to the other through thetower 204. Such acell phone 202 with advantage uses devices formed as shown and described. One skilled in the art will readily understand the advantage of using such devices in other hand-held devices which utilize data storage, such as portable media players, personal digital assistants, digital cameras and the like. -
FIG. 10 illustrates anothersystem 300 utilizing devices formed using the method described above. Thesystem 300 includes avehicle 302 having anengine 304 controlled by anelectronic control unit 306. Theelectronic control unit 306 with advantage uses such devices. -
FIG. 11 illustrates yet anothersystem 400 utilizing devices formed using the method described above. Thissystem 400 is acomputer 402 which includes an input in the form of a keyboard, and a microprocessor for receiving signals from the keyboard through an interface. The microprocessor also communicates with a CDROM drive, a hard drive, and a floppy drive through interfaces. Output from the microprocessor is provided to a monitor through an interface. Also connected to and communicating with the microprocessor is memory which may take the form of ROM, RAM, flash and/or other forms of memory. The system with advantage uses such devices. - The foregoing description of the embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Other modifications or variations are possible in light of the above teachings.
- The embodiment was chosen and described to provide the best illustration of the principles of the invention and its practical application to thereby enable one of ordinary skill of the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. All such modifications and variations are within the scope of the invention as determined by the appended claims when interpreted in accordance with the breadth to which they are fairly, legally and equitably entitled.
Claims (20)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/315,003 US20140377949A1 (en) | 2006-12-19 | 2014-06-25 | Method of depositing copper using physical vapor deposition |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/641,647 US8791018B2 (en) | 2006-12-19 | 2006-12-19 | Method of depositing copper using physical vapor deposition |
US14/315,003 US20140377949A1 (en) | 2006-12-19 | 2014-06-25 | Method of depositing copper using physical vapor deposition |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/641,647 Division US8791018B2 (en) | 2006-12-19 | 2006-12-19 | Method of depositing copper using physical vapor deposition |
Publications (1)
Publication Number | Publication Date |
---|---|
US20140377949A1 true US20140377949A1 (en) | 2014-12-25 |
Family
ID=39527860
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/641,647 Expired - Fee Related US8791018B2 (en) | 2006-12-19 | 2006-12-19 | Method of depositing copper using physical vapor deposition |
US14/313,751 Expired - Fee Related US9728414B2 (en) | 2006-12-19 | 2014-06-24 | Method of depositing copper using physical vapor deposition |
US14/315,003 Abandoned US20140377949A1 (en) | 2006-12-19 | 2014-06-25 | Method of depositing copper using physical vapor deposition |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/641,647 Expired - Fee Related US8791018B2 (en) | 2006-12-19 | 2006-12-19 | Method of depositing copper using physical vapor deposition |
US14/313,751 Expired - Fee Related US9728414B2 (en) | 2006-12-19 | 2014-06-24 | Method of depositing copper using physical vapor deposition |
Country Status (1)
Country | Link |
---|---|
US (3) | US8791018B2 (en) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8791018B2 (en) * | 2006-12-19 | 2014-07-29 | Spansion Llc | Method of depositing copper using physical vapor deposition |
JP6788393B2 (en) * | 2016-06-29 | 2020-11-25 | 東京エレクトロン株式会社 | How to form a copper film |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6037258A (en) * | 1999-05-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method of forming a smooth copper seed layer for a copper damascene structure |
US6582569B1 (en) * | 1999-10-08 | 2003-06-24 | Applied Materials, Inc. | Process for sputtering copper in a self ionized plasma |
US6740585B2 (en) * | 2001-07-25 | 2004-05-25 | Applied Materials, Inc. | Barrier formation using novel sputter deposition method with PVD, CVD, or ALD |
US7352048B2 (en) * | 2001-09-26 | 2008-04-01 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7416979B2 (en) * | 2001-07-25 | 2008-08-26 | Applied Materials, Inc. | Deposition methods for barrier and tungsten materials |
US8668816B2 (en) * | 1999-10-08 | 2014-03-11 | Applied Materials Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US9051641B2 (en) * | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US9728414B2 (en) * | 2006-12-19 | 2017-08-08 | Cypress Semiconductor Corporation | Method of depositing copper using physical vapor deposition |
Family Cites Families (70)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4511601A (en) * | 1983-05-13 | 1985-04-16 | North American Philips Corporation | Copper metallization for dielectric materials |
KR0132061B1 (en) * | 1987-03-14 | 1998-04-24 | 나까하라 쯔네오 | Process for depositing a superconducting thin film |
JPH04329640A (en) * | 1991-05-01 | 1992-11-18 | Mitsubishi Electric Corp | Method of dry etching for wiring layer |
JPH06157175A (en) * | 1992-11-13 | 1994-06-03 | Matsushita Electric Works Ltd | Prorudction of ceramic circuit board |
US5288456A (en) * | 1993-02-23 | 1994-02-22 | International Business Machines Corporation | Compound with room temperature electrical resistivity comparable to that of elemental copper |
JP3590416B2 (en) * | 1993-11-29 | 2004-11-17 | アネルバ株式会社 | Thin film forming method and thin film forming apparatus |
US5654232A (en) * | 1994-08-24 | 1997-08-05 | Intel Corporation | Wetting layer sidewalls to promote copper reflow into grooves |
JP3417751B2 (en) * | 1995-02-13 | 2003-06-16 | 株式会社東芝 | Method for manufacturing semiconductor device |
US5792522A (en) * | 1996-09-18 | 1998-08-11 | Intel Corporation | High density plasma physical vapor deposition |
US5913144A (en) * | 1996-09-20 | 1999-06-15 | Sharp Microelectronics Technology, Inc. | Oxidized diffusion barrier surface for the adherence of copper and method for same |
KR100237829B1 (en) * | 1997-02-06 | 2000-01-15 | 윤종용 | Defect analysing method for wafer |
US6037257A (en) * | 1997-05-08 | 2000-03-14 | Applied Materials, Inc. | Sputter deposition and annealing of copper alloy metallization |
US6069068A (en) * | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity |
US5891802A (en) * | 1997-07-23 | 1999-04-06 | Advanced Micro Devices, Inc. | Method for fabricating a metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects |
US6043153A (en) * | 1997-09-25 | 2000-03-28 | Advanced Micro Devices, Inc. | Method for reducing electromigration in a copper interconnect |
US6139701A (en) * | 1997-11-26 | 2000-10-31 | Applied Materials, Inc. | Copper target for sputter deposition |
US6174811B1 (en) * | 1998-12-02 | 2001-01-16 | Applied Materials, Inc. | Integrated deposition process for copper metallization |
US6077780A (en) * | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6210541B1 (en) * | 1998-04-28 | 2001-04-03 | International Business Machines Corporation | Process and apparatus for cold copper deposition to enhance copper plating fill |
JP3137087B2 (en) * | 1998-08-31 | 2001-02-19 | 日本電気株式会社 | Method for manufacturing semiconductor device |
JP3956499B2 (en) * | 1998-09-07 | 2007-08-08 | ソニー株式会社 | Manufacturing method of semiconductor device |
US6251759B1 (en) * | 1998-10-03 | 2001-06-26 | Applied Materials, Inc. | Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system |
US6232230B1 (en) * | 1999-01-05 | 2001-05-15 | Advanced Micro Devices, Inc. | Semiconductor interconnect interface processing by high temperature deposition |
US6143650A (en) * | 1999-01-13 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor interconnect interface processing by pulse laser anneal |
US6451181B1 (en) * | 1999-03-02 | 2002-09-17 | Motorola, Inc. | Method of forming a semiconductor device barrier layer |
US6331484B1 (en) * | 1999-03-29 | 2001-12-18 | Lucent Technologies, Inc. | Titanium-tantalum barrier layer film and method for forming the same |
JP3351383B2 (en) * | 1999-04-21 | 2002-11-25 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US6627542B1 (en) * | 1999-07-12 | 2003-09-30 | Applied Materials, Inc. | Continuous, non-agglomerated adhesion of a seed layer to a barrier layer |
US6432819B1 (en) * | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6479389B1 (en) * | 1999-10-04 | 2002-11-12 | Taiwan Semiconductor Manufacturing Company | Method of doping copper metallization |
US6174793B1 (en) * | 1999-10-11 | 2001-01-16 | United Microelectronics Corp. | Method for enhancing adhesion between copper and silicon nitride |
US6420258B1 (en) * | 1999-11-12 | 2002-07-16 | Taiwan Semiconductor Manufacturing Company | Selective growth of copper for advanced metallization |
EP1232525A2 (en) * | 1999-11-24 | 2002-08-21 | Honeywell International, Inc. | Conductive interconnection |
US6607640B2 (en) * | 2000-03-29 | 2003-08-19 | Applied Materials, Inc. | Temperature control of a substrate |
US6342448B1 (en) * | 2000-05-31 | 2002-01-29 | Taiwan Semiconductor Manufacturing Company | Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process |
US6350688B1 (en) * | 2000-08-01 | 2002-02-26 | Taiwan Semiconductor Manufacturing Company | Via RC improvement for copper damascene and beyond technology |
US6562715B1 (en) * | 2000-08-09 | 2003-05-13 | Applied Materials, Inc. | Barrier layer structure for copper metallization and method of forming the structure |
US6541374B1 (en) * | 2000-12-18 | 2003-04-01 | Novellus Systems, Inc. | Method of depositing a diffusion barrier for copper interconnection applications |
US6440854B1 (en) * | 2001-02-02 | 2002-08-27 | Novellus Systems, Inc. | Anti-agglomeration of copper seed layers in integrated circuit metalization |
US6554914B1 (en) * | 2001-02-02 | 2003-04-29 | Novellus Systems, Inc. | Passivation of copper in dual damascene metalization |
US6642146B1 (en) * | 2001-03-13 | 2003-11-04 | Novellus Systems, Inc. | Method of depositing copper seed on semiconductor substrates |
US6607977B1 (en) * | 2001-03-13 | 2003-08-19 | Novellus Systems, Inc. | Method of depositing a diffusion barrier for copper interconnect applications |
CN100355058C (en) * | 2001-05-04 | 2007-12-12 | 东京毅力科创株式会社 | Ionized PVD with sequential deposition and etching |
US6566246B1 (en) * | 2001-05-21 | 2003-05-20 | Novellus Systems, Inc. | Deposition of conformal copper seed layers by control of barrier layer morphology |
US6468908B1 (en) * | 2001-07-09 | 2002-10-22 | Taiwan Semiconductor Manufacturing Company | Al-Cu alloy sputtering method with post-metal quench |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6908865B2 (en) * | 2001-09-28 | 2005-06-21 | Applied Materials, Inc. | Method and apparatus for cleaning substrates |
JP3727277B2 (en) * | 2002-02-26 | 2005-12-14 | Necエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US6800494B1 (en) * | 2002-05-17 | 2004-10-05 | Advanced Micro Devices, Inc. | Method and apparatus for controlling copper barrier/seed deposition processes |
US6784096B2 (en) * | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
US6909637B2 (en) * | 2002-11-27 | 2005-06-21 | Honeywell International, Inc. | Full rail drive enhancement to differential SEU hardening circuit while loading data |
EP1656467A2 (en) * | 2003-08-21 | 2006-05-17 | Honeywell International Inc. | Copper-containing pvd targets and methods for their manufacture |
US7033940B1 (en) * | 2004-03-30 | 2006-04-25 | Advanced Micro Devices, Inc. | Method of forming composite barrier layers with controlled copper interface surface roughness |
US20060172536A1 (en) * | 2005-02-03 | 2006-08-03 | Brown Karl M | Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece |
US8974868B2 (en) * | 2005-03-21 | 2015-03-10 | Tokyo Electron Limited | Post deposition plasma cleaning system and method |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US7396755B2 (en) * | 2005-05-11 | 2008-07-08 | Texas Instruments Incorporated | Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer |
US7618888B2 (en) * | 2006-03-24 | 2009-11-17 | Tokyo Electron Limited | Temperature-controlled metallic dry-fill process |
EP1845554A3 (en) * | 2006-04-10 | 2011-07-13 | Imec | A method to create super secondary grain growth in narrow trenches |
US20070251818A1 (en) * | 2006-05-01 | 2007-11-01 | Wuwen Yi | Copper physical vapor deposition targets and methods of making copper physical vapor deposition targets |
US20070281476A1 (en) * | 2006-06-02 | 2007-12-06 | Lavoie Adrien R | Methods for forming thin copper films and structures formed thereby |
US7645696B1 (en) * | 2006-06-22 | 2010-01-12 | Novellus Systems, Inc. | Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer |
US7855147B1 (en) * | 2006-06-22 | 2010-12-21 | Novellus Systems, Inc. | Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer |
US7510634B1 (en) * | 2006-11-10 | 2009-03-31 | Novellus Systems, Inc. | Apparatus and methods for deposition and/or etch selectivity |
US7682966B1 (en) * | 2007-02-01 | 2010-03-23 | Novellus Systems, Inc. | Multistep method of depositing metal seed layers |
US7659197B1 (en) * | 2007-09-21 | 2010-02-09 | Novellus Systems, Inc. | Selective resputtering of metal seed layers |
US7727882B1 (en) * | 2007-12-17 | 2010-06-01 | Novellus Systems, Inc. | Compositionally graded titanium nitride film for diffusion barrier applications |
US7919409B2 (en) | 2008-08-15 | 2011-04-05 | Air Products And Chemicals, Inc. | Materials for adhesion enhancement of copper film on diffusion barriers |
US9177917B2 (en) * | 2010-08-20 | 2015-11-03 | Micron Technology, Inc. | Semiconductor constructions |
KR101881181B1 (en) * | 2010-11-04 | 2018-08-16 | 노벨러스 시스템즈, 인코포레이티드 | Ion-induced atomic layer deposition of tantalum |
-
2006
- 2006-12-19 US US11/641,647 patent/US8791018B2/en not_active Expired - Fee Related
-
2014
- 2014-06-24 US US14/313,751 patent/US9728414B2/en not_active Expired - Fee Related
- 2014-06-25 US US14/315,003 patent/US20140377949A1/en not_active Abandoned
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6037258A (en) * | 1999-05-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method of forming a smooth copper seed layer for a copper damascene structure |
US6582569B1 (en) * | 1999-10-08 | 2003-06-24 | Applied Materials, Inc. | Process for sputtering copper in a self ionized plasma |
US8668816B2 (en) * | 1999-10-08 | 2014-03-11 | Applied Materials Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6740585B2 (en) * | 2001-07-25 | 2004-05-25 | Applied Materials, Inc. | Barrier formation using novel sputter deposition method with PVD, CVD, or ALD |
US7416979B2 (en) * | 2001-07-25 | 2008-08-26 | Applied Materials, Inc. | Deposition methods for barrier and tungsten materials |
US9051641B2 (en) * | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US7352048B2 (en) * | 2001-09-26 | 2008-04-01 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US9728414B2 (en) * | 2006-12-19 | 2017-08-08 | Cypress Semiconductor Corporation | Method of depositing copper using physical vapor deposition |
Also Published As
Publication number | Publication date |
---|---|
US20080146028A1 (en) | 2008-06-19 |
US20140377948A1 (en) | 2014-12-25 |
US9728414B2 (en) | 2017-08-08 |
US8791018B2 (en) | 2014-07-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20030089597A1 (en) | Method of depositing a TaN seed layer | |
KR20110086083A (en) | Semiconductor storage element manufacturing method and sputter device | |
US9728414B2 (en) | Method of depositing copper using physical vapor deposition | |
AU2003226086A1 (en) | Methods and apparatus for deposition of thin films | |
US8216933B2 (en) | Krypton sputtering of low resistivity tungsten | |
US11299801B2 (en) | Structure and method to fabricate highly reactive physical vapor deposition target | |
Yoshitake et al. | Elimination of droplets using a vane velocity filter for pulsed laser ablation of FeSi2 | |
US7033931B2 (en) | Temperature optimization of a physical vapor deposition process to prevent extrusion into openings | |
US7049009B2 (en) | Silver selenide film stoichiometry and morphology control in sputter deposition | |
Ruan et al. | Effects of substrate bias on the reactive sputtered Zr–Al–N diffusion barrier films | |
Ruan et al. | Investigation of substrate bias effects on the reactively sputtered ZrN diffusion barrier films | |
US7939434B2 (en) | Method for fabricating polysilicon film | |
WO2018205430A1 (en) | Magnetron sputtering cavity for through-silicon-via filling and semiconductor processing device | |
CN115679272A (en) | Method for preparing metal film by physical vapor deposition | |
TWI234805B (en) | Pre-clean chamber with wafer heating apparatus and method of use | |
JP2001140066A (en) | Thin film deposition method and deposition system | |
CN108022714A (en) | A kind of soft magnetic film and preparation method thereof | |
Kwak et al. | Improvement of Ta diffusion barrier performance in Cu metallization by insertion of a thin Zr layer into Ta film | |
JP3573218B2 (en) | Thin film manufacturing method | |
Song et al. | Metal seed layer sputtering on high aspect ratio through-silicon-vias for copper filling electroplating | |
KR100665846B1 (en) | Thin film forming method for fabricating semiconductor device | |
CN106560928A (en) | Charge-trapping type storage element and preparation technology therefor | |
US7560038B2 (en) | Thin film forming method and system | |
US11710624B2 (en) | Sputtering method | |
Klotzbücher et al. | C-BN thin film formation in a hybrid rf-PLD technique |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK Free format text: SECURITY INTEREST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:035240/0429 Effective date: 20150312 |
|
AS | Assignment |
Owner name: ADVANCED MICRO DEVICES, INC, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YU, WEN;REEL/FRAME:043683/0161 Effective date: 20070105 Owner name: SPANSION LLC, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ROBIE, STEPHEN B.;ROMERO, JEREMIAS D.;REEL/FRAME:043683/0221 Effective date: 20070105 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: CYPRESS SEMICONDUCTOR CORPORATION, CALIFORNIA Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING INC.,;REEL/FRAME:046180/0604 Effective date: 20180614 |
|
AS | Assignment |
Owner name: MORGAN STANLEY SENIOR FUNDING, INC., NEW YORK Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTERST;ASSIGNORS:CYPRESS SEMICONDUCTOR CORPORATION;SPANSION LLC;REEL/FRAME:058002/0470 Effective date: 20150312 |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001 Effective date: 20201117 |