US20140374840A1 - Semiconductor devices using mos transistors with nonuniform gate electrode structures and methods of fabricating the same - Google Patents

Semiconductor devices using mos transistors with nonuniform gate electrode structures and methods of fabricating the same Download PDF

Info

Publication number
US20140374840A1
US20140374840A1 US14/312,109 US201414312109A US2014374840A1 US 20140374840 A1 US20140374840 A1 US 20140374840A1 US 201414312109 A US201414312109 A US 201414312109A US 2014374840 A1 US2014374840 A1 US 2014374840A1
Authority
US
United States
Prior art keywords
film
work function
function control
trench
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/312,109
Inventor
Hye-Lan Lee
Sang-Bom Kang
Jae-Jung Kim
Moon-Kyu Park
Jae-Yeol Song
June-Hee Lee
Yong-ho Ha
Sang-Jin Hyun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HYUN, SANG-JIN, PARK, MOON-KYU, SONG, JAE-YEOL, HA, YONG-HO, KIM, JAE-JUNG, LEE, HYE-LAN, LEE, JUNE-HEE, KANG, SANG-BOM
Publication of US20140374840A1 publication Critical patent/US20140374840A1/en
Priority to US16/028,272 priority Critical patent/US11127739B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present inventive subject matter relates to semiconductor devices and methods of fabricating the same and, more particularly, to semiconductor devices using metal oxide semiconductor (MOS) transistors.
  • MOS metal oxide semiconductor
  • MOS metal oxide semiconductor
  • a semiconductor device includes a substrate and first and second gate electrodes on the substrate.
  • the first gate electrode includes a first gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion and away from the substrate defining a first trench having a first width and a first functional film filling the first trench.
  • the second gate electrode includes a second gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion defining a second trench having a second width different from the first width, a second functional film conforming to the second gate insulation film in the second trench and defining a third trench, and a metal region in the third trench.
  • the first width may be less than the second width.
  • the first functional film may include a first work function control film conforming to the sidewall and bottom portions of the first gate insulation layer in the first trench and a first barrier film on the first work function control film.
  • the second functional film may include a second work function control film and a second barrier film on the second work function control film.
  • the first barrier film may include titanium nitride (TiN) and the metal region may include tungsten (W).
  • the first functional film may further include a third work function control film and the second functional film may further include a fourth work function control film.
  • the first and second work function control films may be N type and the third and fourth work function control films may be P type.
  • the semiconductor device may further include a first fin and a second fin on the substrate.
  • the first and second gate electrodes may be disposed on respective ones of the first and second fins.
  • the first gate electrode and the second gate electrode may have the same height.
  • the first and second gate electrodes may be disposed in cell array and peripheral circuit regions, respectively.
  • Stiff further embodiments provide a semiconductor device including a substrate and first and second fin transistors disposed on the substrate.
  • the first fin transistor includes a first semiconductor fin protruding from the substrate and a first gate electrode crossing the first semiconductor fin.
  • the first gate electrode includes a first gate insulation film having a bottom portion disposed on the substrate and conforming to the first semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate, at least one first work function control film conforming to the bottom and sidewall portions of the first gate insulation film, and a first barrier film filling a first trench defined by the at least one first work function control film.
  • the first fin transistor further includes first source/drain regions on opposite sides of the first gate electrode.
  • the second fin transistor includes a second semiconductor fin extending from the substrate and a second gate electrode crossing the second semiconductor fin.
  • the second gate electrode includes a second gate insulation film having a bottom portion disposed on the substrate and conforming to the second semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate, at least one second work function control film conforming to the bottom and sidewall portions of the first gate insulation film, a second barrier film conforming to the at least one second work function control film, and a metal region filling a trench defined by the at least one second work function control film.
  • the second fin transistor further includes second source/drain regions on opposite sides of the second gate electrode.
  • FIG. 1 is a cross-sectional view of a semiconductor device according to some embodiments of the present inventive subject matter
  • FIG. 2 is a graph illustrating the resistivity of a section of a first gate electrode when the first gate electrode of a first region I includes a gate metal and when the first gate electrode of the first region I does not include the gate metal;
  • FIG. 3 is a graph illustrating characteristics of a first gate electrode depending on whether the first gate electrode includes a gate metal or not;
  • FIG. 4 is a cross-sectional view of a semiconductor device according to further embodiments of the present inventive subject matter
  • FIG. 5 is a cross-sectional view of a semiconductor device according to still further embodiments of the present inventive subject matter.
  • FIG. 6 is a cross-sectional view taken along the line A-A′ of FIG. 5 ;
  • FIG. 7 is a cross-sectional view taken along the lines B-B′ and C-C of FIG. 5 ;
  • FIGS. 8 to 13 illustrate operations for fabricating a semiconductor device according to some embodiments of the present inventive subject matter
  • FIGS. 14 to 16 illustrate operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter
  • FIG. 17 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive subject matter.
  • FIGS. 18 and 19 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive subject matter can be employed.
  • first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present inventive subject matter.
  • spatially relative terms such as “beneath”, “below”, “lower”, “above”, “upper”, and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • Embodiments are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, these embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present inventive subject matter.
  • FIG. 1 is a cross-sectional view of a semiconductor device according to first embodiments of the present inventive subject matter.
  • the semiconductor device may include a substrate 10 , an interlayer dielectric film 21 including first and second trenches 27 and 28 , and first and second gate electrodes 30 and 40 formed in the first and second trenches 27 and 28 .
  • the substrate 10 may be made of one or more semiconductor materials selected from the group consisting of, for example, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP, a silicon on insulator (SOI) substrate, a quartz substrate, a rigid substrate such as a glass substrate for display, or a flexible plastic substrate made of polyimide, polyethylene terephthalate (PET), polyethylene naphthalate (PEN), polymethyl methacrylate (PMMA), polycarbonate (PC), polyether sulfone (PES), or polyester.
  • STI shallow trench isolation
  • a first region I and a second region II are defined in the substrate 10 .
  • the first and second regions I and II may be separated from each other or may be connected to each other.
  • the first region I may be a region where a transistor having a low threshold voltage and a fast switching speed is formed
  • the second region II may be a region where a transistor having a high threshold voltage, slow switching speed and high reliability is formed.
  • the first region I may be a cell array region where a cell array having unit memory cells are arrayed in a matrix
  • the second region II may be a core/peripheral region including peripheral circuits for fetching external data to the cell array or transferring data of the cell array to an external circuit, but embodiments of the present inventive subject matter are not limited thereto.
  • the first region I may be an SRAM region and the second region II may be a logic region, but embodiments of the present inventive subject matter are not limited thereto.
  • the second region II may be a logic region and the first region I may be another memory region (e.g., DRAM, MRAM, RRAM or PRAM).
  • the interlayer dielectric film 21 is formed on the substrate 10 and may include first and second trenches 27 and 28 .
  • the first trench 27 is formed on the first region I and the second trench 28 is formed on the second region II.
  • a first width W1 of the first trench 27 may be different from a second width W2 of the second trench 28 .
  • the first width W1 of the first trench 27 may be smaller than the second width W2 of the second trench 28 . Since the first and second trenches 27 and 28 have different widths, the first gate 30 and the second gate 40 formed in the first and second trenches 27 and 28 may also have different widths.
  • the interlayer dielectric film 21 may be formed by stacking insulation films of two or more layers. As shown, spacers 23 may be formed on sidewalls of the first and second trenches 27 and 28 , and the substrate 10 may be disposed on bottom surfaces of the first and second trenches 27 and 28 , but embodiments of the present inventive subject matter are not limited thereto.
  • the spacers 23 may include at least one of a nitride film and an oxynitride film. Unlike in the illustrated embodiments, the spacer 23 may be L-shaped. In the illustrated embodiments, the spacers 23 are formed of a single layer, but embodiments of the present inventive subject matter are not limited thereto. Rather, the spacers 23 may be formed of multiple layers.
  • a source/drain 13 may be formed in the substrate 10 of at least one side of the first and second gate electrodes 30 and 40 .
  • the first gate electrode 30 may be formed in the first trench 27 and the second gate electrode 40 may be formed in the second trench 28 .
  • the first and second gate electrodes 30 and 40 may include first and second gate insulation films 31 and 41 , and first and second functional films 36 and 46 .
  • the second gate 40 may additionally include a gate metal 49 .
  • the first gate electrode 30 and the second gate electrode 40 have the same height. However, since the first width W1 of the first trench 27 is less than the second width W2 of the second trench 28 , the first width W1 of the first gate electrode 30 formed in the first trench 27 on the first region I is less than the second width W2 of the second gate electrode 40 formed in the second trench 28 on the second region II. Therefore, a length W of a channel region under the first gate electrode 30 may be smaller than a length W2 of a channel region under the second gate electrode 40 , and a threshold voltage of the first gate electrode 30 may be smaller than a threshold voltage of the second gate electrode 40 .
  • the first gate insulation film 31 may conform to the sidewalls and a bottom surface of the first trench 27 and the second gate insulation film 41 may conform to the sidewalls and a bottom surface of the second trench 28 . Since the first and second gate insulation films 31 and 41 are conformal, the first gate insulation film 31 may form a third trench in the first trench 27 , the third trench being smaller than in the first trench 27 , and the second gate insulation film 41 may form a fourth trench in the second trench 28 , the fourth trench being smaller than in the second trench 28 .
  • the first and second gate electrode insulation films 31 and 41 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film.
  • the first and second gate electrode insulation films 31 and 41 may include a material selected from the group consisting of HfSiON, HfO 2 , ZrO 2 , Ta 2 O 5 , TiO 2 , SrTiO 5 and (Ba,Sr)TiO 5 .
  • the first and second gate electrode insulation films 31 and 41 may be formed to appropriate thicknesses according to the kind of a target device to be formed.
  • the first and second functional films 36 and 46 may be formed on the first and second gate insulation films 31 and 41 , respectively.
  • the first functional film 36 may be formed on the first gate insulation film 31 in the first trench 27 to fill the third trench.
  • the second functional film 46 may be formed on the second gate insulation film 41 in the second trench 28 conform to the sidewalls and a bottom surface of the second trench 28 . Since the functional film 46 is conformal, it may form a fifth trench in the second trench 28 , the fifth trench being smaller than the fourth trench.
  • the first and second functional films 36 and 46 may control work functions of the first and second gate electrodes 30 and 40 and may determine whether the transistor functions as an N type transistor or a P type transistor.
  • the first functional film 36 may include a first work function control film 35 and a first barrier metal film 37 and the second functional film 46 may include a second work function control film 45 and a second barrier metal film 47 .
  • the first work function control film 35 may be formed on the first gate insulation film 31 in the first trench 27 and may conform to the sidewalls and a bottom surface of the first trench 27 .
  • the first barrier metal film 37 may be formed on the first work function control film 35 .
  • the first barrier metal film 37 may be formed to fill an empty space of the third trench. Therefore, no further film is formed in the first trench 27 .
  • the first work function control film 35 may control a work function of the first gate electrode 30 , and the first barrier metal film 37 may protect the first work function control film 35 .
  • the second work function control film 45 may be formed on the second gate insulation film 41 in the second trench 28 and may conform to the sidewalls and a bottom surface of the second trench 28 .
  • the second barrier metal film 47 may be formed on the second work function control film 45 conform to the sidewalls and a bottom surface of the second trench 28 . Since the second work function control film 45 and the second barrier metal film 47 are both conformal, the second functional film 46 may form the fifth trench.
  • the second work function control film 45 may control a work function of the second gate electrode 40 .
  • the second barrier metal film 47 may protect the second work function control film 45 and may prevent the gate metal 49 from affecting the second work function control film 47 .
  • the first and second work function control films 35 and 45 may be N type or P type work function control films.
  • the first and second work function control films 35 and 45 are of N type, they may include, for example, a material selected from the group consisting of TiAl, TiAlN, TaC, TiC, and HfSi.
  • first and second work function control films 35 and 45 are of P type, they may include, for example, a material selected from the group consisting of Mo, Pd, Ru, Pt, TiN, WN, TaN, Ir, TaC, RuN and MoN.
  • the first and second barrier metal films 37 and 47 may include, for example, TiN.
  • the gate metal 49 may be formed on the second barrier metal film 47 .
  • the gate metal 49 may be formed on the second barrier metal film 47 to fill the fifth trench in the second trench 28 .
  • the gate metal 49 may include, for example, a material selected from the group consisting of aluminum (Al), tungsten (W), metal carbide, metal nitride, metal suicide, metal Al carbide, metal Al nitride, metal Al, and metal Si nitride, but embodiments of the present inventive subject matter are not limited thereto.
  • the first gate electrode 30 may not include a gate metal.
  • FIGS. 2 and 3 illustrate characteristics of some embodiments of the present inventive subject matter.
  • the first gate electrode 30 formed on the first region I does not include the gate metal 49 .
  • the second gate electrode 40 formed on the second region II includes the gate metal 49 .
  • the gate metal 49 is made of a low resistivity material and may reduce the resistivity of a gate. However, if a length of a channel region is short, like the first region I shown in FIG. 1 , and if the gate metal is formed on the first functional film 36 , like the second region II, the resistivity of the first gate electrode 30 is further increased.
  • FIG. 2 is a graph illustrating the resistivity of a section of the first gate electrode 30 when the first gate electrode 30 of the first region I includes the gate metal and when the first gate electrode 30 of the first region I does not include the gate metal.
  • the gate metal may include W.
  • Table 1 shows resistivity values of metal materials TiN and W.
  • TiN may be used as a barrier metal film and W may be used as a gate metal.
  • the resistivity of TiN is further reduced. Therefore, if the first width W1 of the first gate electrode 30 is small, like the first region I, and a gate metal is not formed in the first gate electrode 30 , the resistivity of the first gate electrode 30 is further reduced.
  • a width of a gate is large, like the second width W2 of the second gate electrode 40 of the second region II, that is, when the second trench 28 should be filled with a large amount of the gate metal 49 , and the second gate electrode 40 does not include the gate metal (e.g., W) 49 , the resistivity of the second gate electrode 40 is increased. Therefore, the resistivity of the second gate electrode 40 can be reduced by forming the gate metal 49 to fill the fifth trench.
  • the performance of a transistor can be improved when the first gate electrode 30 is an N type transistor.
  • Table 2 shows stress values of metal materials.
  • tungsten (W) that is mainly used as a gate metal has stress greater than TiN, TaN o, TiAl, which may be included in the first functional film 36 . Accordingly, if the first gate electrode 30 is an N type transistor and a gate metal is formed in the first gate electrode 30 , the gate metal may apply stress to a channel region, thereby adversely affecting the performance of transistor.
  • FIG. 3 is a graph illustrating characteristics of the first gate electrode 30 depending on whether the first gate electrode 30 includes a gate metal or not.
  • the y axis indicates the current Ioff flowing in a source when a gate is OFF
  • the x axis indicates the current Ion flowing in a source when a gate is ON.
  • the dotted line is graphical representation in a case where the first gate electrode 30 includes a gate metal (made of W) and the solid line is graphical representation in a case where the first gate electrode 30 does not include a gate metal.
  • the Ion level is increased approximately 10% for the same Ioff level. Therefore, if the first gate electrode 30 does not include a gate metal, the performance is improved by approximately 10%.
  • FIG. 4 is a cross-sectional view of a semiconductor device according to further embodiments of the present inventive subject matter.
  • the same content as that of the semiconductor device 1 of the previously described embodiments will be omitted and the following description will focus on differences between the semiconductor devices according to the present and previously described embodiments.
  • a first functional film 38 may further include a third work function control film 33 and a second functional film 48 may further include a fourth work function control film 43 .
  • the third work function control film 33 may be formed between a first gate insulation film 31 and a first work function control film 35 in a first trench 27 .
  • the third work function control film 33 may conform to sidewalls and a bottom surface of the first trench 27 .
  • the fourth work function control film 43 may be formed between a second gate insulation film 41 and a second work function control film 45 in a second trench 28 .
  • the fourth work function control film 43 may conform to sidewalls and a bottom surface of the second trench 28 .
  • the first and second work function control films 35 and 45 may be N type work function control films, and the third and fourth work function control films 33 and 43 may be P type work function control films.
  • the semiconductor device 2 may be a P type transistor.
  • FIG. 5 is a cross-sectional view of a semiconductor device according to still further embodiments of the present inventive subject matter
  • FIG. 6 is a cross-sectional view taken along the line A-A′ of FIG. 5
  • FIG. 7 is a cross-sectional view taken along the lines B-B′ and C-C of FIG. 5 .
  • a first fin type transistor 101 is formed on a first region I and a second fin type transistor 201 is formed on a second region II.
  • the first and second regions I and II may be separated from each other or may be connected to each other.
  • the first region I may be a region where a transistor having a low threshold voltage and a fast switching speed is formed
  • the second region II may be a region where a transistor having a high threshold voltage, slow switching speed and high reliability is formed.
  • the first region I may be a cell array region having an array of unit memory cells
  • the second region II may be a core/peripheral region including peripheral circuits for fetching external data to the cell array or transferring data of the cell array to an external circuit, but embodiments of the present inventive subject matter are not limited thereto.
  • the first fin type transistor 101 may include a first fin F1, a first gate insulation film 141 forming a first gate electrode, a first functional film 147 , a first recess 125 , and a first source/drain 161 .
  • the first fin F1 may extend lengthwise in a second direction Y1.
  • the first fin F1 may be a portion of a substrate 100 and may include an epitaxial layer grown from the substrate 101 .
  • An isolation film 110 may cover lateral surfaces of the first fin F1.
  • a first functional film 147 may be formed on the first fin F1 so as to cross the first fin F1.
  • the first functional film 147 may extend lengthwise in a first direction X1.
  • the first functional film 147 may include a first work function control film 143 and a first barrier metal film 145 . As shown, the first functional film 147 may include the first work function control film 143 and the first barrier metal film 145 sequentially stacked. The first work function control film 143 may control a work function of the first fin type transistor 101 and the first barrier metal film 145 may fill an empty space formed by the first work function control film 143 .
  • the first work function control film 143 may include, for example, at least one of TaN, TiC, and TaC.
  • the first barrier metal film 145 may include, for example, TiN.
  • the first functional film 147 may include Si or SiGe, rather than a metal.
  • the first functional film 147 may be formed by, for example, a replacement process (that is, the first functional film 147 may have a gate last structure.).
  • the first gate insulation film 141 may be formed between the first fin F1 and the first functional film 147 . As shown in FIG. 6 , the first gate insulation film 141 may be formed on a top surface and upper portions of lateral surfaces of the first fin F1. The first gate insulation film 141 may be disposed between the first functional film 147 and the isolation film 110 .
  • the first gate insulation film 141 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film.
  • the first gate insulation film 141 may include a material selected from the group consisting of HfO2, ZrO 2 and Ta 2 O 5 .
  • a first recess 125 may be formed in the first fin F1 at opposite sides of the first functional film 147 .
  • a first source/drain 161 is formed in the first recess 125 .
  • the first source/drain 161 may be an elevated source/drain.
  • the first source/drain 161 and the first functional film 147 may be insulated from each other by a spacer 151 .
  • the first source/drain 161 may include a compressive stress material.
  • the compressive stress material may be a material having a larger lattice constant than silicon (Si), for example, SiGe.
  • the compressive stress material may improve the mobility of carriers of a channel region by applying compressive stress to the first fin F1.
  • the first source/drain 161 may include the same material as the substrate 100 or a tensile stress material.
  • the substrate 100 includes Si
  • the first source/drain 161 may include Si or a material having a smaller lattice constant than Si (e.g., SiC).
  • the spacer 151 may include at least one of a nitride film and an oxynitride film.
  • the substrate 100 may include, for example, one or more semiconductor materials selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP.
  • the substrate 100 may be a silicon on insulator (SOI) substrate.
  • the second fin type transistor 201 may include a second fin F2, a second functional film 247 forming a second gate electrode, a second gate insulation film 241 , a gate metal 249 , a second recess 225 , and a second source/drain 261 .
  • the second functional film 247 may be formed on the second fin F2 to cross the second fin F2, the second recess 225 may be formed in the second fin F2 at opposite sides of the second functional film 247 , and the second source/drain 261 may be formed in the second recess 225 .
  • the second fin type transistor 201 is generally similar to the first fin type transistor 101 and the following description will focus on differences between the first fin type transistor 101 and the second fin type transistor 201 .
  • first fin F1 and the second fin F2 extend lengthwise to be parallel to each other along second directions Y1 and Y2, respectively, but embodiments of the present inventive subject matter are not limited thereto.
  • first fin F1 may extend lengthwise in the second direction Y1 and the second fin F2 may extend lengthwise in a first direction X2.
  • first functional film 147 and the second functional film 247 extend lengthwise to be parallel to each other along first directions X1 and X2, respectively, but embodiments of the present inventive subject matter are not limited thereto.
  • first functional film 147 may extend lengthwise in the first direction X1 and the second fin F2 may extend lengthwise in the second direction Y2.
  • the first fin type transistor 101 and the second fin type transistor 201 may have the same conductivity type (e.g., P type or N type).
  • the first fin type transistor 101 may have a first conductivity type (e.g., P type) and the second fin type transistor 201 may have a second conductivity type (e.g., N type).
  • the second functional film 247 is formed on the second gate insulation film 241 .
  • the second functional film 247 conforms to, the inner surface of the second gate insulation film 241 to form a trench therein, unlike the first functional film 147 formed to fill the inside of the first insulation film 141 .
  • the trench formed by the second functional film 247 may be filled with the gate metal 249 .
  • the gate metal 249 may include, for example, Al and/or W.
  • Undefined reference numeral 200 denotes a substrate
  • undefined reference numeral 210 denotes an isolation film
  • undefined reference numeral 251 denotes a second spacer
  • the first recess 125 and the second recess 225 may be filled with a metal rather than a semiconductor material.
  • the first source/drain 161 and the second source/drain 261 may include a metal material, rather than a semiconductor material such as Si, SiGe or SiC.
  • a first width W1 of the first fin F1 is less than a second width W2 of the second fin F2. Therefore, a channel region of the first fin type transistor 101 is smaller than that of the second fin type transistor 201 . Since a gate metal is not formed in the first fin type transistor 101 , unlike in the second fin type transistor 201 , gate resistivity and performance can be improved.
  • FIGS. 8 to 13 illustrate operations for fabricating a semiconductor device according to some embodiments of the present inventive subject matter. Repeated description of components will be omitted.
  • an interlayer dielectric film 21 is formed on a substrate 10 .
  • the substrate 10 includes a first region I and a second region II.
  • the first and second regions I and II may be separated from each other or may be connected to each other.
  • An active region is defined in a substrate 10 by forming an isolation film 11 , such as a shallow trench isolation (STI).
  • a first dummy gate electrode 25 is disposed on the first region I and a second dummy gate electrode 26 is disposed on the second region II.
  • a first width W1 of the first dummy gate electrode 25 is different from a second width W2 of the second dummy gate electrode 26 .
  • the first width W1 of the first dummy gate electrode 25 is less than the second width W2 of the second dummy gate electrode 26 .
  • the interlayer dielectric film 21 is formed to cover both lateral surfaces of the first and second dummy gates 25 and 26 . Therefore, top surfaces of the first and second dummy gate electrodes 25 and 26 are exposed. Spacers 23 are formed on lateral surfaces of the first dummy gate electrode 25 and the second dummy gate electrode 26 .
  • first and second trenches 27 and 28 are formed by removing the first and second dummy gate electrodes 25 and 26 , respectively. A top surface of the substrate 10 may be exposed within the first and second trenches 27 and 28 . Since the first and second dummy gate electrodes 25 and 26 have different widths, the first and second trenches 27 and 28 may also have different widths.
  • first and second gate insulation films 31 a and 41 a are formed on the substrate 10 .
  • the first gate insulation film 31 a is formed on the first region I and the second gate insulation film 41 a is formed on the second region II.
  • the first gate insulation film 31 a may conform to a top surface of the interlayer dielectric film 21 and sidewalls and a bottom surface of the first trench 27 . Therefore, a third trench 71 smaller than the first trench 27 is formed in the first trench 27 .
  • the second gate insulation film 41 a may conform to a top surface of the interlayer dielectric film 21 and sidewalls and a bottom surface of the second trench 28 . Therefore, a fourth trench 72 smaller than the second trench 28 is formed in the second trench 28 .
  • a first functional film 36 a is formed on the first gate insulation film 31 a and a second functional film 46 a is formed on the second gate insulation film 41 a .
  • the first and second functional films 36 a and 46 a may control a work function of a transistor.
  • the first functional film 36 a is formed to fill the third trench 71 and the second functional film 46 a includes a fifth trench 73 in the fourth trench 72 .
  • a first work function control film 35 a is formed on the first region I and a second work function control film 45 a is formed on the second region II.
  • the first work function control film 35 a is formed on the first gate insulation film 31 a and is conformal along the sidewalls and a bottom surface of the third trench 71 .
  • the second work function control film 45 a is formed on the second gate insulation film 41 a and is conformal along the sidewalls and a bottom surface of the fourth trench 72 .
  • a first barrier metal film 37 a is formed on the first work function control film 35 a and a second barrier metal film 47 a is formed on the second work function control film 45 a . Since the first barrier metal film 37 a is formed to fill the third trench 71 , no further film is formed in the third trench 71 .
  • the second barrier metal film 47 a is conformal along the sidewalls and a bottom surface of the fourth trench 72 . Therefore, a fifth trench 73 smaller than the fourth trench 72 may be formed in the fourth trench 72 .
  • a gate metal 49 a is formed on the second functional film 46 a .
  • the gate metal 49 a may be formed to fill the fifth trench 73 .
  • the gate metal 49 a is not stacked on the first region I. However, when the gate metal 49 a is formed on the second region II, it may also be formed on the first functional film 36 a . Polishing may be performed to expose the top surface of the interlayer dielectric film 21 , thereby producing the semiconductor device 1 shown in FIG. 1 .
  • the gate metal 49 a When the gate metal 49 a is formed on the second functional film 46 a , it may also be stacked on the first functional film 36 a but may not remain on the first region I as the result of polishing.
  • a length W1 of a channel region of the first region I may be smaller than a length W2 of a channel region of the second region II.
  • the heights of the first and second gate electrodes 30 and 40 may be equal to each other.
  • a height ranging from the top surface of the substrate 10 to a top surface of the first barrier metal film 37 and a height ranging from the top surface of the substrate 10 to a top surface of the gate metal 49 may be equal.
  • FIGS. 2 , 8 to 10 and 14 to 16 Operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter will be described with reference to FIGS. 2 , 8 to 10 and 14 to 16 . Repeated description of like components with respect to the previously described embodiments will be omitted and the following description will focus on differences between the methods for fabricating the semiconductor devices according to the present and previously described embodiments.
  • FIGS. 14 to 16 illustrate operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter. Operations described above with reference to FIGS. 8 to 10 are performed.
  • the first functional film 38 a is formed to fill the third trench 71 and the second functional film 48 a is formed to fill the fourth trench 72 .
  • the first functional film 38 a further includes a third work function control film 33 a and the second functional film 48 a further includes a fourth work function control film 43 a .
  • a third work function control film 33 a is formed between the first gate insulation film 31 a and the first work function control film 35 a .
  • the third work function control film 33 a may be formed under the first work function control film 35 a and may conform to sidewalls and a bottom surface of the third trench 71 .
  • a fourth work function control film 43 a is formed between the second gate insulation film 41 a and the second work function control film 45 a .
  • the fourth work function control film 43 a may be formed under the second work function control film 45 a and may conform to sidewalls and a bottom surface of the fourth trench 72 .
  • the first and second work function control films 35 a and 45 a may be N type work function control films and the third and fourth work function control films 33 a and 43 a may be P type work function control films to provide a P type transistor.
  • a first barrier metal film 37 a is formed on the first work function control film 35 a to fill the third trench 71 and a second barrier metal film 47 a is formed on the second work function control film 45 a to have a fifth trench 74 smaller than the fourth trench 72 .
  • a gate metal 49 a is formed on the second barrier metal film 47 a to fill the fifth trench 74 .
  • the gate metal 49 a may also be formed on the first barrier metal film 37 a . Polishing may be performed to expose a top surface of the interlayer dielectric film 21 and thereby produce the semiconductor device 2 shown in FIG. 2 .
  • FIG. 17 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive subject matter.
  • the electronic system 1100 may include a controller 1110 , an input/output device (I/O) 1120 , a memory device 1130 , an interface 1140 and a bus 1150 .
  • the controller 1110 , the I/O 1120 , the memory device 1130 , and/or the interface 1140 may be connected to each other through the bus 1150 .
  • the bus 1150 corresponds to a path through which data moves.
  • the controller 1110 may include at least one of a microprocessor, a digital signal processor, a microcontroller, and logic elements capable of functions similar to those of these elements.
  • the I/O 1120 may include a key pad, a key board, a display device, and so on.
  • the memory device 1130 may store data and/or commands.
  • the interface 1140 may perform functions of transmitting data to a communication network or receiving data from the communication network.
  • the interface 1140 may be wired or wireless.
  • the interface 1140 may include an antenna or a wired/wireless transceiver, and so on.
  • the electronic system 1100 may further include high-speed DRAM and/or SRAM as the operating memory for improving the operation of the controller 1110 .
  • the semiconductor devices 1 , 2 , 101 and 201 may be provided in the memory device 1130 or may be provided some components of the controller 1110 or the I/O 1120 .
  • the electronic system 1100 may be applied to a personal digital assistant (PDA), a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, a memory card, or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • PDA personal digital assistant
  • portable computer a portable computer
  • web tablet a wireless phone
  • mobile phone a mobile phone
  • digital music player a digital music player
  • memory card or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • FIGS. 18 and 19 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive subject matter can be employed.
  • FIG. 18 illustrates an example in which a semiconductor device according to some embodiments of the present inventive subject matter is applied to a tablet PC.
  • FIG. 19 illustrates an example in which a semiconductor device according to some embodiments of the present inventive subject matter is applied to a notebook computer. At least one of the semiconductor devices 1 , 2 , 101 and 201 according to some embodiments of the present inventive subject matter can be employed to a tablet PC, a notebook computer, and the like. It is obvious to one skilled in the art that the semiconductor devices according to some embodiments of the present inventive subject matter may also be applied to other IC devices not illustrated herein.

Abstract

A semiconductor device includes a substrate and first and second gate electrodes on the substrate. The first gate electrode includes a first gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion and away from the substrate defining a first trench having a first width and a first functional film filling the first trench. The second gate electrode includes a second gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion defining a second trench having a second width different from the first width, a second functional film conforming to the second gate insulation film in the second trench and defining a third trench, and a metal region in the third trench. The first width may be less than the second width.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority from Korean Patent Application No. 10-2013-0072504 filed on Jun. 24, 2013 in the Korean Intellectual Property Office, and all the benefits accruing therefrom under 35 U.S.C. 119, the contents of which in its entirety are herein incorporated by reference.
  • BACKGROUND
  • The present inventive subject matter relates to semiconductor devices and methods of fabricating the same and, more particularly, to semiconductor devices using metal oxide semiconductor (MOS) transistors.
  • As the feature size of metal oxide semiconductor (MOS) transistors has been reduced, the length of a gate and the length of a channel formed therebelow are also gradually decreasing. Accordingly, various studies are under way to increase capacitance between the gate and the channel and to improve operating characteristics of the MOS transistors.
  • SUMMARY
  • According to some embodiments of the inventive subject matter, a semiconductor device includes a substrate and first and second gate electrodes on the substrate. The first gate electrode includes a first gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion and away from the substrate defining a first trench having a first width and a first functional film filling the first trench. The second gate electrode includes a second gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion defining a second trench having a second width different from the first width, a second functional film conforming to the second gate insulation film in the second trench and defining a third trench, and a metal region in the third trench. The first width may be less than the second width.
  • In some embodiments, the first functional film may include a first work function control film conforming to the sidewall and bottom portions of the first gate insulation layer in the first trench and a first barrier film on the first work function control film. The second functional film may include a second work function control film and a second barrier film on the second work function control film. The first barrier film may include titanium nitride (TiN) and the metal region may include tungsten (W). The first functional film may further include a third work function control film and the second functional film may further include a fourth work function control film. The first and second work function control films may be N type and the third and fourth work function control films may be P type.
  • In some embodiments, the semiconductor device may further include a first fin and a second fin on the substrate. The first and second gate electrodes may be disposed on respective ones of the first and second fins. The first gate electrode and the second gate electrode may have the same height. The first and second gate electrodes may be disposed in cell array and peripheral circuit regions, respectively.
  • Further embodiments provide methods including forming an interlayer dielectric film on a substrate having a first dummy gate electrode with a first width and a second dummy gate electrode of a second width different than the first width thereon, the interlayer dielectric film covering lateral surfaces of the first and second dummy gate electrodes. First and second trenches are formed by removing the first and second dummy gate electrodes. First and second gate insulation films are formed in the first and second trenches. A first functional film and a second functional film are formed on the first gate insulation film and the second gate insulation film, respectively, the first functional film controlling a work function and filling the first trench and the second functional film controlling a work function and conforming to the second gate insulation film to define a third trench. A metal region is formed on the second functional film in the third trench.
  • Stiff further embodiments provide a semiconductor device including a substrate and first and second fin transistors disposed on the substrate. The first fin transistor includes a first semiconductor fin protruding from the substrate and a first gate electrode crossing the first semiconductor fin. The first gate electrode includes a first gate insulation film having a bottom portion disposed on the substrate and conforming to the first semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate, at least one first work function control film conforming to the bottom and sidewall portions of the first gate insulation film, and a first barrier film filling a first trench defined by the at least one first work function control film. The first fin transistor further includes first source/drain regions on opposite sides of the first gate electrode.
  • The second fin transistor includes a second semiconductor fin extending from the substrate and a second gate electrode crossing the second semiconductor fin. The second gate electrode includes a second gate insulation film having a bottom portion disposed on the substrate and conforming to the second semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate, at least one second work function control film conforming to the bottom and sidewall portions of the first gate insulation film, a second barrier film conforming to the at least one second work function control film, and a metal region filling a trench defined by the at least one second work function control film. The second fin transistor further includes second source/drain regions on opposite sides of the second gate electrode.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other features and advantages of the present inventive subject matter will become more apparent by describing in detail preferred embodiments thereof with reference to the attached drawings in which:
  • FIG. 1 is a cross-sectional view of a semiconductor device according to some embodiments of the present inventive subject matter;
  • FIG. 2 is a graph illustrating the resistivity of a section of a first gate electrode when the first gate electrode of a first region I includes a gate metal and when the first gate electrode of the first region I does not include the gate metal;
  • FIG. 3 is a graph illustrating characteristics of a first gate electrode depending on whether the first gate electrode includes a gate metal or not;
  • FIG. 4 is a cross-sectional view of a semiconductor device according to further embodiments of the present inventive subject matter;
  • FIG. 5 is a cross-sectional view of a semiconductor device according to still further embodiments of the present inventive subject matter;
  • FIG. 6 is a cross-sectional view taken along the line A-A′ of FIG. 5;
  • FIG. 7 is a cross-sectional view taken along the lines B-B′ and C-C of FIG. 5;
  • FIGS. 8 to 13 illustrate operations for fabricating a semiconductor device according to some embodiments of the present inventive subject matter;
  • FIGS. 14 to 16 illustrate operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter;
  • FIG. 17 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive subject matter; and
  • FIGS. 18 and 19 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive subject matter can be employed.
  • DETAILED DESCRIPTION
  • Advantages and features of the present inventive subject matter and methods of accomplishing the same may be understood more readily by reference to the following detailed description of preferred embodiments and the accompanying drawings. The present inventive subject matter may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete and will fully convey the subject matter of the inventive subject matter to those skilled in the art, and the present inventive subject matter will only be defined by the appended claims. Like reference numerals refer to like elements throughout the specification.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the inventive subject matter. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • It will be understood that when an element or layer is referred to as being “on”, “connected to” or “coupled to” another element or layer, it can be directly on, connected or coupled to the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on”, “directly connected to” or “directly coupled to” another element or layer, there are no intervening elements or layers present. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present inventive subject matter.
  • Spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper”, and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • Embodiments are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, these embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present inventive subject matter.
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the present inventive subject matter belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and this specification and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • Hereinafter, a semiconductor device according to some embodiments of the present inventive subject matter will be described with reference to FIG. 1. FIG. 1 is a cross-sectional view of a semiconductor device according to first embodiments of the present inventive subject matter.
  • Referring to FIG. 1, the semiconductor device according to some embodiments of the present inventive subject matter may include a substrate 10, an interlayer dielectric film 21 including first and second trenches 27 and 28, and first and second gate electrodes 30 and 40 formed in the first and second trenches 27 and 28.
  • An active region is defined in a substrate 10 by forming an isolation film 11, such as a shallow trench isolation (STI). The substrate 10 may be made of one or more semiconductor materials selected from the group consisting of, for example, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP, a silicon on insulator (SOI) substrate, a quartz substrate, a rigid substrate such as a glass substrate for display, or a flexible plastic substrate made of polyimide, polyethylene terephthalate (PET), polyethylene naphthalate (PEN), polymethyl methacrylate (PMMA), polycarbonate (PC), polyether sulfone (PES), or polyester.
  • A first region I and a second region II are defined in the substrate 10. The first and second regions I and II may be separated from each other or may be connected to each other. For example, the first region I may be a region where a transistor having a low threshold voltage and a fast switching speed is formed, and the second region II may be a region where a transistor having a high threshold voltage, slow switching speed and high reliability is formed. For example, the first region I may be a cell array region where a cell array having unit memory cells are arrayed in a matrix, and the second region II may be a core/peripheral region including peripheral circuits for fetching external data to the cell array or transferring data of the cell array to an external circuit, but embodiments of the present inventive subject matter are not limited thereto.
  • For example, the first region I may be an SRAM region and the second region II may be a logic region, but embodiments of the present inventive subject matter are not limited thereto. The second region II may be a logic region and the first region I may be another memory region (e.g., DRAM, MRAM, RRAM or PRAM).
  • The interlayer dielectric film 21 is formed on the substrate 10 and may include first and second trenches 27 and 28. The first trench 27 is formed on the first region I and the second trench 28 is formed on the second region II. A first width W1 of the first trench 27 may be different from a second width W2 of the second trench 28. In detail, the first width W1 of the first trench 27 may be smaller than the second width W2 of the second trench 28. Since the first and second trenches 27 and 28 have different widths, the first gate 30 and the second gate 40 formed in the first and second trenches 27 and 28 may also have different widths.
  • The interlayer dielectric film 21 may be formed by stacking insulation films of two or more layers. As shown, spacers 23 may be formed on sidewalls of the first and second trenches 27 and 28, and the substrate 10 may be disposed on bottom surfaces of the first and second trenches 27 and 28, but embodiments of the present inventive subject matter are not limited thereto. The spacers 23 may include at least one of a nitride film and an oxynitride film. Unlike in the illustrated embodiments, the spacer 23 may be L-shaped. In the illustrated embodiments, the spacers 23 are formed of a single layer, but embodiments of the present inventive subject matter are not limited thereto. Rather, the spacers 23 may be formed of multiple layers.
  • A source/drain 13 may be formed in the substrate 10 of at least one side of the first and second gate electrodes 30 and 40.
  • The first gate electrode 30 may be formed in the first trench 27 and the second gate electrode 40 may be formed in the second trench 28. The first and second gate electrodes 30 and 40 may include first and second gate insulation films 31 and 41, and first and second functional films 36 and 46. The second gate 40 may additionally include a gate metal 49.
  • The first gate electrode 30 and the second gate electrode 40 have the same height. However, since the first width W1 of the first trench 27 is less than the second width W2 of the second trench 28, the first width W1 of the first gate electrode 30 formed in the first trench 27 on the first region I is less than the second width W2 of the second gate electrode 40 formed in the second trench 28 on the second region II. Therefore, a length W of a channel region under the first gate electrode 30 may be smaller than a length W2 of a channel region under the second gate electrode 40, and a threshold voltage of the first gate electrode 30 may be smaller than a threshold voltage of the second gate electrode 40.
  • The first gate insulation film 31 may conform to the sidewalls and a bottom surface of the first trench 27 and the second gate insulation film 41 may conform to the sidewalls and a bottom surface of the second trench 28. Since the first and second gate insulation films 31 and 41 are conformal, the first gate insulation film 31 may form a third trench in the first trench 27, the third trench being smaller than in the first trench 27, and the second gate insulation film 41 may form a fourth trench in the second trench 28, the fourth trench being smaller than in the second trench 28.
  • The first and second gate electrode insulation films 31 and 41 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film. For example, the first and second gate electrode insulation films 31 and 41 may include a material selected from the group consisting of HfSiON, HfO2, ZrO2, Ta2O5, TiO2, SrTiO5 and (Ba,Sr)TiO5. The first and second gate electrode insulation films 31 and 41 may be formed to appropriate thicknesses according to the kind of a target device to be formed.
  • The first and second functional films 36 and 46 may be formed on the first and second gate insulation films 31 and 41, respectively. In detail, the first functional film 36 may be formed on the first gate insulation film 31 in the first trench 27 to fill the third trench. The second functional film 46 may be formed on the second gate insulation film 41 in the second trench 28 conform to the sidewalls and a bottom surface of the second trench 28. Since the functional film 46 is conformal, it may form a fifth trench in the second trench 28, the fifth trench being smaller than the fourth trench. The first and second functional films 36 and 46 may control work functions of the first and second gate electrodes 30 and 40 and may determine whether the transistor functions as an N type transistor or a P type transistor.
  • The first functional film 36 may include a first work function control film 35 and a first barrier metal film 37 and the second functional film 46 may include a second work function control film 45 and a second barrier metal film 47.
  • The first work function control film 35 may be formed on the first gate insulation film 31 in the first trench 27 and may conform to the sidewalls and a bottom surface of the first trench 27. The first barrier metal film 37 may be formed on the first work function control film 35. In detail, the first barrier metal film 37 may be formed to fill an empty space of the third trench. Therefore, no further film is formed in the first trench 27. The first work function control film 35 may control a work function of the first gate electrode 30, and the first barrier metal film 37 may protect the first work function control film 35.
  • The second work function control film 45 may be formed on the second gate insulation film 41 in the second trench 28 and may conform to the sidewalls and a bottom surface of the second trench 28.
  • The second barrier metal film 47 may be formed on the second work function control film 45 conform to the sidewalls and a bottom surface of the second trench 28. Since the second work function control film 45 and the second barrier metal film 47 are both conformal, the second functional film 46 may form the fifth trench.
  • The second work function control film 45 may control a work function of the second gate electrode 40. The second barrier metal film 47 may protect the second work function control film 45 and may prevent the gate metal 49 from affecting the second work function control film 47.
  • The first and second work function control films 35 and 45 may be N type or P type work function control films. When the first and second work function control films 35 and 45 are of N type, they may include, for example, a material selected from the group consisting of TiAl, TiAlN, TaC, TiC, and HfSi.
  • When the first and second work function control films 35 and 45 are of P type, they may include, for example, a material selected from the group consisting of Mo, Pd, Ru, Pt, TiN, WN, TaN, Ir, TaC, RuN and MoN.
  • The first and second barrier metal films 37 and 47 may include, for example, TiN.
  • The gate metal 49 may be formed on the second barrier metal film 47. In detail, the gate metal 49 may be formed on the second barrier metal film 47 to fill the fifth trench in the second trench 28. The gate metal 49 may include, for example, a material selected from the group consisting of aluminum (Al), tungsten (W), metal carbide, metal nitride, metal suicide, metal Al carbide, metal Al nitride, metal Al, and metal Si nitride, but embodiments of the present inventive subject matter are not limited thereto.
  • The first gate electrode 30 may not include a gate metal.
  • Hereinafter, characteristics of some embodiments of the present inventive subject matter will be described with reference to FIGS. 1 to 3. FIGS. 2 and 3 illustrate characteristics of some embodiments of the present inventive subject matter.
  • In the present inventive subject matter, the first gate electrode 30 formed on the first region I does not include the gate metal 49. Only the second gate electrode 40 formed on the second region II includes the gate metal 49.
  • The gate metal 49 is made of a low resistivity material and may reduce the resistivity of a gate. However, if a length of a channel region is short, like the first region I shown in FIG. 1, and if the gate metal is formed on the first functional film 36, like the second region II, the resistivity of the first gate electrode 30 is further increased.
  • FIG. 2 is a graph illustrating the resistivity of a section of the first gate electrode 30 when the first gate electrode 30 of the first region I includes the gate metal and when the first gate electrode 30 of the first region I does not include the gate metal.
  • Referring to FIG. 2, in a case when the first gate electrode 30 does not include the gate metal, surface resistivity of the first gate electrode 30 is reduced by more than approximately 50%, compared to a case when the first gate electrode 30 of the first region I includes the gate metal. The gate metal may include W.
  • Table 1 shows resistivity values of metal materials TiN and W. Generally, TiN may be used as a barrier metal film and W may be used as a gate metal.
  • TABLE 1
    Metal Resistivity (Ωcm) Thickness (Å)
    TiN 2.0 × 104 50
    W 3.3 × 104
  • Referring to Table 1, when the first gate electrode 30 has a small thickness, the resistivity of TiN is further reduced. Therefore, if the first width W1 of the first gate electrode 30 is small, like the first region I, and a gate metal is not formed in the first gate electrode 30, the resistivity of the first gate electrode 30 is further reduced. On the other hand, when a width of a gate is large, like the second width W2 of the second gate electrode 40 of the second region II, that is, when the second trench 28 should be filled with a large amount of the gate metal 49, and the second gate electrode 40 does not include the gate metal (e.g., W) 49, the resistivity of the second gate electrode 40 is increased. Therefore, the resistivity of the second gate electrode 40 can be reduced by forming the gate metal 49 to fill the fifth trench.
  • Additionally, if the first gate electrode 30 of the first region I does not include a gate metal, the performance of a transistor can be improved when the first gate electrode 30 is an N type transistor. Table 2 shows stress values of metal materials.
  • TABLE 2
    Metal Stress (Gpa) Thickness (Å)
    W 1.54~2.56 500
    TiN 0.5 50
    TaN 0.2 15
    TiAl −0.7 100
  • Referring to Table 2, tungsten (W) that is mainly used as a gate metal has stress greater than TiN, TaN o, TiAl, which may be included in the first functional film 36. Accordingly, if the first gate electrode 30 is an N type transistor and a gate metal is formed in the first gate electrode 30, the gate metal may apply stress to a channel region, thereby adversely affecting the performance of transistor.
  • FIG. 3 is a graph illustrating characteristics of the first gate electrode 30 depending on whether the first gate electrode 30 includes a gate metal or not. In FIG. 3, the y axis indicates the current Ioff flowing in a source when a gate is OFF, and the x axis indicates the current Ion flowing in a source when a gate is ON. The dotted line is graphical representation in a case where the first gate electrode 30 includes a gate metal (made of W) and the solid line is graphical representation in a case where the first gate electrode 30 does not include a gate metal. As shown in FIG. 3, when the first gate electrode 30 does not include a gate metal, the Ion level is increased approximately 10% for the same Ioff level. Therefore, if the first gate electrode 30 does not include a gate metal, the performance is improved by approximately 10%.
  • Hereinafter, a semiconductor device according to further embodiments of the present inventive subject matter will be described with reference to FIG. 4. FIG. 4 is a cross-sectional view of a semiconductor device according to further embodiments of the present inventive subject matter. The same content as that of the semiconductor device 1 of the previously described embodiments will be omitted and the following description will focus on differences between the semiconductor devices according to the present and previously described embodiments.
  • Referring to FIG. 4, unlike in the semiconductor device 1 shown in FIG. 1, in the semiconductor device 2 according to further embodiments of the present inventive subject matter, a first functional film 38 may further include a third work function control film 33 and a second functional film 48 may further include a fourth work function control film 43. In detail, the third work function control film 33 may be formed between a first gate insulation film 31 and a first work function control film 35 in a first trench 27. The third work function control film 33 may conform to sidewalls and a bottom surface of the first trench 27. The fourth work function control film 43 may be formed between a second gate insulation film 41 and a second work function control film 45 in a second trench 28. The fourth work function control film 43 may conform to sidewalls and a bottom surface of the second trench 28.
  • The first and second work function control films 35 and 45 may be N type work function control films, and the third and fourth work function control films 33 and 43 may be P type work function control films.
  • Even if the first work function control film 35 is formed on the third work function control film 33 and the second work function control film 45 is formed on the fourth work function control film 43, it is the third and fourth work function control films 33 and 43 that affect operating characteristics of transistor. The first and second work function control films 35 and 45 may not affect operating characteristics of transistor. Therefore, the semiconductor device 2 according to further embodiments of the present inventive subject matter may be a P type transistor.
  • Hereinafter, a semiconductor device according to still further embodiments of the present inventive subject matter will be described with reference to FIGS. 5 to 7. FIG. 5 is a cross-sectional view of a semiconductor device according to still further embodiments of the present inventive subject matter, FIG. 6 is a cross-sectional view taken along the line A-A′ of FIG. 5, and FIG. 7 is a cross-sectional view taken along the lines B-B′ and C-C of FIG. 5.
  • Referring to FIGS. 5 to 7, a first fin type transistor 101 is formed on a first region I and a second fin type transistor 201 is formed on a second region II. The first and second regions I and II may be separated from each other or may be connected to each other. The first region I may be a region where a transistor having a low threshold voltage and a fast switching speed is formed, and the second region II may be a region where a transistor having a high threshold voltage, slow switching speed and high reliability is formed. For example, the first region I may be a cell array region having an array of unit memory cells, and the second region II may be a core/peripheral region including peripheral circuits for fetching external data to the cell array or transferring data of the cell array to an external circuit, but embodiments of the present inventive subject matter are not limited thereto.
  • The first fin type transistor 101 may include a first fin F1, a first gate insulation film 141 forming a first gate electrode, a first functional film 147, a first recess 125, and a first source/drain 161.
  • The first fin F1 may extend lengthwise in a second direction Y1. The first fin F1 may be a portion of a substrate 100 and may include an epitaxial layer grown from the substrate 101. An isolation film 110 may cover lateral surfaces of the first fin F1.
  • A first functional film 147 may be formed on the first fin F1 so as to cross the first fin F1. The first functional film 147 may extend lengthwise in a first direction X1.
  • The first functional film 147 may include a first work function control film 143 and a first barrier metal film 145. As shown, the first functional film 147 may include the first work function control film 143 and the first barrier metal film 145 sequentially stacked. The first work function control film 143 may control a work function of the first fin type transistor 101 and the first barrier metal film 145 may fill an empty space formed by the first work function control film 143. The first work function control film 143 may include, for example, at least one of TaN, TiC, and TaC. The first barrier metal film 145 may include, for example, TiN. The first functional film 147 may include Si or SiGe, rather than a metal. The first functional film 147 may be formed by, for example, a replacement process (that is, the first functional film 147 may have a gate last structure.).
  • The first gate insulation film 141 may be formed between the first fin F1 and the first functional film 147. As shown in FIG. 6, the first gate insulation film 141 may be formed on a top surface and upper portions of lateral surfaces of the first fin F1. The first gate insulation film 141 may be disposed between the first functional film 147 and the isolation film 110. The first gate insulation film 141 may include a high-k dielectric material having a higher dielectric constant than a silicon oxide film. For example, the first gate insulation film 141 may include a material selected from the group consisting of HfO2, ZrO2 and Ta2O5.
  • A first recess 125 may be formed in the first fin F1 at opposite sides of the first functional film 147.
  • A first source/drain 161 is formed in the first recess 125. The first source/drain 161 may be an elevated source/drain. The first source/drain 161 and the first functional film 147 may be insulated from each other by a spacer 151.
  • When the first fin type transistor 101 is a PMOS transistor, the first source/drain 161 may include a compressive stress material. For example, the compressive stress material may be a material having a larger lattice constant than silicon (Si), for example, SiGe. The compressive stress material may improve the mobility of carriers of a channel region by applying compressive stress to the first fin F1.
  • However, when the first fin type transistor 101 is an NMOS transistor, the first source/drain 161 may include the same material as the substrate 100 or a tensile stress material. For example, when the substrate 100 includes Si, the first source/drain 161 may include Si or a material having a smaller lattice constant than Si (e.g., SiC).
  • The spacer 151 may include at least one of a nitride film and an oxynitride film.
  • The substrate 100 may include, for example, one or more semiconductor materials selected from the group consisting of Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC, InAs and InP. In some embodiments, the substrate 100 may be a silicon on insulator (SOI) substrate.
  • The second fin type transistor 201 may include a second fin F2, a second functional film 247 forming a second gate electrode, a second gate insulation film 241, a gate metal 249, a second recess 225, and a second source/drain 261. The second functional film 247 may be formed on the second fin F2 to cross the second fin F2, the second recess 225 may be formed in the second fin F2 at opposite sides of the second functional film 247, and the second source/drain 261 may be formed in the second recess 225. The second fin type transistor 201 is generally similar to the first fin type transistor 101 and the following description will focus on differences between the first fin type transistor 101 and the second fin type transistor 201.
  • In FIG. 5, for the sake of convenient explanation, the first fin F1 and the second fin F2 extend lengthwise to be parallel to each other along second directions Y1 and Y2, respectively, but embodiments of the present inventive subject matter are not limited thereto. For example, the first fin F1 may extend lengthwise in the second direction Y1 and the second fin F2 may extend lengthwise in a first direction X2.
  • Similarly, in FIG. 5, the first functional film 147 and the second functional film 247 extend lengthwise to be parallel to each other along first directions X1 and X2, respectively, but embodiments of the present inventive subject matter are not limited thereto. For example, the first functional film 147 may extend lengthwise in the first direction X1 and the second fin F2 may extend lengthwise in the second direction Y2.
  • The first fin type transistor 101 and the second fin type transistor 201 may have the same conductivity type (e.g., P type or N type). In some embodiments, the first fin type transistor 101 may have a first conductivity type (e.g., P type) and the second fin type transistor 201 may have a second conductivity type (e.g., N type).
  • In the second fin type transistor 201, the second functional film 247 is formed on the second gate insulation film 241. In detail, the second functional film 247 conforms to, the inner surface of the second gate insulation film 241 to form a trench therein, unlike the first functional film 147 formed to fill the inside of the first insulation film 141.
  • The trench formed by the second functional film 247 may be filled with the gate metal 249. The gate metal 249 may include, for example, Al and/or W.
  • Undefined reference numeral 200 denotes a substrate, undefined reference numeral 210 denotes an isolation film and undefined reference numeral 251 denotes a second spacer.
  • The first recess 125 and the second recess 225 may be filled with a metal rather than a semiconductor material. The first source/drain 161 and the second source/drain 261 may include a metal material, rather than a semiconductor material such as Si, SiGe or SiC.
  • Referring to FIG. 7, a first width W1 of the first fin F1 is less than a second width W2 of the second fin F2. Therefore, a channel region of the first fin type transistor 101 is smaller than that of the second fin type transistor 201. Since a gate metal is not formed in the first fin type transistor 101, unlike in the second fin type transistor 201, gate resistivity and performance can be improved.
  • Operations for fabricating a semiconductor device according to some embodiments of the present inventive subject matter will be described with reference to FIGS. 1 and 8 to 13. FIGS. 8 to 13 illustrate operations for fabricating a semiconductor device according to some embodiments of the present inventive subject matter. Repeated description of components will be omitted.
  • Referring to FIG. 8, an interlayer dielectric film 21 is formed on a substrate 10. The substrate 10 includes a first region I and a second region II. The first and second regions I and II may be separated from each other or may be connected to each other. An active region is defined in a substrate 10 by forming an isolation film 11, such as a shallow trench isolation (STI). A first dummy gate electrode 25 is disposed on the first region I and a second dummy gate electrode 26 is disposed on the second region II. A first width W1 of the first dummy gate electrode 25 is different from a second width W2 of the second dummy gate electrode 26. In detail, the first width W1 of the first dummy gate electrode 25 is less than the second width W2 of the second dummy gate electrode 26.
  • The interlayer dielectric film 21 is formed to cover both lateral surfaces of the first and second dummy gates 25 and 26. Therefore, top surfaces of the first and second dummy gate electrodes 25 and 26 are exposed. Spacers 23 are formed on lateral surfaces of the first dummy gate electrode 25 and the second dummy gate electrode 26.
  • Referring to FIG. 9, first and second trenches 27 and 28 are formed by removing the first and second dummy gate electrodes 25 and 26, respectively. A top surface of the substrate 10 may be exposed within the first and second trenches 27 and 28. Since the first and second dummy gate electrodes 25 and 26 have different widths, the first and second trenches 27 and 28 may also have different widths.
  • Referring to FIG. 10, first and second gate insulation films 31 a and 41 a are formed on the substrate 10. In detail, the first gate insulation film 31 a is formed on the first region I and the second gate insulation film 41 a is formed on the second region II. The first gate insulation film 31 a may conform to a top surface of the interlayer dielectric film 21 and sidewalls and a bottom surface of the first trench 27. Therefore, a third trench 71 smaller than the first trench 27 is formed in the first trench 27. The second gate insulation film 41 a may conform to a top surface of the interlayer dielectric film 21 and sidewalls and a bottom surface of the second trench 28. Therefore, a fourth trench 72 smaller than the second trench 28 is formed in the second trench 28.
  • A first functional film 36 a is formed on the first gate insulation film 31 a and a second functional film 46 a is formed on the second gate insulation film 41 a. The first and second functional films 36 a and 46 a may control a work function of a transistor. The first functional film 36 a is formed to fill the third trench 71 and the second functional film 46 a includes a fifth trench 73 in the fourth trench 72.
  • In detail, referring to FIG. 11, a first work function control film 35 a is formed on the first region I and a second work function control film 45 a is formed on the second region II. The first work function control film 35 a is formed on the first gate insulation film 31 a and is conformal along the sidewalls and a bottom surface of the third trench 71. The second work function control film 45 a is formed on the second gate insulation film 41 a and is conformal along the sidewalls and a bottom surface of the fourth trench 72.
  • Referring to FIG. 12, a first barrier metal film 37 a is formed on the first work function control film 35 a and a second barrier metal film 47 a is formed on the second work function control film 45 a. Since the first barrier metal film 37 a is formed to fill the third trench 71, no further film is formed in the third trench 71. The second barrier metal film 47 a is conformal along the sidewalls and a bottom surface of the fourth trench 72. Therefore, a fifth trench 73 smaller than the fourth trench 72 may be formed in the fourth trench 72.
  • Referring to FIG. 13, a gate metal 49 a is formed on the second functional film 46 a. In detail, the gate metal 49 a may be formed to fill the fifth trench 73.
  • In FIG. 13, the gate metal 49 a is not stacked on the first region I. However, when the gate metal 49 a is formed on the second region II, it may also be formed on the first functional film 36 a. Polishing may be performed to expose the top surface of the interlayer dielectric film 21, thereby producing the semiconductor device 1 shown in FIG. 1.
  • When the gate metal 49 a is formed on the second functional film 46 a, it may also be stacked on the first functional film 36 a but may not remain on the first region I as the result of polishing.
  • Since the first and second dummy gate electrodes 25 and 26 have different widths, a length W1 of a channel region of the first region I may be smaller than a length W2 of a channel region of the second region II. However, only the lengths of the channel regions of the first region I and the second region II are different from each other and the heights of the first and second gate electrodes 30 and 40 may be equal to each other. A height ranging from the top surface of the substrate 10 to a top surface of the first barrier metal film 37 and a height ranging from the top surface of the substrate 10 to a top surface of the gate metal 49 may be equal.
  • Operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter will be described with reference to FIGS. 2, 8 to 10 and 14 to 16. Repeated description of like components with respect to the previously described embodiments will be omitted and the following description will focus on differences between the methods for fabricating the semiconductor devices according to the present and previously described embodiments.
  • FIGS. 14 to 16 illustrate operations for fabricating a semiconductor device according to further embodiments of the present inventive subject matter. Operations described above with reference to FIGS. 8 to 10 are performed. The first functional film 38 a is formed to fill the third trench 71 and the second functional film 48 a is formed to fill the fourth trench 72. Referring to FIG. 14, unlike previously described embodiments, the first functional film 38 a further includes a third work function control film 33 a and the second functional film 48 a further includes a fourth work function control film 43 a. In detail, a third work function control film 33 a is formed between the first gate insulation film 31 a and the first work function control film 35 a. The third work function control film 33 a may be formed under the first work function control film 35 a and may conform to sidewalls and a bottom surface of the third trench 71.
  • A fourth work function control film 43 a is formed between the second gate insulation film 41 a and the second work function control film 45 a. The fourth work function control film 43 a may be formed under the second work function control film 45 a and may conform to sidewalls and a bottom surface of the fourth trench 72. As described above, the first and second work function control films 35 a and 45 a may be N type work function control films and the third and fourth work function control films 33 a and 43 a may be P type work function control films to provide a P type transistor.
  • Referring to FIG. 15, a first barrier metal film 37 a is formed on the first work function control film 35 a to fill the third trench 71 and a second barrier metal film 47 a is formed on the second work function control film 45 a to have a fifth trench 74 smaller than the fourth trench 72. Referring to FIG. 16, a gate metal 49 a is formed on the second barrier metal film 47 a to fill the fifth trench 74. The gate metal 49 a may also be formed on the first barrier metal film 37 a. Polishing may be performed to expose a top surface of the interlayer dielectric film 21 and thereby produce the semiconductor device 2 shown in FIG. 2.
  • FIG. 17 is a block diagram of an electronic system including a semiconductor device according to some embodiments of the present inventive subject matter.
  • Referring to FIG. 17, the electronic system 1100 may include a controller 1110, an input/output device (I/O) 1120, a memory device 1130, an interface 1140 and a bus 1150. The controller 1110, the I/O 1120, the memory device 1130, and/or the interface 1140 may be connected to each other through the bus 1150. The bus 1150 corresponds to a path through which data moves.
  • The controller 1110 may include at least one of a microprocessor, a digital signal processor, a microcontroller, and logic elements capable of functions similar to those of these elements. The I/O 1120 may include a key pad, a key board, a display device, and so on. The memory device 1130 may store data and/or commands. The interface 1140 may perform functions of transmitting data to a communication network or receiving data from the communication network. The interface 1140 may be wired or wireless. For example, the interface 1140 may include an antenna or a wired/wireless transceiver, and so on. Although not shown, the electronic system 1100 may further include high-speed DRAM and/or SRAM as the operating memory for improving the operation of the controller 1110.
  • The semiconductor devices 1, 2, 101 and 201 according to some embodiments of the present inventive subject matter may be provided in the memory device 1130 or may be provided some components of the controller 1110 or the I/O 1120.
  • The electronic system 1100 may be applied to a personal digital assistant (PDA), a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, a memory card, or any type of electronic device capable of transmitting and/or receiving information in a wireless environment.
  • FIGS. 18 and 19 illustrate an exemplary semiconductor system to which semiconductor devices according to some embodiments of the present inventive subject matter can be employed.
  • FIG. 18 illustrates an example in which a semiconductor device according to some embodiments of the present inventive subject matter is applied to a tablet PC. FIG. 19 illustrates an example in which a semiconductor device according to some embodiments of the present inventive subject matter is applied to a notebook computer. At least one of the semiconductor devices 1, 2, 101 and 201 according to some embodiments of the present inventive subject matter can be employed to a tablet PC, a notebook computer, and the like. It is obvious to one skilled in the art that the semiconductor devices according to some embodiments of the present inventive subject matter may also be applied to other IC devices not illustrated herein.
  • While the present inventive subject matter has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present inventive subject matter as defined by the following claims. It is therefore desired that the present embodiments be considered in all respects as illustrative and not restrictive, reference being made to the appended claims rather than the foregoing description to indicate the scope of the inventive subject matter.
  • The foregoing is illustrative of the present inventive subject matter and is not to be construed as limiting thereof. Although a few embodiments of the present inventive subject matter have been described, those skilled in the art will readily appreciate that many modifications are possible, in the embodiments without materially departing from the novel teachings and advantages of the present inventive subject matter. Accordingly, all such modifications are intended to be included within the scope of the present inventive subject matter as defined in the claims. Therefore, it is to be understood that the foregoing is illustrative of the present inventive subject matter and is not to be construed as limited to the specific embodiments disclosed, and that modifications to the disclosed embodiments, as well as other embodiments, are intended to be included within the scope of the appended claims. The present inventive subject matter is defined by the following claims, with equivalents of the claims to be included therein.

Claims (15)

1. A semiconductor device comprising:
a substrate;
a first gate electrode comprising:
a first gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion and away from the substrate defining a first trench having a first width; and
a first functional film filling the first trench; and
a second gate electrode comprising:
a second gate insulation film having a bottom portion on the substrate and sidewall portions extending from the bottom portion defining a second trench having a second width different from the first width;
a second functional film conforming to the second gate insulation film in the second trench and defining a third trench; and
a metal region in the third trench.
2. The semiconductor device of claim 1, wherein the first width is less than the second width.
3. The semiconductor device of claim 1:
wherein the first functional film comprises a first work function control film conforming to the sidewall and bottom portions of the first gate insulation layer in the first trench and a first barrier film on the first work function control film; and
wherein the second functional film comprises a second work function control film and a second barrier film on the second work function control film.
4. The semiconductor device of claim 3:
wherein the first functional film further comprises a third work function control film; and
wherein the second functional film further comprises a fourth work function control film.
5. The semiconductor device of claim 4, wherein the first and second work function control films are N type and wherein the third and fourth work function control films are P type.
6. The semiconductor device of claim 3, wherein the first barrier film comprises titanium nitride (TiN).
7. The semiconductor device of claim 6, wherein the metal region comprises tungsten (W).
8. The semiconductor device of claim 1, further comprising a first fin and a second fin on the substrate, wherein the respective ones of the first and second gate electrodes are disposed on respective ones of the first and second fins.
9. The semiconductor device of claim 1, wherein the first gate electrode and the second gate electrode have the same height.
10. The semiconductor device of claim 1, wherein the first and second gate electrodes are disposed in cell array and peripheral circuit regions, respectively.
11.-16. (canceled)
17. A semiconductor device comprising:
a substrate;
a first fin transistor disposed on the substrate and comprising:
a first semiconductor fin protruding from the substrate;
a first gate electrode crossing the first semiconductor fin and comprising:
a first gate insulation film having a bottom portion disposed on the substrate and conforming to the first semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate;
at least one first work function control film conforming to the bottom and sidewall portions of the first gate insulation film; and
a first barrier film filling a first trench defined by the at least one first work function control film; and
first source/drain regions on opposite sides of the first gate electrode;
a second fin transistor disposed on the substrate and comprising:
a second semiconductor fin extending from the substrate;
a second gate electrode crossing the second semiconductor fin and comprising:
a second gate insulation film having a bottom portion disposed on the substrate and conforming to the second semiconductor fin, and sidewall portions extending from the bottom portion and away from the substrate;
at least one second work function control film conforming to the bottom and sidewall portions of the first gate insulation film;
a second barrier film conforming to the at least one second work function control film; and
a metal region filling a trench defined by the at least one second work function control film; and
second source/drain regions on opposite sides of the second gate electrode.
18. The semiconductor device of claim 17, wherein the second gate electrode is wider than the first gate electrode.
19. The semiconductor device of claim 17, wherein the at least one work first function control film comprises a plurality of first work function control film and wherein the at least one second work function control film comprises a plurality of second work function control films.
20. The semiconductor device of claim 17, wherein the first fin transistor and the second fin transistor are disposed in a cell array region and a peripheral circuit region, respectively.
US14/312,109 2013-06-24 2014-06-23 Semiconductor devices using mos transistors with nonuniform gate electrode structures and methods of fabricating the same Abandoned US20140374840A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/028,272 US11127739B2 (en) 2013-06-24 2018-07-05 Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020130072504A KR102066848B1 (en) 2013-06-24 2013-06-24 Semiconductor device and method for fabricating the same
KR10-2013-0072504 2013-06-24

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/028,272 Division US11127739B2 (en) 2013-06-24 2018-07-05 Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures

Publications (1)

Publication Number Publication Date
US20140374840A1 true US20140374840A1 (en) 2014-12-25

Family

ID=52110204

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/312,109 Abandoned US20140374840A1 (en) 2013-06-24 2014-06-23 Semiconductor devices using mos transistors with nonuniform gate electrode structures and methods of fabricating the same
US16/028,272 Active US11127739B2 (en) 2013-06-24 2018-07-05 Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/028,272 Active US11127739B2 (en) 2013-06-24 2018-07-05 Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures

Country Status (3)

Country Link
US (2) US20140374840A1 (en)
KR (1) KR102066848B1 (en)
CN (1) CN104241367A (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105742B1 (en) * 2014-03-27 2015-08-11 International Business Machines Corporation Dual epitaxial process including spacer adjustment
US20170104087A1 (en) * 2014-02-14 2017-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (finfet) device
US20170125305A1 (en) * 2015-11-04 2017-05-04 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US20170133489A1 (en) * 2015-11-05 2017-05-11 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US9768301B2 (en) * 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
KR101785159B1 (en) * 2014-12-30 2017-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
EP3279933A1 (en) * 2016-08-01 2018-02-07 Semiconductor Manufacturing International Corporation (Shanghai) Increasing thickness of functional layer according to increasing recess area
US20180145150A1 (en) * 2015-12-31 2018-05-24 International Business Machines Corporation High-k layer chamfering to prevent oxygen ingress in replacement metal gate (rmg) process
US20180190666A1 (en) * 2016-12-29 2018-07-05 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor devices and fabrication methods thereof
US10141310B2 (en) * 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US20190006517A1 (en) * 2015-07-24 2019-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10177042B2 (en) 2015-10-21 2019-01-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20190088754A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US20190123143A1 (en) * 2015-12-15 2019-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure and Method with Solid Phase Diffusion
US20190304972A1 (en) * 2018-04-02 2019-10-03 Samsung Electronics Co., Ltd. Semiconductor device
CN110581134A (en) * 2018-06-08 2019-12-17 瑞萨电子株式会社 Semiconductor device and method for manufacturing the same
US10546956B2 (en) * 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US20200091305A1 (en) * 2018-09-13 2020-03-19 Samsung Electronics Co., Ltd. Integrated circuit device including gate spacer structure
US10734288B2 (en) 2015-01-29 2020-08-04 Samsung Electronics Co., Ltd. Semiconductor device having work-function metal and method of forming the same
US11404554B2 (en) 2020-05-15 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102306674B1 (en) * 2015-03-17 2021-09-29 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
KR102302073B1 (en) * 2015-07-28 2021-09-14 삼성전자주식회사 A semiconductor device and methods of manufacturing the same
US9831119B2 (en) * 2015-12-28 2017-11-28 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN107591365A (en) * 2016-07-06 2018-01-16 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and its manufacture method
CN107919323B (en) * 2016-10-10 2021-06-08 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10026737B1 (en) * 2016-12-30 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN109273440B (en) * 2017-07-18 2021-06-22 联华电子股份有限公司 Method for manufacturing fin-shaped structure with tensile stress and complementary fin-shaped transistor structure
KR20190034822A (en) * 2017-09-25 2019-04-03 삼성전자주식회사 Semiconductor device
US11217484B2 (en) * 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110081774A1 (en) * 2009-10-07 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US20130026578A1 (en) * 2011-07-28 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130049141A1 (en) * 2011-08-22 2013-02-28 Tsun-Min Cheng Metal gate structure and fabrication method thereof
US20140001569A1 (en) * 2012-06-28 2014-01-02 Walid M. Hafez High voltage three-dimensional devices having dielectric liners
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
KR100713326B1 (en) 2002-12-30 2007-05-04 동부일렉트로닉스 주식회사 Method for making deep detail transistor in semiconductor
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP2006086467A (en) 2004-09-17 2006-03-30 Toshiba Corp Semiconductor device and method of manufacturing the same
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100717809B1 (en) 2005-06-30 2007-05-11 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with dual polysilicon gate
KR100944708B1 (en) 2007-12-05 2010-02-26 재단법인서울대학교산학협력재단 Single electron transistor having constriction barrier and fabrication method of the same
US7947588B2 (en) * 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US20120018813A1 (en) * 2010-07-22 2012-01-26 International Business Machines Corporation BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
KR101777662B1 (en) 2010-10-06 2017-09-14 삼성전자 주식회사 Method for forming gate of semiconductor device
US9105653B2 (en) * 2010-10-18 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a metal gate electrode
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
KR20130007059A (en) * 2011-06-28 2013-01-18 삼성전자주식회사 Method for manfacturing semiconductor device
US8704280B2 (en) * 2011-09-22 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strained channels induced by high-k capping metal layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110081774A1 (en) * 2009-10-07 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US20130026578A1 (en) * 2011-07-28 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130049141A1 (en) * 2011-08-22 2013-02-28 Tsun-Min Cheng Metal gate structure and fabrication method thereof
US20140001569A1 (en) * 2012-06-28 2014-01-02 Walid M. Hafez High voltage three-dimensional devices having dielectric liners
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170104087A1 (en) * 2014-02-14 2017-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (finfet) device
US10128355B2 (en) * 2014-02-14 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device
US9105742B1 (en) * 2014-03-27 2015-08-11 International Business Machines Corporation Dual epitaxial process including spacer adjustment
US11158744B2 (en) 2014-10-17 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10686077B2 (en) 2014-10-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10546956B2 (en) * 2014-10-17 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10840378B2 (en) 2014-10-17 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10964819B2 (en) * 2014-10-17 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US11721762B2 (en) 2014-10-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9768301B2 (en) * 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10141310B2 (en) * 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
KR101785159B1 (en) * 2014-12-30 2017-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
US11043430B2 (en) 2015-01-29 2021-06-22 Samsung Electronics Co., Ltd. Semiconductor device having work-function metal and method of forming the same
US11462442B2 (en) 2015-01-29 2022-10-04 Samsung Electronics Co., Ltd. Semiconductor device having work-function metal and method of forming the same
US11929289B2 (en) 2015-01-29 2024-03-12 Samsung Electronics Co., Ltd. Semiconductor device having work-function metal and method of forming the same
US10734288B2 (en) 2015-01-29 2020-08-04 Samsung Electronics Co., Ltd. Semiconductor device having work-function metal and method of forming the same
US20190006517A1 (en) * 2015-07-24 2019-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20210328058A1 (en) * 2015-07-24 2021-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11049970B2 (en) * 2015-07-24 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11735662B2 (en) * 2015-07-24 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10177042B2 (en) 2015-10-21 2019-01-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10685889B2 (en) * 2015-11-04 2020-06-16 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US20170125305A1 (en) * 2015-11-04 2017-05-04 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US9911833B2 (en) * 2015-11-05 2018-03-06 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US20170133489A1 (en) * 2015-11-05 2017-05-11 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US20210118997A1 (en) * 2015-12-15 2021-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure and Method with Solid Phase Diffusion
US11749720B2 (en) * 2015-12-15 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US20190123143A1 (en) * 2015-12-15 2019-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure and Method with Solid Phase Diffusion
US10861937B2 (en) * 2015-12-15 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US20180145150A1 (en) * 2015-12-31 2018-05-24 International Business Machines Corporation High-k layer chamfering to prevent oxygen ingress in replacement metal gate (rmg) process
US10388655B2 (en) 2016-08-01 2019-08-20 Semiconductor Manufacturing International (Shanghai) Corporation Increasing thickness of functional layer according to increasing recess area
EP3279933A1 (en) * 2016-08-01 2018-02-07 Semiconductor Manufacturing International Corporation (Shanghai) Increasing thickness of functional layer according to increasing recess area
US11145652B2 (en) 2016-08-01 2021-10-12 Semiconductor Manufacturing International (Shanghai) Corporation Increasing thickness of functional layer according to increasing recess area
US11532632B2 (en) * 2016-12-29 2022-12-20 Semiconductor Manufacturing International (Shanghai) Corporation Method for fabricating semiconductor device
US20180190666A1 (en) * 2016-12-29 2018-07-05 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor devices and fabrication methods thereof
US20190259766A1 (en) * 2016-12-29 2019-08-22 Semiconductor Manufacturing International (Shanghai) Corporation Method for fabricating semiconductor device
US20210151444A1 (en) * 2016-12-29 2021-05-20 Semiconductor Manufacturing International (Shanghai) Corporation Method for fabricating semiconductor device
US10943912B2 (en) * 2016-12-29 2021-03-09 Semiconductor Manufacturing International (Shanghai) Corporation Method for fabricating semiconductor device
US10332895B2 (en) * 2016-12-29 2019-06-25 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor devices and fabrication methods thereof
US20190088755A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US20190088754A1 (en) * 2017-09-20 2019-03-21 International Business Machines Corporation Vertical transistors having multiple gate thicknesses
US10811508B2 (en) * 2017-09-20 2020-10-20 International Business Machines Corporation Vertical transistors having multiple gate thicknesses for optimizing performance and device density
US10811507B2 (en) * 2017-09-20 2020-10-20 International Business Machines Corporation Vertical transistors having multiple gate thicknesses for optimizing performance and device density
US20190304972A1 (en) * 2018-04-02 2019-10-03 Samsung Electronics Co., Ltd. Semiconductor device
CN110581134A (en) * 2018-06-08 2019-12-17 瑞萨电子株式会社 Semiconductor device and method for manufacturing the same
US10896967B2 (en) * 2018-09-13 2021-01-19 Samsung Electronics Co., Ltd. Integrated circuit device including gate spacer structure
KR20200030909A (en) * 2018-09-13 2020-03-23 삼성전자주식회사 Integrated circuit device including gate spacer structure
TWI805759B (en) * 2018-09-13 2023-06-21 南韓商三星電子股份有限公司 Integrated circuit device including gate spacer structure
KR102589300B1 (en) 2018-09-13 2023-10-13 삼성전자주식회사 Integrated circuit device including gate spacer structure
US20200091305A1 (en) * 2018-09-13 2020-03-19 Samsung Electronics Co., Ltd. Integrated circuit device including gate spacer structure
US11404554B2 (en) 2020-05-15 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming

Also Published As

Publication number Publication date
US20180331100A1 (en) 2018-11-15
KR102066848B1 (en) 2020-01-16
US11127739B2 (en) 2021-09-21
CN104241367A (en) 2014-12-24
KR20150000267A (en) 2015-01-02

Similar Documents

Publication Publication Date Title
US11127739B2 (en) Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures
US10147723B2 (en) Semiconductor devices having bridge layer and methods of manufacturing the same
US9087886B2 (en) Semiconductor device
US9865597B2 (en) Semiconductor device having fin and dual liner
US10276694B2 (en) Semiconductor device and method of fabricating the same
US9129815B2 (en) Semiconductor device
US20150357427A1 (en) Integrated Circuit Device with Metal Gates Including Diffusion Barrier Layers and Fabricating Methods Thereof
US10535666B2 (en) Integrated circuit devices including fin shapes
US9048120B2 (en) Integrated junction and junctionless nanotransistors
US9209177B2 (en) Semiconductor devices including gates and dummy gates of different materials
US9048236B2 (en) Semiconductor device and method of fabricating the same
US9887194B2 (en) Semiconductor devices and methods of fabricating the same
US9466703B2 (en) Method for fabricating semiconductor device
US9331199B2 (en) Semiconductor device
US20140346617A1 (en) Semiconductor device and method for fabricating the same
US20150097250A1 (en) Semiconductor Devices and Methods for Fabricating the Same
KR20150082027A (en) Semiconductor device and method for the same
US20140203335A1 (en) Semiconductor Devices and Methods for Fabricating the Same
US20150187915A1 (en) Method for fabricating fin type transistor
CN104576736B (en) Semiconductor device and method for manufacturing the same
US20150093867A1 (en) Method of fabricating semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, HYE-LAN;KANG, SANG-BOM;KIM, JAE-JUNG;AND OTHERS;SIGNING DATES FROM 20140602 TO 20140609;REEL/FRAME:033176/0620

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION