US20140217505A1 - Double patterning method for semiconductor devices - Google Patents

Double patterning method for semiconductor devices Download PDF

Info

Publication number
US20140217505A1
US20140217505A1 US14/258,707 US201414258707A US2014217505A1 US 20140217505 A1 US20140217505 A1 US 20140217505A1 US 201414258707 A US201414258707 A US 201414258707A US 2014217505 A1 US2014217505 A1 US 2014217505A1
Authority
US
United States
Prior art keywords
gate stack
layer
gate
gap
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/258,707
Inventor
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/258,707 priority Critical patent/US20140217505A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, CHIH-HAN
Publication of US20140217505A1 publication Critical patent/US20140217505A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Definitions

  • IC semiconductor integrated circuit
  • functional density i.e., the number of interconnected devices per chip area
  • geometry size i.e., the smallest component (or line) that can be created using a fabrication process
  • This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.
  • Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC manufacturing are needed.
  • FIG. 1 is a flowchart illustrating a method of forming a semiconductor device according to various aspects of the present disclosure.
  • FIGS. 2 to 11 illustrate diagrammatic perspective views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 1 .
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the semiconductor device 200 illustrates an integrated circuit, or portion thereof, that can comprise active devices such as metal-oxide-semiconductor field effect transistors (MOSFETs), complementary metal-oxide-semiconductor (CMOS) transistors, high voltage transistors, and/or high frequency transistors; other suitable components; and/or combinations thereof.
  • the semiconductor device 200 may additionally include passive components, such as resistors, capacitors, inductors, and/or fuses. It is understood that the semiconductor device 200 may be formed by MOS technology processing, and thus some processes are not described in detail herein.
  • the method 100 begins with step 102 in which a substrate is provided.
  • the substrate includes a gate stack layer formed over the substrate, a sacrificial dielectric layer formed over the gate stack layer, and a first patterned photoresist layer formed over the sacrificial layer.
  • the method 100 continues with step 104 in which the sacrificial layer is patterned using the first patterned photoresist layer.
  • the patterning may a dry or a wet etching process.
  • the method continues at step 106 in which the first patterned photoresist layer is removed and a hard mask layer is deposited over the patterned sacrificial layer.
  • an etching process may be preformed to trim the critical dimensions of the sacrificial layer.
  • the hard mask layer may be deposited such that a top surface of the sacrificial layer is uncovered.
  • the hard mask layer may be deposited such that it substantially covers the sacrificial layer and after which a chemical mechanical polishing (CMP) process is performed to uncover a top surface of the sacrificial layer.
  • CMP chemical mechanical polishing
  • the method 100 continues at step 108 in which a second patterned photoresist layer is formed over the hard mask layer and the uncovered top surface of the sacrificial layer.
  • the method 100 continues at step 110 in which the hard mask layer is patterned using the second patterned photoresist layer.
  • the method continues at step 112 in which a selective etching process is performed to remove the sacrificial layer, thereby forming a separation between end portions of the patterned hard mask layer.
  • the method 100 continues at step 114 in which the gate stack layer is patterned using the patterned hard mask layer.
  • the method 100 continues at step 116 in which fabrication is completed. Additional steps can be provided before, during, and after the method 100 , and some of the steps described below can be replaced or eliminated, for additional embodiments of the method.
  • the discussion that follows illustrates various embodiments of a semiconductor device 200 that can be fabricated according to the method 100 of FIG. 1 .
  • FIGS. 2 to 11 illustrate perspective views of one embodiment of a semiconductor device 200 at various stages of fabrication according to the method 100 of FIG. 1 .
  • the semiconductor device 200 may include various other devices and features, such as other types of transistors such as bipolar junction transistors, resistors, capacitors, diodes, fuses, etc. Accordingly, FIGS. 2-11 have been simplified for the sake of clarity to better understand the concepts of the present disclosure. Additional features can be added in the semiconductor device 200 , and some of the features described below can be replaced or eliminated in other embodiments of the semiconductor device 200 .
  • the semiconductor device 200 includes a substrate 210 .
  • the substrate 210 may include an elementary semiconductor including silicon and/or germanium in crystal; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • the alloy semiconductor substrate could have a gradient SiGe feature in which the Si and Ge composition change from one ratio at one location to another ratio at another location of the gradient SiGe feature.
  • the alloy SiGe could be formed over a silicon substrate, and/or the SiGe substrate may be strained.
  • the substrate 210 may be an ultra-thin-body (UTB) semiconductor on insulator (SOI) substrate including silicon (Si).
  • UTB substrate for example, may have a thickness (of the semiconductor material) from about 10 to about 30 nanometers.
  • the SOI substrate can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • the substrate 210 includes various doped regions depending on design requirements, (e.g., p-type wells or n-type wells).
  • the doped regions are doped with p-type dopants, such as boron or BF2, and/or n-type dopants, such as phosphorus or arsenic.
  • the doped regions may be formed directly on the substrate 210 , in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure.
  • the doped regions include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor (referred to as an NMOS) and regions configured for a P-type metal-oxide-semiconductor transistor (referred to as a PMOS).
  • NMOS N-type metal-oxide-semiconductor transistor
  • PMOS P-type metal-oxide-semiconductor transistor
  • the substrate 210 can include an isolation region to define and isolate various active regions of the substrate 210 .
  • the isolation region utilizes isolation technology, such as shallow trench isolation (STI) or local oxidation of silicon (LOCOS), to define and electrically isolate the various regions.
  • STI shallow trench isolation
  • LOCOS local oxidation of silicon
  • the isolation region includes silicon oxide, silicon nitride, silicon oxynitride, other suitable materials, or combinations thereof.
  • the substrate 210 includes a gate stack layer 212 is formed over the substrate 210 to a suitable thickness.
  • the gate stack layer 212 may include one or more layers.
  • the gate stack layer 212 may include an insulation layer and gate electrode.
  • the insulation layer may include a material such as silicon oxide, high-k dielectric material, other suitable dielectric material, or combinations thereof.
  • high-k dielectric material include HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide-alumina (HfO2-Al2O3) alloy, other suitable high-k dielectric materials, and/or combinations thereof.
  • the gate electrode includes polysilicon and/or a metal including Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, other conductive materials, or combinations thereof.
  • the gate stack layer 212 may include numerous other layers, for example, capping layers, interface layers, diffusion layers, barrier layers, or combinations thereof.
  • the gate stack layer 212 is formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof.
  • the substrate 210 further includes a sacrificial layer 214 .
  • the sacrificial layer 214 may be a dielectric material such as silicon oxide, silicon nitride, or other suitable materials. Alternatively, the sacrificial layer 214 may be any suitable material. In the present embodiment, the sacrificial layer 214 is silicon oxide.
  • the sacrificial layer 214 may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof.
  • a first photoresist layer 216 is formed and patterned over the sacrificial layer 214 .
  • Patterning the first photoresist layer 216 includes exposing the first photoresist layer 216 to a pattern, performing a post-exposure bake process, and developing the first photoresist layer 216 thereby forming a patterned first photoresist layer 216 .
  • the patterning may also be implemented or replaced by other proper methods, such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint.
  • an etching process is performed on the sacrificial layer 214 using the patterned first photoresist layer 216 to define a cut pattern.
  • the etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof.
  • a dry etching process is used to etch the sacrificial layer 214 that includes a chemistry including fluorine-containing gas.
  • the chemistry of the dry etch includes CF4, SF6, or NF3.
  • a wet etching process is used to etch the sacrificial layer 214 that includes diluted hydrogen fluoride.
  • the etching process may be any suitable etching process.
  • the first photoresist layer 214 may be removed by any suitable process.
  • the first photoresist layer 214 may be removed by a liquid “resist stripper”, which chemically alters the resist so that it no longer adheres to the underlying hardmask.
  • first photoresist layer 214 may be removed by a plasma containing oxygen, which oxidizes it.
  • the patterned sacrificial layer 214 has an initial width (W 1 ).
  • the initial width W 1 may range from about 40 nm to about 12 nm. In the present embodiment, the initial width W 1 is about 30 nm.
  • a critical dimension (CD) trim process is performed to trim the W 1 dimension to a smaller W 2 dimension.
  • the CD trim process may be a wet etching process or a dry etching process.
  • the CD trim process is a wet etching process that includes diluted hydrogen fluoride.
  • the CD trim process is a dry etching process that includes a fluorine-containing gas.
  • the initial width W 1 is trimmed down to a final width W 2 , which is smaller then the initial width W 1 .
  • the final width W 2 may range from about 40 nm to about 10 nm. It is understood that the CD trim process may be preformed such that the width W 2 is any desirable width. For example, in the present embodiment, the final width W 2 is about 22 nm. As will be further discussed below, the current process allows for final semiconductor device 200 with a higher device density for a fixed area.
  • a hard mask layer 218 is deposited over the gate stack layer 212 and the sacrificial layer 214 .
  • the hard mask layer 218 may be may be a dielectric material such as silicon oxide, silicon nitride, or other suitable materials. In the present embodiment, the hard mask layer 218 is silicon nitride.
  • the hard mask layer 218 may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • HDPCVD high density plasma CVD
  • plating other suitable methods, and/or combinations thereof.
  • the material of the sacrificial layer 214 and the hard mask layer 218 can have different etching selectivity.
  • the sacrificial layer 214 is silicon oxide and the hard mask layer 218 is silicon nitride. It is understood that in other embodiments, the sacrificial layer 214 and the hard mask layer 218 may include different materials.
  • a second photoresist layer 220 is formed and patterned over the sacrificial layer 214 and over the hard mask layer 218 .
  • Patterning the second photoresist layer 220 includes exposing the second photoresist layer 220 to a pattern, performing a post-exposure bake process, and developing the second photoresist layer 220 thereby forming a patterned second photoresist layer 220 .
  • the patterning may also be implemented or replaced by other proper methods, such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint.
  • the second photoresist layer 220 defines gate structures of multiple FET devices.
  • an etching process is performed on the hard mask layer 218 using the patterned second photoresist layer 220 to define a line pattern.
  • the line pattern defines a plurality of gate stack patterns of the patterned hard mask layer.
  • the etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof.
  • a dry etching process is used to etch the mask layer 218 that includes a chemistry including fluorine-containing gas.
  • the chemistry of the dry etch includes CF4, SF6, or NF3.
  • a wet etching process is used to etch the mask layer 218 that includes phosphoric acid. Alternatively, the etching process may be any suitable etching process.
  • the second photoresist layer 220 may be removed by any suitable process.
  • the second photoresist layer 220 may be removed by a liquid “resist stripper”, which chemically alters the resist so that it no longer adheres to the underlying hardmask.
  • second photoresist layer 220 may be removed by a plasma containing oxygen, which oxidizes it.
  • the patterned sacrificial layer 214 separates (interposed) between end portions of two or more segments of the patterned hard mask layer 218 —each segment defining a gate region.
  • the cut pattern of the patterned sacrificial layer 214 is selectively removed such that the plurality of gate stack patterns of the patterned hard mask layer 218 remain.
  • the plurality of gate stack patterns of the hard mask layer 218 are separated by a gap after removing the cut patter of the patterned sacrificial layer 214 .
  • the gap is substantially equal to the width W 2 of the sacrificial layer 214 (of FIG. 5 ).
  • selectively removing the sacrificial layer 214 includes a wet etching process that includes diluted hydrogen fluoride. It is understood that where the sacrificial layer 214 is a different material the selective etching process may include a different etchant chemical.
  • the hard mask layer 218 remains and defines two or more gate stack features.
  • the patterned hard mask layer 218 defines four gate stack features ( 202 , 204 , 206 , and 208 ).
  • the gate stack layer 212 is etched using the patterned hard mask layer 218 .
  • the etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof.
  • a benefit of the disclosed double patterning method, which uses the sacrificial layer, is that it allows for uniformly controlling the end-to-end critical dimensions (e.g., the dimension/gap between gate stack features 202 and 204 , and the dimension/gap between gate stack features 206 and 208 ). Moreover, because the dimension/gap between the end portions of the gate stack features 202 , 204 , 206 , and 208 is defined by the sacrificial layer (of FIGS. 2-9 ), smaller critical dimension requirements can be achieved when compare to other methods. Further, the profile of the gate stack features 202 , 204 , 206 , and 208 is more vertical and square.
  • the method of the disclosed embodiments can be easily implemented in the current manufacturing process.
  • the disclosed embodiments provide for improved end-to-end critical dimensions uniformity control, for good line profile, and for allowing smaller critical dimensions requirements without adding significant cost to the manufacturing process and/or the device. It is understood that different embodiments may have different advantages, and that no particular advantage is necessarily required of any embodiment.
  • the semiconductor device 200 may undergo further processing to form various features.
  • the method 100 may proceed to form source and drain features, wall spacers, contact features (such as silicide regions), and other features.
  • the contact features include silicide materials, such as nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable conductive materials, and/or combinations thereof.
  • silicide materials such as nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-
  • the contact features can be formed by a process that includes depositing a metal layer, annealing the metal layer such that the metal layer is able to react with silicon to form silicide, and then removing the non-reacted metal layer.
  • An inter-level dielectric (ILD) layer can further be formed on the substrate 210 and a chemical mechanical polishing (CMP) process is further applied to the substrate to planarize the substrate.
  • CMP chemical mechanical polishing
  • a contact etch stop layer (CESL) may be formed on top of the gate structures before forming the ILD layer.
  • Subsequent processing may further form various contacts/vias/lines and multilayer interconnect features (e.g., metal layers and interlayer dielectrics) on the substrate 210 , configured to connect the various features or structures of the semiconductor device 200 .
  • the additional features may provide electrical interconnection to the device.
  • a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • the various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide.
  • a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • the disclosed semiconductor device 200 may be used in various applications such as digital circuit, imaging sensor devices, a hetero-semiconductor device, dynamic random access memory (DRAM) cell, a single electron transistor (SET), and/or other microelectronic devices (collectively referred to herein as microelectronic devices).
  • DRAM dynamic random access memory
  • SET single electron transistor
  • microelectronic devices collectively referred to herein as microelectronic devices.
  • aspects of the present disclosure are also applicable and/or readily adaptable to other type of transistor, including single-gate transistors, double-gate transistors, and other multiple-gate transistors, and may be employed in many different applications, including sensor cells, memory cells, logic cells, and others.
  • the exemplary method includes providing a substrate including a device layer and a sacrificial layer formed over the device layer.
  • the method further includes patterning the sacrificial layer thereby defining a cut pattern.
  • the cut pattern of the sacrificial layer has an initial width.
  • the method further includes depositing a mask layer over the device layer and over the cut pattern of the sacrificial layer.
  • the method further includes patterning the mask layer thereby defining a line pattern including first and second portions separated by the cut pattern of the sacrificial layer.
  • the method further includes selectively removing the cut pattern of the sacrificial layer thereby forming a gap that separates the first and second portions of the line pattern of the mask layer.
  • the method further includes patterning the device layer using the first and second portions of the line pattern of the mask layer.
  • the method further includes after patterning the sacrificial layer and before depositing the mask layer, performing a critical dimension trim process thereby reducing the initial width of the cut pattern of the sacrificial layer to a final width.
  • performing the critical dimension trip process includes a wet etching process.
  • the final width is less than about 22 nm.
  • the device layer is a gate stack layer.
  • patterning the device layer includes forming a first gate stack feature and a second gate stack feature, the first and second gate stack features are separated by another gap having a dimension that is substantially the same as the final width of the cut pattern of the sacrificial layer.
  • patterning the sacrificial layer includes: depositing a photoresist layer over the sacrificial layer; patterning the photoresist layer; and using the patterned photoresist layer to pattern the sacrificial layer.
  • patterning the mask layer includes: depositing a photoresist layer over the mask layer; patterning the photoresist layer; and using the patterned photoresist layer to pattern the mask layer.
  • the sacrificial layer includes a first type of dielectric material
  • the mask layer includes a second type of dielectric material
  • the first and second type of dielectric materials are different.
  • the exemplary method includes providing a substrate including a gate stack layer and a sacrificial layer formed over the gate stack layer.
  • the method further includes patterning the sacrificial layer thereby defining a cut pattern, the cut pattern of the sacrificial layer having an initial width.
  • the method further includes depositing a hard mask layer over the gate stack layer and over the cut pattern of the sacrificial layer.
  • the method further includes patterning the hard mask layer thereby defining a plurality of gate stack patterns.
  • the method further includes selectively removing the cut pattern of the sacrificial layer thereby forming a separation between each of the plurality of gate stack patterns of the hard mask layer.
  • the method further includes patterning the gate stack layer using the plurality of gate stack patterns of the hard mask layer thereby defining a plurality of gate stack features.
  • the method further includes after patterning the sacrificial layer and before depositing the hard mask layer, performing a critical dimension trim process thereby reducing the initial width of the cut pattern of the sacrificial layer to a final width.
  • the method further includes after depositing the hard mask layer and before patterning the hard mask layer, performing a chemical mechanical polishing (CMP) process to remove excess material of the hard mask layer thereby uncovering a top surface of the cut pattern of the sacrificial layer.
  • CMP chemical mechanical polishing
  • depositing the hard mask layer includes depositing the hard mask layer such that a top surface of the cut pattern of the sacrificial layer remains uncovered.
  • the sacrificial layer includes a first type of dielectric material
  • the hard mask layer includes a second type of dielectric material
  • the first and second type of dielectric materials are different.
  • the exemplary method includes providing a substrate, forming a gate stack layer over the substrate, forming a sacrificial layer over the gate stack layer, and forming a first patterned photoresist layer over the sacrificial layer.
  • the method further includes patterning the sacrificial layer using the first patterned photoresist layer.
  • the method further includes depositing a hard mask layer over the patterned sacrificial layer.
  • the method further includes forming a second patterned photoresist layer over the hard mask layer and over the sacrificial layer.
  • the method further includes patterning the hard mask layer using the second patterned photoresist layer thereby defining a plurality of gate stack patterns, wherein end portions of the plurality of gate stack patterns are separated by the patterned sacrificial layer.
  • the method further includes selectively etching the patterned sacrificial layer thereby forming a gap that separates the end portions of the plurality of gate stack patterns.
  • the method further includes patterning the gate stack layer using the plurality of gate stack patterns thereby defining a plurality of gate stack features.
  • the method further includes after patterning the sacrificial layer and before depositing the hard mask layer, performing a critical dimension trim process on the patterned sacrificial layer. In various embodiments, the method further includes after depositing the hard mask layer and before forming a second patterned photoresist layer, performing a chemical mechanical polishing (CMP) process to remove excess material of the hard mask layer thereby uncovering a top surface of the patterned sacrificial layer.
  • CMP chemical mechanical polishing
  • depositing the hard mask layer includes depositing the hard mask layer such that a top surface of the patterned sacrificial layer remains uncovered.
  • the gap is less then about 22 nm wide.
  • the sacrificial layer includes a first type of dielectric material
  • the hard mask layer includes a second type of dielectric material
  • the first and second type of dielectric materials are different.

Abstract

A method of fabricating a semiconductor device is disclosed. The exemplary method includes providing a substrate including a device layer and a sacrificial layer formed over the device layer and patterning the sacrificial layer thereby defining a cut pattern. The cut pattern of the sacrificial layer having an initial width. The method further includes depositing a mask layer over the device layer and over the cut pattern of the sacrificial layer. The method further includes patterning the mask layer thereby defining a line pattern including first and second portions separated by the cut pattern of the sacrificial layer and selectively removing the cut pattern of the sacrificial layer thereby forming a gap that separates the first and second portions of the line pattern of the mask layer. The method further includes patterning the device layer using the first and second portions of the line pattern of the mask layer.

Description

    CROSS REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 13/421,606 filed on Mar. 15, 2012, entitled “Double Patterning Method for Semiconductor Devices,” the disclosure of which is incorporated herein by reference.
  • BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced rapid growth. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC manufacturing are needed.
  • For example, as semiconductor devices, such as field-effect transistors (FETs), are scaled down through various technology nodes, controlling end-to-end critical dimensions and profile control of the scaled down devices is becoming more difficult. Accordingly, although existing approaches to forming stressor regions for IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flowchart illustrating a method of forming a semiconductor device according to various aspects of the present disclosure.
  • FIGS. 2 to 11 illustrate diagrammatic perspective views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 1.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Also, the components disclosed herein may be arranged, combined, or configured in ways different from the exemplary embodiments shown herein without departing from the scope of the present disclosure. It is understood that those skilled in the art will be able to devise various equivalents that, although not explicitly described herein, embody the principles of the present invention.
  • With reference to FIGS. 1 and 2 to 11, a method 100 and a semiconductor device 200 are collectively described below. The semiconductor device 200 illustrates an integrated circuit, or portion thereof, that can comprise active devices such as metal-oxide-semiconductor field effect transistors (MOSFETs), complementary metal-oxide-semiconductor (CMOS) transistors, high voltage transistors, and/or high frequency transistors; other suitable components; and/or combinations thereof. The semiconductor device 200 may additionally include passive components, such as resistors, capacitors, inductors, and/or fuses. It is understood that the semiconductor device 200 may be formed by MOS technology processing, and thus some processes are not described in detail herein. It is further understood that additional features can be added in the semiconductor device 200, and some of the features described below can be replaced or eliminated, for additional embodiments of the semiconductor device 200. The following disclosure will continue with a MOSFET example of a semiconductor device 200, to illustrate various embodiments of the present disclosure. It is understood, however, that the disclosure should not be limited to a particular type of device, except as specifically claimed.
  • Referring to FIG. 1, a method 100 for fabricating a semiconductor device is described according to various aspects of the present disclosure. The method 100 begins with step 102 in which a substrate is provided. The substrate includes a gate stack layer formed over the substrate, a sacrificial dielectric layer formed over the gate stack layer, and a first patterned photoresist layer formed over the sacrificial layer. The method 100 continues with step 104 in which the sacrificial layer is patterned using the first patterned photoresist layer. The patterning may a dry or a wet etching process. The method continues at step 106 in which the first patterned photoresist layer is removed and a hard mask layer is deposited over the patterned sacrificial layer. After the removal of the first patterned photoresist layer, an etching process may be preformed to trim the critical dimensions of the sacrificial layer. The hard mask layer may be deposited such that a top surface of the sacrificial layer is uncovered. Alternatively, the hard mask layer may be deposited such that it substantially covers the sacrificial layer and after which a chemical mechanical polishing (CMP) process is performed to uncover a top surface of the sacrificial layer. The method 100 continues at step 108 in which a second patterned photoresist layer is formed over the hard mask layer and the uncovered top surface of the sacrificial layer. The method 100 continues at step 110 in which the hard mask layer is patterned using the second patterned photoresist layer. The method continues at step 112 in which a selective etching process is performed to remove the sacrificial layer, thereby forming a separation between end portions of the patterned hard mask layer. The method 100 continues at step 114 in which the gate stack layer is patterned using the patterned hard mask layer. The method 100 continues at step 116 in which fabrication is completed. Additional steps can be provided before, during, and after the method 100, and some of the steps described below can be replaced or eliminated, for additional embodiments of the method. The discussion that follows illustrates various embodiments of a semiconductor device 200 that can be fabricated according to the method 100 of FIG. 1.
  • FIGS. 2 to 11 illustrate perspective views of one embodiment of a semiconductor device 200 at various stages of fabrication according to the method 100 of FIG. 1. It is understood that the semiconductor device 200 may include various other devices and features, such as other types of transistors such as bipolar junction transistors, resistors, capacitors, diodes, fuses, etc. Accordingly, FIGS. 2-11 have been simplified for the sake of clarity to better understand the concepts of the present disclosure. Additional features can be added in the semiconductor device 200, and some of the features described below can be replaced or eliminated in other embodiments of the semiconductor device 200.
  • Referring to FIG. 2, the semiconductor device 200 includes a substrate 210. The substrate 210 may include an elementary semiconductor including silicon and/or germanium in crystal; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. Where the substrate 210 is an alloy semiconductor, the alloy semiconductor substrate could have a gradient SiGe feature in which the Si and Ge composition change from one ratio at one location to another ratio at another location of the gradient SiGe feature. The alloy SiGe could be formed over a silicon substrate, and/or the SiGe substrate may be strained. The substrate 210 may be an ultra-thin-body (UTB) semiconductor on insulator (SOI) substrate including silicon (Si). A UTB substrate, for example, may have a thickness (of the semiconductor material) from about 10 to about 30 nanometers. The SOI substrate can be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • The substrate 210 includes various doped regions depending on design requirements, (e.g., p-type wells or n-type wells). The doped regions are doped with p-type dopants, such as boron or BF2, and/or n-type dopants, such as phosphorus or arsenic. The doped regions may be formed directly on the substrate 210, in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure. The doped regions include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor (referred to as an NMOS) and regions configured for a P-type metal-oxide-semiconductor transistor (referred to as a PMOS).
  • The substrate 210 can include an isolation region to define and isolate various active regions of the substrate 210. The isolation region utilizes isolation technology, such as shallow trench isolation (STI) or local oxidation of silicon (LOCOS), to define and electrically isolate the various regions. The isolation region includes silicon oxide, silicon nitride, silicon oxynitride, other suitable materials, or combinations thereof.
  • With further reference to FIG. 2, the substrate 210 includes a gate stack layer 212 is formed over the substrate 210 to a suitable thickness. The gate stack layer 212 may include one or more layers. For example, the gate stack layer 212 may include an insulation layer and gate electrode. For example, the insulation layer may include a material such as silicon oxide, high-k dielectric material, other suitable dielectric material, or combinations thereof. Examples of high-k dielectric material include HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide-alumina (HfO2-Al2O3) alloy, other suitable high-k dielectric materials, and/or combinations thereof. The gate electrode includes polysilicon and/or a metal including Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, other conductive materials, or combinations thereof. The gate stack layer 212 may include numerous other layers, for example, capping layers, interface layers, diffusion layers, barrier layers, or combinations thereof. The gate stack layer 212 is formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof.
  • Still referring to FIG. 2, the substrate 210 further includes a sacrificial layer 214. The sacrificial layer 214 may be a dielectric material such as silicon oxide, silicon nitride, or other suitable materials. Alternatively, the sacrificial layer 214 may be any suitable material. In the present embodiment, the sacrificial layer 214 is silicon oxide. The sacrificial layer 214 may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof.
  • Still referring to FIG. 2, a first photoresist layer 216 is formed and patterned over the sacrificial layer 214. Patterning the first photoresist layer 216 includes exposing the first photoresist layer 216 to a pattern, performing a post-exposure bake process, and developing the first photoresist layer 216 thereby forming a patterned first photoresist layer 216. The patterning may also be implemented or replaced by other proper methods, such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint.
  • Referring to FIG. 3, an etching process is performed on the sacrificial layer 214 using the patterned first photoresist layer 216 to define a cut pattern. The etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof. In one example, a dry etching process is used to etch the sacrificial layer 214 that includes a chemistry including fluorine-containing gas. In furtherance of the example, the chemistry of the dry etch includes CF4, SF6, or NF3. In another example, a wet etching process is used to etch the sacrificial layer 214 that includes diluted hydrogen fluoride. Alternatively, the etching process may be any suitable etching process.
  • Referring to FIG. 4, after the etching process, the first photoresist layer 214 may be removed by any suitable process. For example, the first photoresist layer 214 may be removed by a liquid “resist stripper”, which chemically alters the resist so that it no longer adheres to the underlying hardmask. Alternatively, first photoresist layer 214 may be removed by a plasma containing oxygen, which oxidizes it. As illustrated, the patterned sacrificial layer 214 has an initial width (W1). The initial width W1 may range from about 40 nm to about 12 nm. In the present embodiment, the initial width W1 is about 30 nm.
  • Referring to FIG. 5, a critical dimension (CD) trim process is performed to trim the W1 dimension to a smaller W2 dimension. The CD trim process may be a wet etching process or a dry etching process. In the present embodiment, the CD trim process is a wet etching process that includes diluted hydrogen fluoride. In an alternative process, the CD trim process is a dry etching process that includes a fluorine-containing gas.
  • After the CD trim process, the initial width W1 is trimmed down to a final width W2, which is smaller then the initial width W1. The final width W2 may range from about 40 nm to about 10 nm. It is understood that the CD trim process may be preformed such that the width W2 is any desirable width. For example, in the present embodiment, the final width W2 is about 22 nm. As will be further discussed below, the current process allows for final semiconductor device 200 with a higher device density for a fixed area.
  • Referring to FIG. 6, a hard mask layer 218 is deposited over the gate stack layer 212 and the sacrificial layer 214. The hard mask layer 218 may be may be a dielectric material such as silicon oxide, silicon nitride, or other suitable materials. In the present embodiment, the hard mask layer 218 is silicon nitride. The hard mask layer 218 may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), plating, other suitable methods, and/or combinations thereof. Notably, for further processing, the material of the sacrificial layer 214 and the hard mask layer 218 can have different etching selectivity. Accordingly, in the present embodiment, the sacrificial layer 214 is silicon oxide and the hard mask layer 218 is silicon nitride. It is understood that in other embodiments, the sacrificial layer 214 and the hard mask layer 218 may include different materials.
  • Referring to FIG. 7, a second photoresist layer 220 is formed and patterned over the sacrificial layer 214 and over the hard mask layer 218. Patterning the second photoresist layer 220 includes exposing the second photoresist layer 220 to a pattern, performing a post-exposure bake process, and developing the second photoresist layer 220 thereby forming a patterned second photoresist layer 220. The patterning may also be implemented or replaced by other proper methods, such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint. In the preset embodiment, the second photoresist layer 220 defines gate structures of multiple FET devices.
  • Referring to FIG. 8, an etching process is performed on the hard mask layer 218 using the patterned second photoresist layer 220 to define a line pattern. In the present embodiment, the line pattern defines a plurality of gate stack patterns of the patterned hard mask layer. The etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof. In one example, a dry etching process is used to etch the mask layer 218 that includes a chemistry including fluorine-containing gas. In furtherance of the example, the chemistry of the dry etch includes CF4, SF6, or NF3. In another example, a wet etching process is used to etch the mask layer 218 that includes phosphoric acid. Alternatively, the etching process may be any suitable etching process.
  • Referring to FIG. 9, after the etching process, the second photoresist layer 220 may be removed by any suitable process. For example, the second photoresist layer 220 may be removed by a liquid “resist stripper”, which chemically alters the resist so that it no longer adheres to the underlying hardmask. Alternatively, second photoresist layer 220 may be removed by a plasma containing oxygen, which oxidizes it. As illustrated, the patterned sacrificial layer 214 separates (interposed) between end portions of two or more segments of the patterned hard mask layer 218—each segment defining a gate region.
  • Referring to FIG. 10, the cut pattern of the patterned sacrificial layer 214 is selectively removed such that the plurality of gate stack patterns of the patterned hard mask layer 218 remain. As illustrated, the plurality of gate stack patterns of the hard mask layer 218 are separated by a gap after removing the cut patter of the patterned sacrificial layer 214. The gap is substantially equal to the width W2 of the sacrificial layer 214 (of FIG. 5). In the present embodiment, selectively removing the sacrificial layer 214 includes a wet etching process that includes diluted hydrogen fluoride. It is understood that where the sacrificial layer 214 is a different material the selective etching process may include a different etchant chemical. After the etching process, the hard mask layer 218 remains and defines two or more gate stack features. In the present embodiment, the patterned hard mask layer 218 defines four gate stack features (202, 204, 206, and 208).
  • Referring to FIG. 11, the gate stack layer 212 is etched using the patterned hard mask layer 218. The etching process may be a single or a multiple step etching process. Further, the etching process may include wet etching, dry etching, or a combination thereof.
  • A benefit of the disclosed double patterning method, which uses the sacrificial layer, is that it allows for uniformly controlling the end-to-end critical dimensions (e.g., the dimension/gap between gate stack features 202 and 204, and the dimension/gap between gate stack features 206 and 208). Moreover, because the dimension/gap between the end portions of the gate stack features 202, 204, 206, and 208 is defined by the sacrificial layer (of FIGS. 2-9), smaller critical dimension requirements can be achieved when compare to other methods. Further, the profile of the gate stack features 202, 204, 206, and 208 is more vertical and square. An additional benefit is that the method of the disclosed embodiments can be easily implemented in the current manufacturing process. Thus, the disclosed embodiments provide for improved end-to-end critical dimensions uniformity control, for good line profile, and for allowing smaller critical dimensions requirements without adding significant cost to the manufacturing process and/or the device. It is understood that different embodiments may have different advantages, and that no particular advantage is necessarily required of any embodiment.
  • The semiconductor device 200 may undergo further processing to form various features. For example, the method 100 may proceed to form source and drain features, wall spacers, contact features (such as silicide regions), and other features. The contact features include silicide materials, such as nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable conductive materials, and/or combinations thereof. The contact features can be formed by a process that includes depositing a metal layer, annealing the metal layer such that the metal layer is able to react with silicon to form silicide, and then removing the non-reacted metal layer. An inter-level dielectric (ILD) layer can further be formed on the substrate 210 and a chemical mechanical polishing (CMP) process is further applied to the substrate to planarize the substrate. Further, a contact etch stop layer (CESL) may be formed on top of the gate structures before forming the ILD layer.
  • Subsequent processing may further form various contacts/vias/lines and multilayer interconnect features (e.g., metal layers and interlayer dielectrics) on the substrate 210, configured to connect the various features or structures of the semiconductor device 200. The additional features may provide electrical interconnection to the device. For example, a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide. In one example, a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • The disclosed semiconductor device 200 may be used in various applications such as digital circuit, imaging sensor devices, a hetero-semiconductor device, dynamic random access memory (DRAM) cell, a single electron transistor (SET), and/or other microelectronic devices (collectively referred to herein as microelectronic devices). Of course, aspects of the present disclosure are also applicable and/or readily adaptable to other type of transistor, including single-gate transistors, double-gate transistors, and other multiple-gate transistors, and may be employed in many different applications, including sensor cells, memory cells, logic cells, and others.
  • Thus, provided is a method of fabricating a semiconductor device. The exemplary method includes providing a substrate including a device layer and a sacrificial layer formed over the device layer. The method further includes patterning the sacrificial layer thereby defining a cut pattern. The cut pattern of the sacrificial layer has an initial width. The method further includes depositing a mask layer over the device layer and over the cut pattern of the sacrificial layer. The method further includes patterning the mask layer thereby defining a line pattern including first and second portions separated by the cut pattern of the sacrificial layer. The method further includes selectively removing the cut pattern of the sacrificial layer thereby forming a gap that separates the first and second portions of the line pattern of the mask layer. The method further includes patterning the device layer using the first and second portions of the line pattern of the mask layer.
  • In some embodiments, the method further includes after patterning the sacrificial layer and before depositing the mask layer, performing a critical dimension trim process thereby reducing the initial width of the cut pattern of the sacrificial layer to a final width.
  • In some embodiments, performing the critical dimension trip process includes a wet etching process. In certain embodiments, the final width is less than about 22 nm. In various embodiments, the device layer is a gate stack layer. In further embodiments, patterning the device layer includes forming a first gate stack feature and a second gate stack feature, the first and second gate stack features are separated by another gap having a dimension that is substantially the same as the final width of the cut pattern of the sacrificial layer. In some embodiments, patterning the sacrificial layer includes: depositing a photoresist layer over the sacrificial layer; patterning the photoresist layer; and using the patterned photoresist layer to pattern the sacrificial layer. In various embodiments, patterning the mask layer includes: depositing a photoresist layer over the mask layer; patterning the photoresist layer; and using the patterned photoresist layer to pattern the mask layer. In certain embodiments, the sacrificial layer includes a first type of dielectric material, the mask layer includes a second type of dielectric material, and the first and second type of dielectric materials are different.
  • Also provided is an alternative embodiment of a method. The exemplary method includes providing a substrate including a gate stack layer and a sacrificial layer formed over the gate stack layer. The method further includes patterning the sacrificial layer thereby defining a cut pattern, the cut pattern of the sacrificial layer having an initial width. The method further includes depositing a hard mask layer over the gate stack layer and over the cut pattern of the sacrificial layer. The method further includes patterning the hard mask layer thereby defining a plurality of gate stack patterns. The method further includes selectively removing the cut pattern of the sacrificial layer thereby forming a separation between each of the plurality of gate stack patterns of the hard mask layer. The method further includes patterning the gate stack layer using the plurality of gate stack patterns of the hard mask layer thereby defining a plurality of gate stack features.
  • In some embodiments, the method further includes after patterning the sacrificial layer and before depositing the hard mask layer, performing a critical dimension trim process thereby reducing the initial width of the cut pattern of the sacrificial layer to a final width. In various embodiments, the method further includes after depositing the hard mask layer and before patterning the hard mask layer, performing a chemical mechanical polishing (CMP) process to remove excess material of the hard mask layer thereby uncovering a top surface of the cut pattern of the sacrificial layer.
  • In some embodiments, depositing the hard mask layer includes depositing the hard mask layer such that a top surface of the cut pattern of the sacrificial layer remains uncovered. In certain embodiments, the sacrificial layer includes a first type of dielectric material, the hard mask layer includes a second type of dielectric material, and the first and second type of dielectric materials are different.
  • Also provided is another a method of fabricating a semiconductor device. The exemplary method includes providing a substrate, forming a gate stack layer over the substrate, forming a sacrificial layer over the gate stack layer, and forming a first patterned photoresist layer over the sacrificial layer. The method further includes patterning the sacrificial layer using the first patterned photoresist layer. The method further includes depositing a hard mask layer over the patterned sacrificial layer. The method further includes forming a second patterned photoresist layer over the hard mask layer and over the sacrificial layer. The method further includes patterning the hard mask layer using the second patterned photoresist layer thereby defining a plurality of gate stack patterns, wherein end portions of the plurality of gate stack patterns are separated by the patterned sacrificial layer. The method further includes selectively etching the patterned sacrificial layer thereby forming a gap that separates the end portions of the plurality of gate stack patterns. The method further includes patterning the gate stack layer using the plurality of gate stack patterns thereby defining a plurality of gate stack features.
  • In some embodiments, the method further includes after patterning the sacrificial layer and before depositing the hard mask layer, performing a critical dimension trim process on the patterned sacrificial layer. In various embodiments, the method further includes after depositing the hard mask layer and before forming a second patterned photoresist layer, performing a chemical mechanical polishing (CMP) process to remove excess material of the hard mask layer thereby uncovering a top surface of the patterned sacrificial layer.
  • In some embodiments, depositing the hard mask layer includes depositing the hard mask layer such that a top surface of the patterned sacrificial layer remains uncovered. In various embodiments, the gap is less then about 22 nm wide. In certain embodiments, the sacrificial layer includes a first type of dielectric material, the hard mask layer includes a second type of dielectric material, and the first and second type of dielectric materials are different.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a semiconductor substrate;
a first plurality of gate stacks disposed over the substrate and extending longitudinally in a first direction;
a second plurality of gate stacks disposed over the substrate and extending longitudinally in the first direction;
a first gap separating an end portion of a first gate stack of the first plurality of gate stacks from an opposing end portion of a second gate stack of the first plurality of gate stacks, the first gap including a first width less than or equal to about 22 nm; and
a second gap separating an end portion of a first gate stack of the second plurality of gate stacks from an opposing an end portion of a second gate stack of the second plurality of gate stacks, the second gap including a second width less than or equal to about 22 nm,
wherein the first gap and the second gap are aligned and correspond to a cut line extending longitudinally in a second direction perpendicular to the first direction.
2. The device of claim 1, further comprising a mask layer formed over the plurality of gate stacks.
3. The device of claim 2, wherein the mask layer includes a dielectric material.
4. The device of claim 2, wherein the mask layer includes a material selected from the group consisting of silicon oxide and silicon nitride.
5. The device of claim 1, wherein the plurality of gate stacks include a high-k dielectric material.
6. The device of claim 1, wherein the plurality of gate stacks include a metal material.
7. The device of claim 1, wherein the plurality of gate stacks include a polysilicon material.
8. A semiconductor device, comprising:
a first gate stack disposed over a substrate, the first gate stack including a first top surface and an opposing first bottom surface, and a first side surface extending from the first top surface to the first bottom surface;
a second gate stack disposed over the substrate, the second gate stack including a second top surface and an opposing second bottom surface, and a second side surface extending from the second top surface to the second bottom surface, the second side surface facing the first side surface;
a first gap separating the first side surface of the first gate stack from the second side surface of the second gate stack, the first gap having a first width less than or equal to about 22 nm;
a third gate stack disposed over the substrate, the third gate stack including a third top surface and an opposing third bottom surface, and a third side surface extending from the third top surface to the third bottom surface;
a fourth gate stack disposed over the substrate, the fourth gate stack including a fourth top surface, a fourth bottom surface, and a fourth side surface extending from the fourth top surface to the fourth bottom surface, the fourth side surface of the fourth gate stack facing the third side surface of the third gate stack; and
a second gap separating the third side surface of the third gate stack from the fourth side surface of the fourth gate stack, the second gap having a second width less than or equal to about 22 nm, the second gap and the first gap being aligned and corresponding to a cut line.
9. The device of claim 8, further comprising a mask layer formed over each of the first gate stack, the second gate stack, the third gate stack, and over the fourth gate stack.
10. The device of claim 9, wherein the mask layer includes a dielectric material.
11. The device of claim 9, wherein the mask layer includes a material selected from the group consisting of silicon oxide and silicon nitride.
12. The device of claim 8, wherein each of the first gate stack, the second gate stack, the third gate stack, and over the fourth gate stack include a high-k dielectric material.
13. The device of claim 1, wherein each of the first gate stack, the second gate stack, the third gate stack, and over the fourth gate stack include a metal material.
14. The device of claim 1, wherein each of the first gate stack, the second gate stack, the third gate stack, and over the fourth gate stack include a polysilicon material.
15. A device, comprising:
a first plurality of gate stack features disposed over a substrate and extending in a first direction, the first plurality of gate stack features including a first mask layer disposed over a first gate stack layer;
a second plurality of gate stack features disposed over the substrate and extending in the first direction, the second plurality of gate stack features including a second mask layer disposed over a second gate stack layer;
a first gap separating an end portion of a first gate stack feature of the first plurality of gate stack features from an end portion of a second gate stack feature of the first plurality of gate stack features, the first gap having a first width less than or equal to about 22 nm; and
a second gap separating an end portion of a first gate stack feature of the second plurality of gate stack features from an end portion of a second gate stack feature of the second plurality of gate stack features, the second gap having a second width less than or equal to about 22 nm, the second gap and the first gap being aligned and corresponding to a cut line.
16. The device of claim 15, wherein the substrate includes a semiconductor material.
17. The device of claim 15, wherein the substrate is a semiconductor on insulator (SOI) substrate including silicon.
18. The device of claim 15, wherein the first gate stack layer and the second gate stack layer include a high-k dielectric material.
19. The device of claim 15, wherein the first gate stack layer and the second gate stack layer include a metal material.
20. The device of claim 15, wherein the first gate stack layer and the second gate stack layer include a polysilicon material.
US14/258,707 2012-03-15 2014-04-22 Double patterning method for semiconductor devices Abandoned US20140217505A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/258,707 US20140217505A1 (en) 2012-03-15 2014-04-22 Double patterning method for semiconductor devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/421,606 US8722541B2 (en) 2012-03-15 2012-03-15 Double patterning method for semiconductor devices
US14/258,707 US20140217505A1 (en) 2012-03-15 2014-04-22 Double patterning method for semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/421,606 Continuation US8722541B2 (en) 2012-03-15 2012-03-15 Double patterning method for semiconductor devices

Publications (1)

Publication Number Publication Date
US20140217505A1 true US20140217505A1 (en) 2014-08-07

Family

ID=49158022

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/421,606 Expired - Fee Related US8722541B2 (en) 2012-03-15 2012-03-15 Double patterning method for semiconductor devices
US14/258,707 Abandoned US20140217505A1 (en) 2012-03-15 2014-04-22 Double patterning method for semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/421,606 Expired - Fee Related US8722541B2 (en) 2012-03-15 2012-03-15 Double patterning method for semiconductor devices

Country Status (1)

Country Link
US (2) US8722541B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916460B1 (en) * 2013-08-07 2014-12-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20150035061A1 (en) * 2013-07-31 2015-02-05 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US9716041B2 (en) 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US11049930B2 (en) * 2018-12-27 2021-06-29 Shanghai Huali Microelectronics Corporation Semiconductor structure and method of manufacturing the same

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9472653B2 (en) 2014-11-26 2016-10-18 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US10103070B2 (en) * 2015-03-27 2018-10-16 Globalfoundries Inc. Dynamic integrated circuit fabrication methods
US10373827B2 (en) 2017-04-18 2019-08-06 United Microelectronics Corp. Method of pattern transfer
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7598174B1 (en) * 2008-05-27 2009-10-06 Infineon Technologies Ag Feature patterning methods
US20110045406A1 (en) * 2006-11-01 2011-02-24 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
US20120235243A1 (en) * 2011-03-17 2012-09-20 Semiconductor Manufacturing International (Shanghai) Corporation Method of forming a gate pattern and a semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800530B2 (en) * 2003-01-14 2004-10-05 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7932152B2 (en) * 2008-02-05 2011-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of forming a gate stack structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110045406A1 (en) * 2006-11-01 2011-02-24 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
US7598174B1 (en) * 2008-05-27 2009-10-06 Infineon Technologies Ag Feature patterning methods
US20120235243A1 (en) * 2011-03-17 2012-09-20 Semiconductor Manufacturing International (Shanghai) Corporation Method of forming a gate pattern and a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150035061A1 (en) * 2013-07-31 2015-02-05 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US8916460B1 (en) * 2013-08-07 2014-12-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20150097251A1 (en) * 2013-08-07 2015-04-09 Byoung-Ho Kwon Semiconductor device and method for fabricating the same
US9190407B2 (en) * 2013-08-07 2015-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9627542B2 (en) 2013-08-07 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9716041B2 (en) 2015-06-26 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US11049930B2 (en) * 2018-12-27 2021-06-29 Shanghai Huali Microelectronics Corporation Semiconductor structure and method of manufacturing the same

Also Published As

Publication number Publication date
US8722541B2 (en) 2014-05-13
US20130244430A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
US10546786B2 (en) Method of fabricating a FinFET device
US8722541B2 (en) Double patterning method for semiconductor devices
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US8658525B2 (en) Methods for a gate replacement process
CN106206308B (en) Method of fabricating a FINFET device
US7985690B2 (en) Method for a gate last process
US10522423B2 (en) Interconnect structure for fin-like field effect transistor
TWI590462B (en) Dummy gate structure and methods thereof
US8969922B2 (en) Field effect transistors and method of forming the same
KR20150060578A (en) Structure and method for finfet device with buried sige oxide
US10510750B2 (en) High voltage integration for HKMG technology
US9147679B2 (en) Method of semiconductor integrated circuit fabrication
US10115796B2 (en) Method of pulling-back sidewall metal layer
KR102503922B1 (en) Forming esd devices using multi-gate compatible processes
KR20160119440A (en) A structure and method for integrated circuit
US10032887B2 (en) Method of forming a contact
CN112447596A (en) Method for manufacturing semiconductor device structure
CN107785281B (en) Semiconductor device and method for manufacturing the same
US8937006B2 (en) Method of semiconductor integrated circuit fabrication
TWI793552B (en) Semiconductor device and manufacturing method thereof
US20230262950A1 (en) Multi-gate device and related methods
US20210320061A1 (en) Contact formation method and related structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIN, CHIH-HAN;REEL/FRAME:032729/0979

Effective date: 20140418

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION